WorldWideScience

Sample records for solvent-less vapor deposition

  1. Preparation of membranes using solvent-less vapor deposition followed by in-situ polymerization

    Science.gov (United States)

    O'Brien, Kevin C [San Ramon, CA; Letts, Stephan A [San Ramon, CA; Spadaccini, Christopher M [Oakland, CA; Morse, Jeffrey C [Pleasant Hill, CA; Buckley, Steven R [Modesto, CA; Fischer, Larry E [Los Gatos, CA; Wilson, Keith B [San Ramon, CA

    2010-07-13

    A system of fabricating a composite membrane from a membrane substrate using solvent-less vapor deposition followed by in-situ polymerization. A first monomer and a second monomer are directed into a mixing chamber in a deposition chamber. The first monomer and the second monomer are mixed in the mixing chamber providing a mixed first monomer and second monomer. The mixed first monomer and second monomer are solvent-less vapor deposited onto the membrane substrate in the deposition chamber. The membrane substrate and the mixed first monomer and second monomer are heated to produce in-situ polymerization and provide the composite membrane.

  2. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  3. Progress Toward Meeting NIF Specifications for Vapor Deposited Polyimide Ablator Coatings

    International Nuclear Information System (INIS)

    Letts, Stephan A.; Anthamatten, Mitchell; Buckley, Steven R.; Fearon, Evelyn; Nissen, April E.H.; Cook, Robert C.

    2004-01-01

    We are developing an evaporative coating technique for deposition of thick polyimide (PI) ablator layers on ICF targets. The PI coating technique utilizes stoichiometrically controlled fluxes from two Knudsen cell evaporators containing a dianhydride and a diamine to deposit a polyamic acid (PAA) coating. Heating the PAA coating to 300 deg. C converts the PAA coating to a polyimide. Coated shells are rough due to particles on the substrate mandrels and from damage to the coating caused by the agitation used to achieve a uniform coating. We have developed a smoothing process that exposes an initially rough PAA coated shell to solvent vapor using gas levitation. We found that after smoothing the coatings developed a number of wide (low-mode) defects. We have identified two major contributors to low-mode roughness: surface hydrolysis, and deformation during drying/curing. By minimizing air exposure prior to vapor smoothing, avoiding excess solvent sorption during vapor smoothing, and using slow drying we are able to deposit and vapor smooth coatings 160 μm thick with a surface roughness less than 20 nm RMS

  4. Distribution of multi-component solvents in solvent vapor extraction chamber

    Energy Technology Data Exchange (ETDEWEB)

    Das, S. [Society of Petroleum Engineers, Richardson, TX (United States)]|[Marathon Oil Corp., Houston, TX (United States)

    2008-10-15

    Vapex process performance is sensitive to operating pressures, temperatures and the types of solvent used. The hydrocarbon solvents used in Vapex processes typically have between 5 and 10 per cent hydrocarbon impurities, and the accumulation of dense phases inside the vapor chamber reduces gravity drainage potential. This study investigated the partitioning of solvent compounds inside the vapor chamber during in situ Vapex processes.The aim of the study was to examine how the different components of the mixed solvent partitioned inside the extracted chamber during the oil and vapor phase. A 2-D homogenous reservoir model was used to simulate the Vapex process with a solvent mixture comprised of propane and methane at various percentages. The effect of injecting a hot solvent vapor was also investigated. The study showed that injected methane accumulated at both the top and the extraction interface. Accumulations near the top had a positive impact on solvent confinement in thin reservoirs. Diffusion of the solvent component was controlled by gas phase molecular diffusion, and was much faster than the diffusion of solvent molecules in the liquid phase. The use of hot solvent mixtures slowed the extraction process due to lower solvent solubility in the oil phase. It was concluded that the negative impact on viscosity reduction by dilution was not compensated by rises in temperature. 6 refs., 11 figs.

  5. Effects of solvent evaporation conditions on solvent vapor annealed cylinder-forming block polymer thin films

    Science.gov (United States)

    Grant, Meagan; Jakubowski, William; Nelson, Gunnar; Drapes, Chloe; Baruth, A.

    Solvent vapor annealing is a less time and energy intensive method compared to thermal annealing, to direct the self-assembly of block polymer thin films. Periodic nanostructures have applications in ultrafiltration, magnetic arrays, or other structures with nanometer dimensions, driving its continued interest. Our goal is to create thin films with hexagonally packed, perpendicular aligned cylinders of poly(lactide) in a poly(styrene) matrix that span the thickness of the film with low anneal times and low defect densities, all with high reproducibility, where the latter is paramount. Through the use of our computer-controlled, pneumatically-actuated, purpose-built solvent vapor annealing chamber, we have the ability to monitor and control vapor pressure, solvent concentration within the film, and solvent evaporation rate with unprecedented precision and reliability. Focusing on evaporation, we report on two previously unexplored areas, chamber pressure during solvent evaporation and the flow rate of purging gas aiding the evaporation. We will report our exhaustive results following atomic force microscopy analysis of films exposed to a wide range of pressures and flow rates. Reliably achieving well-ordered films, while occurring within a large section of this parameter space, was correlated with high-flow evaporation rates and low chamber pressures. These results have significant implications on other methods of solvent annealing, including ``jar'' techniques.

  6. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  7. Solvent vapor annealing of an insoluble molecular semiconductor

    KAUST Repository

    Amassian, Aram

    2010-01-01

    Solvent vapor annealing has been proposed as a low-cost, highly versatile, and room-temperature alternative to thermal annealing of organic semiconductors and devices. In this article, we investigate the solvent vapor annealing process of a model insoluble molecular semiconductor thin film - pentacene on SiO 2 exposed to acetone vapor - using a combination of optical reflectance and two-dimensional grazing incidence X-ray diffraction measurements performed in situ, during processing. These measurements provide valuable and new insight into the solvent vapor annealing process; they demonstrate that solvent molecules interact mainly with the surface of the film to induce a solid-solid transition without noticeable swelling, dissolving or melting of the molecular material. © 2010 The Royal Society of Chemistry.

  8. A Solvent-Vapor Approach toward the Control of Block Ionomer Morphologies

    Energy Technology Data Exchange (ETDEWEB)

    Mineart, Kenneth P.; Lee, Byeongdu; Spontak, Richard J.

    2016-04-26

    Sulfonated block ionomers possess advantageous properties for a wide range of diverse applications such as desalination membranes, fuel cells, electroactive media, and photovoltaic devices. Unfortunately, their inherently high incompatibilities and glass transition temperatures e ff ectively prevent the use of thermal annealing, routinely employed to re fi ne the morphologies of nonionic block copolymers. An alternative approach is therefore required to promote morphological equilibration in block ionomers. The present study explores the morphological characteristics of midblock- sulfonated pentablock ionomers (SBIs) di ff ering in their degree of sulfonation (DOS) and cast from solution followed by solvent-vapor annealing (SVA). Transmission electron microscopy con fi rms that fi lms deposited from di ff erent solvent systems form nonequilibrium morphologies due to solvent-regulated self-assembly and drying. A series of SVA tests performed with solvents varying in polarity reveals that exposing cast fi lms to tetrahydrofuran (THF) vapor for at least 2 h constitutes the most e ff ective SVA protocol, yielding the anticipated equilibrium morphology. That is, three SBI grades subjected to THF-SVA self-assemble into well-ordered lamellae wherein the increase in DOS is accompanied by an increase in lamellar periodicity, as measured by small-angle X-ray scattering.

  9. 40 CFR 52.246 - Control of dry cleaning solvent vapor losses.

    Science.gov (United States)

    2010-07-01

    ... 40 Protection of Environment 3 2010-07-01 2010-07-01 false Control of dry cleaning solvent vapor... cleaning solvent vapor losses. (a) For the purpose of this section, “dry cleaning operation” means that process by which an organic solvent is used in the commercial cleaning of garments and other fabric...

  10. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  11. Method of estimating changes in vapor concentrations continuously generated from two-component organic solvents.

    Science.gov (United States)

    Hori, Hajime; Ishidao, Toru; Ishimatsu, Sumiyo

    2010-12-01

    We measured vapor concentrations continuously evaporated from two-component organic solvents in a reservoir and proposed a method to estimate and predict the evaporation rate or generated vapor concentrations. Two kinds of organic solvents were put into a small reservoir made of glass (3 cm in diameter and 3 cm high) that was installed in a cylindrical glass vessel (10 cm in diameter and 15 cm high). Air was introduced into the glass vessel at a flow rate of 150 ml/min, and the generated vapor concentrations were intermittently monitored for up to 5 hours with a gas chromatograph equipped with a flame ionization detector. The solvent systems tested in this study were the methanoltoluene system and the ethyl acetate-toluene system. The vapor concentrations of the more volatile component, that is, methanol in the methanol-toluene system and ethyl acetate in the ethyl acetate-toluene system, were high at first, and then decreased with time. On the other hand, the concentrations of the less volatile component were low at first, and then increased with time. A model for estimating multicomponent organic vapor concentrations was developed, based on a theory of vapor-liquid equilibria and a theory of the mass transfer rate, and estimated values were compared with experimental ones. The estimated vapor concentrations were in relatively good agreement with the experimental ones. The results suggest that changes in concentrations of two-component organic vapors continuously evaporating from a liquid reservoir can be estimated by the proposed model.

  12. Ion vapor deposition and its application

    International Nuclear Information System (INIS)

    Bollinger, H.; Schulze, D.; Wilberg, R.

    1981-01-01

    Proceeding from the fundamentals of ion vapor deposition the characteristic properties of ion-plated coatings are briefly discussed. Examples are presented of successful applications of ion-plated coatings such as coatings with special electrical and dielectric properties, coatings for corrosion prevention, and coatings for improving the surface properties. It is concluded that ion vapor deposition is an advantageous procedure in addition to vapor deposition. (author)

  13. Plasma-polymerized films providing selective affinity to the polarity of vaporized organic solvents

    International Nuclear Information System (INIS)

    Akimoto, Takuo; Ikeshita, Yusuke; Terashima, Ryo; Karube, Isao

    2009-01-01

    Plasma-polymerized films (PPFs) were fabricated as recognition membranes for a vapor-sensing device, and their affinity to vaporized organic solvents was evaluated with surface plasmon resonance. The affinity we intended to create is the selective sorption of the vaporized organic solvents depending on their polarity. For this purpose, acetonitrile, ethylenediamine (EDA), styrene, hexamethyldisiloxane (HMDSO), and hexamethyldisilazane were used to fabricate PPFs. Vaporized methanol, ethanol, and 1-propanol were used as high-polar solvents to be analyzed. Hexane, toluene, and p-xylene were used as low-polar solvents. As a result, the HMDSO-PPF with 97.3 o of contact angle was found to provide affinity to the low-polar solvents. In contrast, the EDA-PPF with 7.1 o of contact angle provided affinity to the high-polar solvents. Observations of the surface morphology of the HMDSO- and EDA-PPFs with a scanning electron microscope revealed that they are composed of nano-scale islands.

  14. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  15. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  16. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  17. Enhanced bulk heterojunction devices prepared by thermal and solvent vapor annealing processes

    Science.gov (United States)

    Forrest, Stephen R.; Thompson, Mark E.; Wei, Guodan; Wang, Siyi

    2017-09-19

    A method of preparing a bulk heterojunction organic photovoltaic cell through combinations of thermal and solvent vapor annealing are described. Bulk heterojunction films may prepared by known methods such as spin coating, and then exposed to one or more vaporized solvents and thermally annealed in an effort to enhance the crystalline nature of the photoactive materials.

  18. Ellipsometry-based combination of isothermal sorption-desorption measurement and temperature programmed desorption technique: A probe for interaction of thin polymer films with solvent vapor

    Science.gov (United States)

    Efremov, Mikhail Yu.; Nealey, Paul F.

    2018-05-01

    An environmental chamber equipped with an in situ spectroscopic ellipsometer, programmatic vapor pressure control, and variable temperature substrate holder has been designed for studying polymer coating behavior during an exposure to a solvent vapor and also for probing the residual solvent in the film afterwards. Both sorption-desorption cycle at a constant temperature and temperature programmed desorption (TPD) of the residual solvent manifest themselves as a change of the film thickness. Monitoring of ellipsometric angles of the coating allows us to determine the thickness as a function of the vapor pressure or sample temperature. The solvent vapor pressure is precisely regulated by a computer-controlled pneumatics. TPD spectra are recorded during heating of the film in an oil-free vacuum. The vapor pressure control system is described in detail. The system has been tested on 6-170 nm thick polystyrene, poly(methyl methacrylate), and poly(2-vinyl pyridine) films deposited on silicon substrates. Liquid toluene, water, ethanol, isopropanol, cyclohexane, 1,2-dichloroethane, and chlorobenzene were used to create a vapor atmosphere. Typical sorption-desorption and TPD curves are shown. The instrument achieves sub-monolayer sensitivity for adsorption studies on flat surfaces. Polymer-solvent vapor systems with strong interaction demonstrate characteristic absorption-desorption hysteresis spanning from vacuum to the glass transition pressure. Features on the TPD curves can be classified as either glass transition related film contraction or low temperature broad contraction peak. Typical absorption-desorption and TPD dependencies recorded for the 6 nm thick polystyrene film demonstrate the possibility to apply the presented technique for probing size effects in extremely thin coatings.

  19. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  20. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  1. Deposition dynamics of multi-solvent bioinks

    Science.gov (United States)

    Kaneelil, Paul; Pack, Min; Cui, Chunxiao; Han, Li-Hsin; Sun, Ying

    2017-11-01

    Inkjet printing cellular scaffolds using bioinks is gaining popularity due to the advancement of printing technology as well as the growing demands of regenerative medicine. Numerous studies have been conducted on printing scaffolds of biomimetic structures that support the cell production of human tissues. However, the underlying physics of the deposition dynamics of bioinks remains elusive. Of particular interest is the unclear deposition dynamics of multi-solvent bioinks, which is often used to tune the micro-architecture formation. Here we systematically studied the effects of jetting frequency, solvent properties, substrate wettability, and temperature on the three-dimensional deposition patterns of bioinks made of Methacrylated Gelatin and Carboxylated Gelatin. The microflows inside the inkjet-printed picolitre drops were visualized using fluorescence tracer particles to decipher the complex processes of multi-solvent evaporation and solute self-assembly. The evolution of droplet shape was observed using interferometry. With the integrated techniques, the interplay of solvent evaporation, biopolymer deposition, and multi-drop interactions were directly observed for various ink and substrate properties, and printing conditions. Such knowledge enables the design and fabrication of a variety of tissue engineering scaffolds for potential use in regenerative medicine.

  2. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  3. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  4. Evaluation of the Process of Solvent Vapor Annealing on Organic Thin Films

    KAUST Repository

    Ren, Yi

    2011-01-01

    Solvent vapor annealing has recently emerged as an intriguing, room-temperature, and highly versatile alternative to thermal annealing. The chemically selective interaction between solvents and organic semiconductors opens new opportunities

  5. Manipulating the Morphology of P3HT–PCBM Bulk Heterojunction Blends with Solvent Vapor Annealing

    KAUST Repository

    Verploegen, Eric; Miller, Chad E.; Schmidt, Kristin; Bao, Zhenan; Toney, Michael F.

    2012-01-01

    Using grazing incidence X-ray scattering, we observe the effects of solvent vapors upon the morphology of poly(3-hexylthiophene)-phenyl-C 61-butyric acid methyl ester (P3HT-PCBM) bulk heterojunction thin film blends in real time; allowing us to observe morphological rearrangements that occur during this process as a function of solvent. We detail the swelling of the P3HT crystallites upon the introduction of solvent and the resulting changes in the P3HT crystallite morphology. We also demonstrate the ability for tetrahydrofuran vapor to induce crystallinity in PCBM domains. Additionally, we measure the nanoscale phase segregated domain size as a function of solvent vapor annealing and correlate this to the changes observed in the crystallite morphology of each component. Finally, we discuss the implications of the morphological changes induced by solvent vapor annealing on the device properties of BHJ solar cells. © 2012 American Chemical Society.

  6. Manipulating the Morphology of P3HT–PCBM Bulk Heterojunction Blends with Solvent Vapor Annealing

    KAUST Repository

    Verploegen, Eric

    2012-10-23

    Using grazing incidence X-ray scattering, we observe the effects of solvent vapors upon the morphology of poly(3-hexylthiophene)-phenyl-C 61-butyric acid methyl ester (P3HT-PCBM) bulk heterojunction thin film blends in real time; allowing us to observe morphological rearrangements that occur during this process as a function of solvent. We detail the swelling of the P3HT crystallites upon the introduction of solvent and the resulting changes in the P3HT crystallite morphology. We also demonstrate the ability for tetrahydrofuran vapor to induce crystallinity in PCBM domains. Additionally, we measure the nanoscale phase segregated domain size as a function of solvent vapor annealing and correlate this to the changes observed in the crystallite morphology of each component. Finally, we discuss the implications of the morphological changes induced by solvent vapor annealing on the device properties of BHJ solar cells. © 2012 American Chemical Society.

  7. Plasma Spray-Physical Vapor Deposition (PS-PVD) of Ceramics for Protective Coatings

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming

    2011-01-01

    In order to generate advanced multilayer thermal and environmental protection systems, a new deposition process is needed to bridge the gap between conventional plasma spray, which produces relatively thick coatings on the order of 125-250 microns, and conventional vapor phase processes such as electron beam physical vapor deposition (EB-PVD) which are limited by relatively slow deposition rates, high investment costs, and coating material vapor pressure requirements. The use of Plasma Spray - Physical Vapor Deposition (PS-PVD) processing fills this gap and allows thin (deposited and multilayer coatings of less than 100 microns to be generated with the flexibility to tailor microstructures by changing processing conditions. Coatings of yttria-stabilized zirconia (YSZ) were applied to NiCrAlY bond coated superalloy substrates using the PS-PVD coater at NASA Glenn Research Center. A design-of-experiments was used to examine the effects of process variables (Ar/He plasma gas ratio, the total plasma gas flow, and the torch current) on chamber pressure and torch power. Coating thickness, phase and microstructure were evaluated for each set of deposition conditions. Low chamber pressures and high power were shown to increase coating thickness and create columnar-like structures. Likewise, high chamber pressures and low power had lower growth rates, but resulted in flatter, more homogeneous layers

  8. Modeling vapor pressures of solvent systems with and without a salt effect: An extension of the LSER approach

    International Nuclear Information System (INIS)

    Senol, Aynur

    2015-01-01

    Highlights: • A new polynomial vapor pressure approach for pure solvents is presented. • Solvation models reproduce the vapor pressure data within a 4% mean error. • A concentration-basis vapor pressure model is also implemented on relevant systems. • The reliability of existing models was analyzed using log-ratio objective function. - Abstract: A new polynomial vapor pressure approach for pure solvents is presented. The model is incorporated into the LSER (linear solvation energy relation) based solvation model framework and checked for consistency in reproducing experimental vapor pressures of salt-containing solvent systems. The developed two structural forms of the generalized solvation model (Senol, 2013) provide a relatively accurate description of the salting effect on vapor pressure of (solvent + salt) systems. The equilibrium data spanning vapor pressures of eighteen (solvent + salt) and three (solvent (1) + solvent (2) + salt) systems have been subjected to establish the basis for the model reliability analysis using a log-ratio objective function. The examined vapor pressure relations reproduce the observed performance relatively accurately, yielding the overall design factors of 1.084, 1.091 and 1.052 for the integrated property-basis solvation model (USMIP), reduced property-basis solvation model and concentration-dependent model, respectively. Both the integrated property-basis and reduced property-basis solvation models were able to simulate satisfactorily the vapor pressure data of a binary solvent mixture involving a salt, yielding an overall mean error of 5.2%

  9. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  10. Evaluation of the Process of Solvent Vapor Annealing on Organic Thin Films

    KAUST Repository

    Ren, Yi

    2011-07-01

    Solvent vapor annealing has recently emerged as an intriguing, room-temperature, and highly versatile alternative to thermal annealing. The chemically selective interaction between solvents and organic semiconductors opens new opportunities to selectively anneal certain components of the device, while leaving others intact. On the downside, these interactions are complex and rather unpredictable, requiring further investigation. We propose a novel methodology to investigate solvent-film interactions, based on use of an in situ quartz crystal microbalance with dissipation (QCM-D) capability and in situ grazing incidence wide angle X-ray scattering (GIWAXS). These methods make it possible to investigate both qualitatively and quantitatively the solvent vapor uptake, the resulting softening and changes (reversible and/or irreversible) in crystallinity. Using this strategy, we have investigated the solvent vapor annealing of traditional donor and acceptor materials, namely poly(3-hexylthiophene-2,5-diyl) (P3HT) and [6,6]-Phenyl-C61-butyric acid methyl ester (PCBM). We find these materials retain their rigid structure during toluene vapor annealing and do not dewet. We also investigated the toluene vapor annealing of several newly proposed acceptor molecules (pentacene-based) modified with various silyl groups and electron withdrawing groups to tune the packing structure of the acceptor domains and energy levels at the donor-acceptor interface. We found a dramatic effect of the electron-withdrawing group on vapor uptake and whether the film remains rigid, softens, or dissolves completely. In the case of trifluoromethyl electron-withdrawing group, we found the film dissolves, resulting in complete and irreversible loss of long range order. By contrast, the cyano group prevented loss of long range order, instead promoting crystallization in some cases. The silyl groups had a secondary effect in comparison to these. In the last part of the thesis, we investigated the

  11. Solvent vapor annealing in the molecular regime drastically improves carrier transport in small-molecule thin-film transistors

    KAUST Repository

    Khan, Hadayat Ullah

    2013-04-10

    We demonstrate a new way to investigate and control the solvent vapor annealing of solution-cast organic semiconductor thin films. Solvent vapor annealing of spin-cast films of 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-Pn) is investigated in situ using quartz crystal microbalance with dissipation (QCM-D) capability, allowing us to monitor both solvent mass uptake and changes in the mechanical rigidity of the film. Using time-resolved grazing incidence wide angle X-ray scattering (GIWAXS) and complementary static atomic force microscopy (AFM), we demonstrate that solvent vapor annealing in the molecular regime can cause significant performance improvements in organic thin film transistors (OTFTs), whereas allowing the solvent to percolate and form a liquid phase results in catastrophic reorganization and dewetting of the film, making the process counterproductive. Using these lessons we devise processing conditions which prevent percolation of the adsorbed solvent vapor molecules for extended periods, thus extending the benefits of solvent vapor annealing and improving carrier mobility by nearly two orders of magnitude. Ultimately, it is demonstrated that QCM-D is a very powerful sensor of the state of the adsorbed solvent as well as the thin film, thus making it suitable for process development as well as in-line process monitoring both in laboratory and in future manufacturing settings. © 2013 American Chemical Society.

  12. Solvent vapor annealing in the molecular regime drastically improves carrier transport in small-molecule thin-film transistors

    KAUST Repository

    Khan, Hadayat Ullah; Li, Ruipeng; Ren, Yi; Chen, Long; Payne, Marcia M.; Bhansali, Unnat Sampatraj; Smilgies, Detlef Matthias; Anthony, John Edward; Amassian, Aram

    2013-01-01

    We demonstrate a new way to investigate and control the solvent vapor annealing of solution-cast organic semiconductor thin films. Solvent vapor annealing of spin-cast films of 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-Pn) is investigated in situ using quartz crystal microbalance with dissipation (QCM-D) capability, allowing us to monitor both solvent mass uptake and changes in the mechanical rigidity of the film. Using time-resolved grazing incidence wide angle X-ray scattering (GIWAXS) and complementary static atomic force microscopy (AFM), we demonstrate that solvent vapor annealing in the molecular regime can cause significant performance improvements in organic thin film transistors (OTFTs), whereas allowing the solvent to percolate and form a liquid phase results in catastrophic reorganization and dewetting of the film, making the process counterproductive. Using these lessons we devise processing conditions which prevent percolation of the adsorbed solvent vapor molecules for extended periods, thus extending the benefits of solvent vapor annealing and improving carrier mobility by nearly two orders of magnitude. Ultimately, it is demonstrated that QCM-D is a very powerful sensor of the state of the adsorbed solvent as well as the thin film, thus making it suitable for process development as well as in-line process monitoring both in laboratory and in future manufacturing settings. © 2013 American Chemical Society.

  13. The detection of organic solvent vapor by using polymer coated chemocapacitor sensor

    Science.gov (United States)

    Rusdiarna Indrapraja, Apik; Rivai, Muhammad; Arifin, Achmad; Purwanto, Djoko

    2017-05-01

    A chemocapacitor consists of planar interdigital electrodes (IDE) made by two comb electrodes on a substrate. A dielectric film was applied on the electrodes in which the absorbed vapor will modify its permittivity. This study has fabricated chemocapacitor with the IDE distance of 0.5 mm, while the dielectric film was a sensitive layer consisting of a polymeric material. The deposition of the polymeric film was accomplished by drop casting. A sensor array consisting of four chemocapacitors coated with different polymers namely PEG-1540, PEG-20M, PEG-6000, and PVP was used to obtain the pattern of shift in the capacitance. The integrated circuit AD7746 was used as the capacitance to-digital converter (CDC). The organic solvents of ethanol, benzene, and aceton were used as the vapor samples in this experiment. The results showed that the change in the capacitance value increases proportionally to the concentration of vapour where sensors coated with PEG-1540 and PVP have higher sensitivity, i.e. 0.0028pF/part per thousand and 0.0027pF/part per thousand, respectively. Based on the capacitance to digital conversion capabilities, the system provides there solution of 0.4084ppm. The sensor array could produce a different pattern for each of the vapor sample. The Neural Network pattern recognition system could identify the type of vapor automatically with the root mean square error of 10-5

  14. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  15. Lamellar Diblock Copolymer Thin Films during Solvent Vapor Annealing Studied by GISAXS

    DEFF Research Database (Denmark)

    Zhang, Jianqi; Posselt, Dorthe; Smilgies, Detlef-M.

    2014-01-01

    The reorientation of lamellae and the dependence of the lamellar spacing, Dlam, on polymer volume fraction, ϕP, Dlam ∝ ϕP–β, in diblock copolymer thin films during solvent vapor annealing (SVA) are examined by combining white light interferometry (WLI) and grazing-incidence small-angle X-ray scat......The reorientation of lamellae and the dependence of the lamellar spacing, Dlam, on polymer volume fraction, ϕP, Dlam ∝ ϕP–β, in diblock copolymer thin films during solvent vapor annealing (SVA) are examined by combining white light interferometry (WLI) and grazing-incidence small-angle X...

  16. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  17. Micron-sized columnar grains of CH3NH3PbI3 grown by solvent-vapor assisted low-temperature (75 °C) solid-state reaction: The role of non-coordinating solvent-vapor

    Science.gov (United States)

    Zheng, Huifeng; Liu, Yangqiao; Sun, Jing

    2018-04-01

    The preparation of hybrid perovskite films with large columnar grains via low-temperature solid-state reaction remains a big challenge. Conventional solvent annealing using DMF, DMSO and ethanol, etc. fails to work effectively at low temperature (solar cells based on benzyl-alcohol-vapor annealing (75 °C), delivered much higher photovoltaic performance, better stability and smaller hysteresis than those based on conventional thermal annealing. Additionally, a champion power conversion efficiency (PCE) of 15.1% was obtained and the average PCE reached 12.2% with a tiny deviation. Finally, the mechanism of solvent annealing with non-coordinating solvent was discussed. Moreover, we revealed that high polarity and high boiling point of the solvent used for generating vapor, was critical to grow micron-sized columnar grains at such a low temperature (75 °C). This work will contribute to understanding the mechanism of grain growth in solvent annealing and improving its facility and effectiveness.

  18. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  19. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  20. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  1. Perpendicular Structure Formation of Block Copolymer Thin Films during Thermal Solvent Vapor Annealing: Solvent and Thickness Effects

    Directory of Open Access Journals (Sweden)

    Qiuyan Yang

    2017-10-01

    Full Text Available Solvent vapor annealing of block copolymer (BCP thin films can produce a range of interesting morphologies, especially when the perpendicular orientation of micro-domains with respect to the substrate plays a role. This, for instance, allows BCP thin films to serve as useful templates for nanolithography and hybrid materials preparation. However, precise control of the arising morphologies is essential, but in most cases difficult to achieve. In this work, we investigated the solvent and thickness effects on the morphology of poly(styrene-b-2 vinyl pyridine (PS-b-P2VP thin films with a film thickness range from 0.4 L0 up to 0.8 L0. Ordered perpendicular structures were achieved. One of the main merits of our work is that the phase behavior of the ultra-high molecular weight BCP thin films, which hold a 100-nm sized domain distance, can be easily monitored via current available techniques, such as scanning electron microscope (SEM, atomic force microscope (AFM, and transmission electron microscope (TEM. Systematic monitoring of the self-assembly behavior during solvent vapor annealing can thus provide an experimental guideline for the optimization of processing conditions of related BCP films systems.

  2. Comparison of a model vapor deposited glass films to equilibrium glass films

    Science.gov (United States)

    Flenner, Elijah; Berthier, Ludovic; Charbonneau, Patrick; Zamponi, Francesco

    Vapor deposition of particles onto a substrate held at around 85% of the glass transition temperature can create glasses with increased density, enthalpy, kinetic stability, and mechanical stability compared to an ordinary glass created by cooling. It is estimated that an ordinary glass would need to age thousands of years to reach the kinetic stability of a vapor deposited glass, and a natural question is how close to the equilibrium is the vapor deposited glass. To understand the process, algorithms akin to vapor deposition are used to create simulated glasses that have a higher kinetic stability than their annealed counterpart, although these glasses may not be well equilibrated either. Here we use novel models optimized for a swap Monte Carlo algorithm in order to create equilibrium glass films and compare their properties with those of glasses obtained from vapor deposition algorithms. This approach allows us to directly assess the non-equilibrium nature of vapor-deposited ultrastable glasses. Simons Collaboration on Cracking the Glass Problem and NSF Grant No. DMR 1608086.

  3. Perpendicular Structure Formation of Block Copolymer Thin Films during Thermal Solvent Vapor Annealing : Solvent and Thickness Effects

    NARCIS (Netherlands)

    Yang, Qiuyan; Loos, Katja

    2017-01-01

    Solvent vapor annealing of block copolymer (BCP) thin films can produce a range of interesting morphologies, especially when the perpendicular orientation of micro-domains with respect to the substrate plays a role. This, for instance, allows BCP thin films to serve as useful templates for

  4. Analysis of potassium nitrate purification with recovery of solvent through single effect mechanical vapor compression

    Directory of Open Access Journals (Sweden)

    Kiprotich E. Kosgey

    2017-12-01

    Full Text Available Analysis of purification of potassium nitrate with incorporation of single effect mechanical vapor compressor for solvent recovery was done. Analysis focused on the effect of concentration and temperature of mother liquor on the energy efficiency of the process and the amount of recovered solvent. Performance coefficient of mechanical vapor compressor ranged between 1.5 and 7.5 depending primarily on the temperature of mother liquor. It was found that with increase in temperature of mother liquor through pre-heating, the power of the compressor, compression ratio and amount of heat supplied to the evaporator decrease. For a 40% concentrated feed solution and mother liquor temperature above 80 °C, performance coefficient is higher than 4. It is therefore concluded that preheating mother liquor and reduction of the effect of concentration of both mother liquor and concentrated waste stream through other methods reduces the power consumption of purification process. Keywords: Performance coefficient, Mother liquor, Concentrated solution, Recovered solvent, Boiling point elevation, Mechanical vapor compressor

  5. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    from any external contemporary hydrothermal activity. Subsequent fracturing is localized by the embrittled wallrock to provide high-permeability fracture arrays that constrain vapor expansion with minimization of heat loss. The Sulfide Stage vein sequence is then a consequence of destabilization of metal-vapor species in response to depressurization and decrease in vapor density. The geology, mineralogy, fluid inclusion and stable isotope data and geothermometry for high-sulfidation, bulk-tonnage and lode deposits are quite different from those for epithermal gold-silver deposits such as McLaughlin, California that formed near-surface in groundwater-dominated hydrothermal systems where magmatic fluid has been diluted to less than about 30%. High sulfidation gold deposits are better termed 'Solfataric Gold Deposits' to emphasize this distinction. The magmatic-vapor expansion hypothesis also applies to the phenomenology of acidic geothermal systems in active volcanic systems and equivalent magmatic-vapor discharges on the flanks of submarine volcanoes. ?? 2010.

  6. Thermodynamic calculations for chemical vapor deposition of silicon carbide

    International Nuclear Information System (INIS)

    Minato, Kazuo; Fukuda, Kousaku; Ikawa, Katsuichi

    1985-03-01

    The composition of vapor and condensed phases at equilibrium and CVD phase diagrams were calculated for the CH 3 SiCl 3 -H 2 -Ar system using a computer code SOLGASMIX-PV, which is based on the free energy minimization method. These calculations showed that β-SiC, β-SiC+C(s), β-SiC+Si(s), β-SiC+Si(l), Si(s), Si(l), or C(s) would be deposited depending on deposition parameters. In the CH 3 SiCl 3 -Ar system, condensed phase was found to be β-SiC+C(s) or C(s). Comparing the calculated CVD phase diagrams with the experimental results from the literature, β-SiC+C(s) and β-SiC+Si(s) were deposited in the experiments at the high temperature (more than 2000K) and low temperature (less than 1700K) parts of a resion, respectively, where only β-SiC would be deposited in the calculations. These are remakable results to consider the deposition mechanism of silicon carbide. (author)

  7. Imparting passivity to vapor deposited magnesium alloys

    Science.gov (United States)

    Wolfe, Ryan C.

    Magnesium has the lowest density of all structural metals. Utilization of low density materials is advantageous from a design standpoint, because lower weight translates into improved performance of engineered products (i.e., notebook computers are more portable, vehicles achieve better gas mileage, and aircraft can carry more payload). Despite their low density and high strength to weight ratio, however, the widespread implementation of magnesium alloys is currently hindered by their relatively poor corrosion resistance. The objective of this research dissertation is to develop a scientific basis for the creation of a corrosion resistant magnesium alloy. The corrosion resistance of magnesium alloys is affected by several interrelated factors. Among these are alloying, microstructure, impurities, galvanic corrosion effects, and service conditions, among others. Alloying and modification of the microstructure are primary approaches to controlling corrosion. Furthermore, nonequilibrium alloying of magnesium via physical vapor deposition allows for the formation of single-phase magnesium alloys with supersaturated concentrations of passivity-enhancing elements. The microstructure and surface morphology is also modifiable during physical vapor deposition through the variation of evaporation power, pressure, temperature, ion bombardment, and the source-to-substrate distance. Aluminum, titanium, yttrium, and zirconium were initially chosen as candidates likely to impart passivity on vapor deposited magnesium alloys. Prior to this research, alloys of this type have never before been produced, much less studied. All of these metals were observed to afford some degree of corrosion resistance to magnesium. Due to the especially promising results from nonequilibrium alloying of magnesium with yttrium and titanium, the ternary magnesium-yttrium-titanium system was investigated in depth. While all of the alloys are lustrous, surface morphology is observed under the scanning

  8. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  9. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  10. Enthalpy and high temperature relaxation kinetics of stable vapor-deposited glasses of toluene

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2014-01-01

    Stable non-crystalline toluene films of micrometer and nanometer thicknesses were grown by vapor deposition at distinct rates and probed by fast scanning calorimetry. Fast scanning calorimetry is shown to be extremely sensitive to the structure of the vapor-deposited phase and was used to characterize simultaneously its kinetic stability and its thermodynamic properties. According to our analysis, transformation of vapor-deposited samples of toluene during heating with rates in excess 10 5 K s −1 follows the zero-order kinetics. The transformation rate correlates strongly with the initial enthalpy of the sample, which increases with the deposition rate according to sub-linear law. Analysis of the transformation kinetics of vapor-deposited toluene films of various thicknesses reveal a sudden increase in the transformation rate for films thinner than 250 nm. The change in kinetics seems to correlate with the surface roughness scale of the substrate. The implications of these findings for the formation mechanism and structure of vapor-deposited stable glasses are discussed

  11. The development of substitute inks and controls for reducing workplace concentrations of organic solvent vapors in a vinyl shower curtain printing plant.

    Science.gov (United States)

    Piltingsrud, Harley V; Zimmer, Anthony T; Rourke, Aaron B

    2003-08-01

    During the summer of 1994, football players at a practice field reported noxious odors in the area. Ohio Environmental Protection Agency (OEPA) investigations of industries surrounding the field included a printing facility producing vinyl shower curtains with screen-printed designs. Though not the source of the odor, they were discharging volatile organic compounds directly to the environs in violation of OEPA regulations. To achieve compliance they installed a catalytic oxidizer for treating discharged air. Due to high equipment costs, the capacity of the installed catalytic oxidizer resulted in a substantial reduction in discharged air flow rates and increased solvent vapor concentrations within the workplace. Vapor levels caused worker discomfort, prompting a request for assistance from the Ohio Bureau of Workers Compensation. The vapor concentrations were found to exceed NIOSH, OSHA, and ACGIH acceptable exposure levels. The workers were then required to wear organic vapor removing respirators full-time while printing as a temporary protective measure. The company requested NIOSH assistance in finding methods to reduce solvent vapor concentrations. NIOSH studies included the identification of the sources and relative magnitude of solvent emissions from the printing process, the design of controls for the emissions, and the development of substitute inks using non-photochemically reactive solvents. The new ink system and controls allowed OEPA removal of the requirement for the treatment of discharged air and substantial increases in dilution ventilation. Increased ventilation would permit reduction in worker exposures to less than 1/3 mixture TLV levels and removal of requirements for respirator usage. This solution was the result of a comprehensive review of all facets of the problem, including OEPA regulations. It also required cooperative work between the company and federal, state, and local governmental agencies.

  12. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  13. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  14. Molecular Models for DSMC Simulations of Metal Vapor Deposition

    OpenAIRE

    Venkattraman, A; Alexeenko, Alina A

    2010-01-01

    The direct simulation Monte Carlo (DSMC) method is applied here to model the electron‐beam (e‐beam) physical vapor deposition of copper thin films. A suitable molecular model for copper‐copper interactions have been determined based on comparisons with experiments for a 2D slit source. The model for atomic copper vapor is then used in axi‐symmetric DSMC simulations for analysis of a typical e‐beam metal deposition system with a cup crucible. The dimensional and non‐dimensional mass fluxes obt...

  15. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  16. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  17. The solvent absorption-extractive distillation (SAED) process for ethanol recovery from gas/vapor streams

    Energy Technology Data Exchange (ETDEWEB)

    Dale, M.C.

    1993-12-31

    A low energy system for ethanol recovery and dehydration has been developed. This system utilizes a solvent for (1) absorption of ethanol vapors, and then the same solvent for (2) extractive distillation. The ideal solvent for this process would have a high affinity for ethanol, and no affinity for water. Heavy alcohols such as dodecanol, and tridecanol, some phosphorals, and some fatty acids have been determined to meet the desired specifications. These solvents have the effect of making water more volatile than ethanol. Thus, a water stream is taken off initially in the dehydration column, and a near anhydrous ethanol stream is recovered from the ethanol/solvent stripper column. Thus the solvent serves dual uses (1) absorption media, and (2) dehydration media. The SAED process as conceptualized would use a solvent similar to solvents used for direct extractive separation of ethanol from aqueous ethanol solutions.

  18. Flash vaporization during earthquakes evidenced by gold deposits

    Science.gov (United States)

    Weatherley, Dion K.; Henley, Richard W.

    2013-04-01

    Much of the world's known gold has been derived from arrays of quartz veins. The veins formed during periods of mountain building that occurred as long as 3 billion years ago, and were deposited by very large volumes of water that flowed along deep, seismically active faults. The veins formed under fluctuating pressures during earthquakes, but the magnitude of the pressure fluctuations and their influence on mineral deposition is not known. Here we use a simple thermo-mechanical piston model to calculate the drop in fluid pressure experienced by a fluid-filled fault cavity during an earthquake. The geometry of the model is constrained using measurements of typical fault jogs, such as those preserved in the Revenge gold deposit in Western Australia, and other gold deposits around the world. We find that cavity expansion generates extreme reductions in pressure that cause the fluid that is trapped in the jog to expand to a very low-density vapour. Such flash vaporization of the fluid results in the rapid co-deposition of silica with a range of trace elements to form gold-enriched quartz veins. Flash vaporization continues as more fluid flows towards the newly expanded cavity, until the pressure in the cavity eventually recovers to ambient conditions. Multiple earthquakes progressively build economic-grade gold deposits.

  19. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  20. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  1. Vapor deposition of molybdenum oxide using bis(ethylbenzene) molybdenum and water

    International Nuclear Information System (INIS)

    Drake, Tasha L.; Stair, Peter C.

    2016-01-01

    Three molybdenum precursors—bis(acetylacetonate) dioxomolybdenum, molybdenum isopropoxide, and bis(ethylbenzene) molybdenum—were tested for molybdenum oxide vapor deposition. Quartz crystal microbalance studies were performed to monitor growth. Molybdenum isopropoxide and bis(ethylbenzene) molybdenum achieved linear growth rates 0.01 and 0.08 Å/cycle, respectively, using atomic layer deposition techniques. Negligible MoO_x growth was observed on alumina powder using molybdenum isopropoxide, as determined by inductively coupled plasma optical emission spectroscopy. Bis(ethylbenzene) molybdenum achieved loadings of 0.5, 1.1, and 1.9 Mo/nm"2 on alumina powder after one, two, and five cycles, respectively, using atomic layer deposition techniques. The growth window for bis(ethylbenzene) molybdenum is 135–150 °C. An alternative pulsing strategy was also developed for bis(ethylbenzene) molybdenum that results in higher growth rates in less time compared to atomic layer deposition techniques. The outlined process serves as a methodology for depositing molybdenum oxide for catalytic applications. All as-deposited materials undergo further calcination prior to characterization and testing.

  2. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  3. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  4. Long-Term Stability of Polymer-Coated Surface Transverse Wave Sensors for the Detection of Organic Solvent Vapors.

    Science.gov (United States)

    Stahl, Ullrich; Voigt, Achim; Dirschka, Marian; Barié, Nicole; Richter, Christiane; Waldbaur, Ansgar; Gruhl, Friederike J; Rapp, Bastian E; Rapp, Michael; Länge, Kerstin

    2017-11-03

    Arrays with polymer-coated acoustic sensors, such as surface acoustic wave (SAW) and surface transverse wave (STW) sensors, have successfully been applied for a variety of gas sensing applications. However, the stability of the sensors' polymer coatings over a longer period of use has hardly been investigated. We used an array of eight STW resonator sensors coated with different polymers. This sensor array was used at semi-annual intervals for a three-year period to detect organic solvent vapors of three different chemical classes: a halogenated hydrocarbon (chloroform), an aliphatic hydrocarbon (octane), and an aromatic hydrocarbon (xylene). The sensor signals were evaluated with regard to absolute signal shifts and normalized signal shifts leading to signal patterns characteristic of the respective solvent vapors. No significant time-related changes of sensor signals or signal patterns were observed, i.e., the polymer coatings kept their performance during the course of the study. Therefore, the polymer-coated STW sensors proved to be robust devices which can be used for detecting organic solvent vapors both qualitatively and quantitatively for several years.

  5. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  6. Determination of solvent concentration-dependent dispersion in the vapor extraction (VAPEX) process

    Energy Technology Data Exchange (ETDEWEB)

    Abukhalifeh, H.; Lohi, A.; Upreti, S. [Ryerson Polytechnic Univ., Toronto, ON (Canada)

    2008-07-01

    This paper presented the results of a computational algorithm that revealed the optimal conditions required for vapor extraction (VAPEX) for a solvent gas-heavy oil system. VAPEX is a promising recovery process because it requires low energy use and emits fewer greenhouse gases to the atmosphere compared to other enhanced oil recovery methods. The process is governed by the dispersion of solvent gases into heavy oil and bitumen. As such, it is essential to accurately determine solvent dispersion in VAPEX in order to effectively predict the amount and time scale of oil recovery, and to optimize field operations. VAPEX experiments were conducted in this study to determined the dispersion coefficient of a solvent as a function of its concentration in heavy oil and bitumen. The principles of variational calculus were used together with a mass transfer model of the experimental process. It was concluded that the oil production determined by the model should agree with its experimental counterpart, given the optimal gas dispersion versus concentration function.

  7. Corrosion processes of physical vapor deposition-coated metallic implants.

    Science.gov (United States)

    Antunes, Renato Altobelli; de Oliveira, Mara Cristina Lopes

    2009-01-01

    Protecting metallic implants from the harsh environment of physiological fluids is essential to guaranteeing successful long-term use in a patient's body. Chemical degradation may lead to the failure of an implant device in two different ways. First, metal ions may cause inflammatory reactions in the tissues surrounding the implant and, in extreme cases, these reactions may inflict acute pain on the patient and lead to loosening of the device. Therefore, increasing wear strength is beneficial to the performance of the metallic implant. Second, localized corrosion processes contribute to the nucleation of fatigue cracks, and corrosion fatigue is the main reason for the mechanical failure of metallic implants. Common biomedical alloys such as stainless steel, cobalt-chrome alloys, and titanium alloys are prone to at least one of these problems. Vapor-deposited hard coatings act directly to improve corrosion, wear, and fatigue resistances of metallic materials. The effectiveness of the corrosion protection is strongly related to the structure of the physical vapor deposition layer. The aim of this paper is to present a comprehensive review of the correlation between the structure of physical vapor deposition layers and the corrosion properties of metallic implants.

  8. High Temperature Multilayer Environmental Barrier Coatings Deposited Via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan James; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2014-01-01

    Si-based ceramic matrix composites (CMCs) require environmental barrier coatings (EBCs) in combustion environments to avoid rapid material loss. Candidate EBC materials have use temperatures only marginally above current technology, but the addition of a columnar oxide topcoat can substantially increase the durability. Plasma Spray-Physical Vapor Deposition (PS-PVD) allows application of these multilayer EBCs in a single process. The PS-PVD technique is a unique method that combines conventional thermal spray and vapor phase methods, allowing for tailoring of thin, dense layers or columnar microstructures by varying deposition conditions. Multilayer coatings were deposited on CMC specimens and assessed for durability under high heat flux and load. Coated samples with surface temperatures ranging from 2400-2700F and 10 ksi loads using the high heat flux laser rigs at NASA Glenn. Coating morphology was characterized in the as-sprayed condition and after thermomechanical loading using electron microscopy and the phase structure was tracked using X-ray diffraction.

  9. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  10. Use of process indices for simplification of the description of vapor deposition systems

    International Nuclear Information System (INIS)

    Kajikawa, Yuya; Noda, Suguru; Komiyama, Hiroshi

    2004-01-01

    Vapor deposition is a complex process, including gas-phase, surface, and solid-phase phenomena. Because of the complexity of chemical and physical processes occurring in vapor deposition processes, it is difficult to form a comprehensive, fundamental understanding of vapor deposition and to control such systems for obtaining desirable structures and performance. To overcome this difficulty, we present a method for simplifying the complex description of such systems. One simplification method is to separate complex systems into multiple elements, and determine which of these are important elements. We call this method abridgement. The abridgement method retains only the dominant processes in a description of the system, and discards the others. Abridgement can be achieved by using process indices to evaluate the relative importance of the elementary processes. We describe the formulation and use of these process indices through examples of the growth of continuous films, initial deposition processes, and the formation of the preferred orientation of polycrystalline films. In this paper, we propose a method for representing complex vapor deposition processes as a set of simpler processes

  11. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  12. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  13. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  14. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  15. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  16. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  17. Vapor deposition in basaltic stalactites, Kilauea, Hawaii

    Science.gov (United States)

    Baird, A. K.; Mohrig, D. C.; Welday, E. E.

    Basaltic stalacties suspended from the ceiling of a large lava tube at Kilauea, Hawaii, have totally enclosed vesicles whose walls are covered with euhedral FeTi oxide and silicate crystals. The walls of the vesicles and the exterior surfaces of stalactites are Fe and Ti enriched and Si depleted compared to common basalt. Minerals in vesicles have surface ornamentations on crystal faces which include alkali-enriched, aluminosilicate glass(?) hemispheres. No sulfide-, chloride-, fluoride-, phosphate- or carbonate-bearing minerals are present. Minerals in the stalactites must have formed by deposition from an iron oxide-rich vapor phase produced by the partial melting and vaporization of wall rocks in the tube.

  18. Vapor deposition of large area NpO2 and UO2 deposits

    International Nuclear Information System (INIS)

    Adair, H.L.; Gibson, J.R.; Kobisk, E.H.; Dailey, J.M.

    1976-01-01

    Deposition of NpO 2 and UO 2 thin films over an area of 7.5 to 10 cm diam has become a routine operation in preparation of fission chamber plates. Vacuum evaporation or electroplating has been used for this purpose. The ''paint brush'' technique has been used as well; however, uniformity requirements normally eliminate this procedure. Vapor deposition in vacuum appears to be the most suitable technique for preparing NpO 2 and UO 2 deposits of >200 cm 2 . This paper describes the procedures used in preparing uniform large area deposits of NpO 2 (approximately 300 cm 2 ) and UO 2 (approximately 2000 cm 2 ) by vacuum evaporation using electron bombardment heating and several substrate motion and heating methods to achieve uniformity and adhesion

  19. Toward an equilibrium structure in lamellar diblock copolymer thin films using solvent vapor annealing

    DEFF Research Database (Denmark)

    Sepe, Alessandro; Zhang, Jianqi; Perlich, Jan

    2016-01-01

    Solvent vapor annealing (SVA) is frequently used to improve the ordering in diblock copolymer thin films. An important question is which SVA protocol should be chosen to ensure thermodynamic equilibrium. Here, we investigate two thin films from a low molar-mass, lamellae-forming polystyrene....... SVA cycles were carried out with cyclohexane, and the structural changes were followed in-situ using time-resolved grazing-incidence small-angle X-ray scattering (GISAXS). Before and after SVA, Dlam,par is significantly lower than in the bulk, i.e. the equi-librium value of Dlam,par in thin film...... glassy again, affinely. During the second SVA cycle on the thin film, the scaling behavior of the lamellar thickness is identical to the one during the first drying and to the drying behavior of the thicker film. We conclude that one cycle of solvent vapor treatment with a degree of swelling of ca. 1...

  20. Growth and electrical properties of AlOx grown by mist chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Toshiyuki Kawaharamura

    2013-03-01

    Full Text Available Aluminum oxide (AlOx thin films were grown using aluminum acetylacetonate (Al(acac3 as a source solute by mist chemical vapor deposition (mist CVD. The AlOx thin films grown at temperatures above 400°C exhibited a breakdown field (EBD over 6 MV/cm and a dielectric constant (κ over 6. It is suggested that residual OH bonding in the AlOx thin films grown at temperatures below 375°C caused degradation of the breakdown field (EBD. With FC type mist CVD, the reaction proceeded efficiently (Ea = 22–24 kJ/mol because the solvent, especially H2O, worked as a stronger oxygen source. The AlOx film could be grown at 450°C with a high deposition rate (23 nm/min and smooth surface (RMS = 1.5 nm. Moreover, the AlOx thin films grown by mist CVD had excellent practicality as insulators because the gate leakage current (IG of the oxide thin film transistor (TFT with an IGZO/AlOx stack was suppressed below 1 pA at a gate voltage (VG of 20 V.

  1. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  2. Magmatic Vapor Phase Transport of Copper in Reduced Porphyry Copper-Gold Deposits: Evidence From PIXE Microanalysis of Fluid Inclusions

    Science.gov (United States)

    Rowins, S. M.; Yeats, C. J.; Ryan, C. G.

    2002-05-01

    Nondestructive proton-induced X-ray emission (PIXE) studies of magmatic fluid inclusions in granite-related Sn-W deposits [1] reveal that copper transport out of reduced felsic magmas is favored by low-salinity vapor and not co-existing high-salinity liquid (halite-saturated brine). Copper transport by magmatic vapor also has been documented in oxidized porphyry Cu-Au deposits, but the magnitude of Cu partitioning into the vapor compared to the brine generally is less pronounced than in the reduced magmatic Sn-W systems [2]. Consideration of these microanalytical data leads to the hypothesis that Cu and, by inference, Au in the recently established "reduced porphyry copper-gold" (RPCG) subclass should partition preferentially into vapor and not high-salinity liquid exsolving directly from fluid-saturated magmas [3-4]. To test this hypothesis, PIXE microanalysis of primary fluid inclusions in quartz-sulfide (pyrite, pyrrhotite & chalcopyrite) veins from two RPCG deposits was undertaken using the CSIRO-GEMOC nuclear microprobe. PIXE microanalysis for the ~30 Ma San Anton deposit (Mexico) was done on halite-saturated aqueous brine (deposit (W. Australia) was done on halite-saturated "aqueous" inclusions, which contain a small (deposits of the new RPCG subclass demonstrate the greater potential of these systems, compared to the classically oxidized porphyry Cu-Au systems, to transport Cu and probably precious metals in a magmatic aqueous vapor phase. These PIXE data also support the possibility that Cu partitions preferentially into an immiscible CO2-rich magmatic fluid. References: [1] Heinrich, C.A. et al. (1992) Econ. Geol., 87, 1566-1583. [2] Heinrich, C.A. et al. (1999) Geology, 27, 755-758. [3] Rowins, S.M. (2000) Geology, 28, 491-494. [4] Rowins, S.M. (2000) The Gangue, GAC-MDD Newsletter, 67, 1-7 (www.gac.ca). [5] Rowins, S.M. et al. (1993) Geol. Soc. Australia Abs., 34, 68-70.

  3. Chemical deposition methods using supercritical fluid solutions

    Science.gov (United States)

    Sievers, Robert E.; Hansen, Brian N.

    1990-01-01

    A method for depositing a film of a desired material on a substrate comprises dissolving at least one reagent in a supercritical fluid comprising at least one solvent. Either the reagent is capable of reacting with or is a precursor of a compound capable of reacting with the solvent to form the desired product, or at least one additional reagent is included in the supercritical solution and is capable of reacting with or is a precursor of a compound capable of reacting with the first reagent or with a compound derived from the first reagent to form the desired material. The supercritical solution is expanded to produce a vapor or aerosol and a chemical reaction is induced in the vapor or aerosol so that a film of the desired material resulting from the chemical reaction is deposited on the substrate surface. In an alternate embodiment, the supercritical solution containing at least one reagent is expanded to produce a vapor or aerosol which is then mixed with a gas containing at least one additional reagent. A chemical reaction is induced in the resulting mixture so that a film of the desired material is deposited.

  4. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  5. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  6. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  7. Fluorescent carbon quantum dots synthesized by chemical vapor deposition: An alternative candidate for electron acceptor in polymer solar cells

    Science.gov (United States)

    Cui, Bo; Yan, Lingpeng; Gu, Huimin; Yang, Yongzhen; Liu, Xuguang; Ma, Chang-Qi; Chen, Yongkang; Jia, Husheng

    2018-01-01

    Excitation-wavelength-dependent blue-greenish fluorescent carbon quantum dots (CQDs) with graphite structure were synthesized by chemical vapor deposition (CVD) method. In comparison with those synthesized by hydrothermal method (named H-CQDs), C-CQDs have less hydrophilic terminal groups, showing good solubility in common organic solvents. Furthermore, these synthesized C-CQDs show a low LUMO energy level (LUMO = -3.84 eV), which is close to that of phenyl-C61-butyric acid methyl ester (PC61BM, LUMO = -4.01 eV), the most widely used electron acceptor in polymer solar cells. Photoluminescence quenching of the poly(3-hexylthiophene-2,5-diyl):C-CQDs blended film (P3HT:C-CQDs) indicated that a photo-induced charge transfer between P3HT and C-CQDs occurs in such a composite film. Bulk heterojunction solar cells using C-CQDs as electron acceptors or doping materials were fabricated and tested. High fill factors were achieved for these C-CQDs based polymer solar cells, demonstrating that CQDs synthesized by CVD could be alternative to the fullerene derivatives for applying in polymer solar cells.

  8. Vapor deposition on doublet airfoil substrates: Control of coating thickness and microstructure

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-11-15

    Gas jet assisted vapor deposition processes for depositing coatings are conducted at higher pressures than conventional physical vapor deposition methods, and have shown promise for coating complex shaped substrates including those with non-line-of-sight (NLS) regions on their surface. These regions typically receive vapor atoms at a lower rate and with a wider incident angular distribution than substrate regions in line-of-sight (LS) of the vapor source. To investigate the coating of such substrates, the thickness and microstructure variation along the inner (curved) surfaces of a model doublet airfoil containing both LS and NLS regions has been investigated. Results from atomistic simulations and experiments confirm that the coating's thickness is thinner in flux-shadowed regions than in other regions for all the coating processes investigated. They also indicated that the coatings columnar microstructure and pore volume fraction vary with surface location through the LS to NLS transition zone. A substrate rotation strategy for optimizing the thickness over the entire doublet airfoil surface was investigated, and led to the identification of a process that resulted in only small variation of coating thickness, columnar growth angle, and pore volume fraction on all doublet airfoil surfaces.

  9. Effect of protic solvents on CdS thin films prepared by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw; Chen, Chun-Yu

    2015-03-31

    In this study, cadmium sulfide (CdS) thin films are grown on glass substrates by chemical bath deposition (CBD) in an aqueous bath containing 10–20 vol.% alcohol. The roles of ethanol as a protic solvent that substantially improves the quality of films are explored extensively. The deposited films in an alcohol bath are found to be more compact and smoother with smaller CdS grains. The X-ray diffractograms of the samples confirm that all films were polycrystalline with mixed wurtzite (hexagonal) and zinkblende (cubic) phases. Raman spectra indicate that, for a film deposited in an alcohol bath, the position of 1LO is closer to the value for single crystal CdS, indicating that these films have a high degree of crystallinity. The as-deposited CdS thin films in a 10 vol.% alcohol bath were found to have the highest visible transmittance of 81.9%. XPS analysis reveals a stronger signal of C1s for samples deposited in the alcohol baths, indicating that there are more carbonaceous residues on the films with protic solvent than on the films with water. A higher XPS S/Cd atomic ratio for films deposited in an alcohol bath indicates that undesirable surface reactions (leading to sulfur containing compounds other than CdS) occur less frequently over the substrates. - Highlights: • Study of CBD-CdS films grown in an alcohol-containing aqueous bath is reported. • The deposited films in an alcohol bath are more compact with smaller CdS grains. • Raman spectra show that in an alcohol bath, the CdS film has a better crystallinity. • XPS reveals more carbon residues remain on the films deposited using alcohol bath. • In an alcohol bath, the undesirable surface reactions with Cd ions were hindered.

  10. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  11. Controlled Crystal Grain Growth in Mixed Cation-Halide Perovskite by Evaporated Solvent Vapor Recycling Method for High Efficiency Solar Cells.

    Science.gov (United States)

    Numata, Youhei; Kogo, Atsushi; Udagawa, Yosuke; Kunugita, Hideyuki; Ema, Kazuhiro; Sanehira, Yoshitaka; Miyasaka, Tsutomu

    2017-06-07

    We developed a new and simple solvent vapor-assisted thermal annealing (VA) procedure which can reduce grain boundaries in a perovskite film for fabricating highly efficient perovskite solar cells (PSCs). By recycling of solvent molecules evaporated from an as-prepared perovskite film as a VA vapor source, named the pot-roast VA (PR-VA) method, finely controlled and reproducible device fabrication was achieved for formamidinium (FA) and methylammonium (MA) mixed cation-halide perovskite (FAPbI 3 ) 0.85 (MAPbBr 3 ) 0.15 . The mixed perovskite was crystallized on a low-temperature prepared brookite TiO 2 mesoporous scaffold. When exposed to very dilute solvent vapor, small grains in the perovskite film gradually unified into large grains, resulting in grain boundaries which were highly reduced and improvement of photovoltaic performance in PSC. PR-VA-treated large grain perovskite absorbers exhibited stable photocurrent-voltage performance with high fill factor and suppressed hysteresis, achieving the best conversion efficiency of 18.5% for a 5 × 5 mm 2 device and 15.2% for a 1.0 × 1.0 cm 2 device.

  12. System Model of Heat and Mass Transfer Process for Mobile Solvent Vapor Phase Drying Equipment

    Directory of Open Access Journals (Sweden)

    Shiwei Zhang

    2014-01-01

    Full Text Available The solvent vapor phase drying process is one of the most important processes during the production and maintenance for large oil-immersed power transformer. In this paper, the working principle, system composition, and technological process of mobile solvent vapor phase drying (MVPD equipment for transformer are introduced in detail. On the basis of necessary simplification and assumption for MVPD equipment and process, a heat and mass transfer mathematical model including 40 mathematical equations is established, which represents completely thermodynamics laws of phase change and transport process of solvent, water, and air in MVPD technological processes and describes in detail the quantitative relationship among important physical quantities such as temperature, pressure, and flux in key equipment units and process. Taking a practical field drying process of 500 KV/750 MVA power transformer as an example, the simulation calculation of a complete technological process is carried out by programming with MATLAB software and some relation curves of key process parameters changing with time are obtained such as body temperature, tank pressure, and water yield. The change trend of theoretical simulation results is very consistent with the actual production record data which verifies the correctness of mathematical model established.

  13. Thermal behaviour of agitated gas-liquid reactors with a vaporizing solvent/air oxidation of hydrocarbons

    NARCIS (Netherlands)

    Westerterp, K.R.; Crombeen, P.R.J.J.

    1983-01-01

    Many highly exothermic gas-liquid reactions are carried out with a vaporizing solvent, which after condensation is returned to the reactor. In this way the liberated reaction heat for a large part is absorbed by the cooling water flowing through the condenser. In order to determine the influence of

  14. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  15. An in situ grazing incidence x-ray scattering study of block copolymer thin films during solvent vapor annealing

    Science.gov (United States)

    Gu, Xiaodan; Gunkel, Ilja; Hexemer, Alexander; Russell, Thomas

    2014-03-01

    Although solvent vapor annealing (SVA) has been widely applied to block copolymer (BCP) thin films to obtain well-ordered microdomains, the mechanism of enhancing lateral order is not well understood. Here, we used real time in situ grazing-incidence small-angle x-ray scattering (in situGISAXS) to study the self-assembly of PS-b-P2VP BCP BCPs with different molecular weights thin films in THF vapor, a near neutral solvent for both blocks. Both swelling and deswelling behavior of BCP thin films were examined. The extent of swellingand the solvent removal rate not only affect the domain spacing of BCPs but also dictate the extent of lateral ordering of the BCP microdomains. Larger grains were observed at higher values of the swelling ratio (close to disordering). To preserve the maximal lateral ordering of the microdomains in the swollen state, the fastest solvent removal rate is required to freeze in the ordered microdomain structure of the swollen BCP film. We thanks support from U.S. Department of Energy BES under contract BES-DE-FG02-96ER45612 and ALS doctoral fellowship.

  16. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  17. Micro- and nano-surface structures based on vapor-deposited polymers

    Directory of Open Access Journals (Sweden)

    Hsien-Yeh Chen

    2017-07-01

    Full Text Available Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

  18. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  19. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  20. Influence of Molecular Shape on Molecular Orientation and Stability of Vapor-Deposited Organic Semiconductors

    Science.gov (United States)

    Walters, Diane M.; Johnson, Noah D.; Ediger, M. D.

    Physical vapor deposition is commonly used to prepare active layers in organic electronics. Recently, it has been shown that molecular orientation and packing can be tuned by changing the substrate temperature during deposition, while still producing macroscopically homogeneous films. These amorphous materials can be highly anisotropic when prepared with low substrate temperatures, and they can exhibit exceptional kinetic stability; films retain their favorable packing when heated to high temperatures. Here, we study the influence of molecular shape on molecular orientation and stability. We investigate disc-shaped molecules, such as TCTA and m-MTDATA, nearly spherical molecules, such as Alq3, and linear molecules covering a broad range of aspect ratios, such as p-TTP and BSB-Cz. Disc-shaped molecules have preferential horizontal orientation when deposited at low substrate temperatures, and their orientation can be tuned by changing the substrate temperature. Alq3 forms stable, amorphous films that are optically isotropic when vapor deposited over a broad range of substrate temperatures. This work may guide the choice of material and deposition conditions for vapor-deposited films used in organic electronics and allow for more efficient devices to be fabricated.

  1. Latent fingermark development using low-vacuum vaporization of ninhydrin.

    Science.gov (United States)

    Chen, Chun-Chieh; Yang, Chao-Kai; Liao, Jeh-Shane; Wang, Sheng-Meng

    2015-12-01

    The vacuum technique is a method of vaporizing a solid material to its gas phase, helping deposit reagents gently on target surfaces to develop latent fingermarks. However, this application is rarely reported in the literature. In this study, a homemade fume hood with a built-in vacuum control system and programmable heating system designed by the Taiwan Criminal Investigation Bureau is introduced. Factors that affect the instrument's performance in developing fingermarks are discussed, including the quantity of chemicals for vaporization, heating program arrangement, and paper of different materials. The results show that fingermarks are effectively developed by vaporizing solid ninhydrin. This would be an alternative application in selecting a solvent-free method for protecting the environment and reducing health hazards in the lab. In terms of the heating program, the result indicates that under a low-vacuum condition (50 mTorr), 80-90 °C is a suitable temperature range for ninhydrin vaporization, allowing ninhydrin to be vaporized without bumping and waste. In terms of the performance on different material papers, this instrument demonstrates its capacity by developing latent fingermarks on thermal paper without discoloration or damaging the original writing, and the same results are also observed on Taiwan and United States banknotes. However, a coherent result could be hardly obtained using the same vaporization setting because different banknotes have their own surface features and water absorption ability or other unique factors may influence the effect of ninhydrin deposition. This study provides a reliable application for developing latent fingermarks without using solvents, and it is also expected to contribute to environmental protection along with the trend of green chemistry technology. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  2. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  3. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  4. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  5. Cyclic Solvent Vapor Annealing for Rapid, Robust Vertical Orientation of Features in BCP Thin Films

    Science.gov (United States)

    Paradiso, Sean; Delaney, Kris; Fredrickson, Glenn

    2015-03-01

    Methods for reliably controlling block copolymer self assembly have seen much attention over the past decade as new applications for nanostructured thin films emerge in the fields of nanopatterning and lithography. While solvent assisted annealing techniques are established as flexible and simple methods for achieving long range order, solvent annealing alone exhibits a very weak thermodynamic driving force for vertically orienting domains with respect to the free surface. To address the desire for oriented features, we have investigated a cyclic solvent vapor annealing (CSVA) approach that combines the mobility benefits of solvent annealing with selective stress experienced by structures oriented parallel to the free surface as the film is repeatedly swollen with solvent and dried. Using dynamical self-consistent field theory (DSCFT) calculations, we establish the conditions under which the method significantly outperforms both static and cyclic thermal annealing and implicate the orientation selection as a consequence of the swelling/deswelling process. Our results suggest that CSVA may prove to be a potent method for the rapid formation of highly ordered, vertically oriented features in block copolymer thin films.

  6. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  7. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  8. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  9. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  10. Emulsion-Based RIR-MAPLE Deposition of Conjugated Polymers: Primary Solvent Effect and Its Implications on Organic Solar Cell Performance.

    Science.gov (United States)

    Ge, Wangyao; Li, Nan K; McCormick, Ryan D; Lichtenberg, Eli; Yingling, Yaroslava G; Stiff-Roberts, Adrienne D

    2016-08-03

    Emulsion-based, resonant infrared matrix-assisted pulsed laser evaporation (RIR-MAPLE) has been demonstrated as an alternative technique to deposit conjugated polymer films for photovoltaic applications; yet, a fundamental understanding of how the emulsion target characteristics translate into film properties and solar cell performance is unclear. Such understanding is crucial to enable the rational improvement of organic solar cell (OSC) efficiency and to realize the expected advantages of emulsion-based RIR-MAPLE for OSC fabrication. In this paper, the effect of the primary solvent used in the emulsion target is studied, both experimentally and theoretically, and it is found to determine the conjugated polymer cluster size in the emulsion as well as surface roughness and internal morphology of resulting polymer films. By using a primary solvent with low solubility-in-water and low vapor pressure, the surface roughness of deposited P3HT and PCPDTBT polymer films was reduced to 10 nm, and the efficiency of P3HT:PC61BM OSCs was increased to 3.2% (∼100 times higher compared to the first MAPLE OSC demonstration [ Caricato , A. P. ; Appl. Phys. Lett. 2012 , 100 , 073306 ]). This work unveils the mechanism of polymer film formation using emulsion-based RIR-MAPLE and provides insight and direction to determine the best ways to take advantage of the emulsion target approach to control film properties for different applications.

  11. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  12. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  13. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  14. Substrate-induced phase of a [1]benzothieno[3,2-b]benzothiophene derivative and phase evolution by aging and solvent vapor annealing.

    Science.gov (United States)

    Jones, Andrew O F; Geerts, Yves H; Karpinska, Jolanta; Kennedy, Alan R; Resel, Roland; Röthel, Christian; Ruzié, Christian; Werzer, Oliver; Sferrazza, Michele

    2015-01-28

    Substrate-induced phases (SIPs) are polymorphic phases that are found in thin films of a material and are different from the single crystal or "bulk" structure of a material. In this work, we investigate the presence of a SIP in the family of [1]benzothieno[3,2-b]benzothiophene (BTBT) organic semiconductors and the effect of aging and solvent vapor annealing on the film structure. Through extensive X-ray structural investigations of spin coated films, we find a SIP with a significantly different structure to that found in single crystals of the same material forms; the SIP has a herringbone motif while single crystals display layered π-π stacking. Over time, the structure of the film is found to slowly convert to the single crystal structure. Solvent vapor annealing initiates the same structural evolution process but at a greatly increased rate, and near complete conversion can be achieved in a short period of time. As properties such as charge transport capability are determined by the molecular structure, this work highlights the importance of understanding and controlling the structure of organic semiconductor films and presents a simple method to control the film structure by solvent vapor annealing.

  15. Communication: Surface-facilitated softening of ordinary and vapor-deposited glasses

    Science.gov (United States)

    Cubeta, Ulyana; Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2017-08-01

    A common distinction between the ordinary glasses formed by melt cooling and the stable amorphous films formed by vapor deposition is the apparent mechanism of their devitrification. Using quasi-adiabatic, fast scanning calorimetry that is capable of heating rates in excess of 105 K s-1, we have investigated the softening kinetics of micrometer-scale, ordinary glass films of methylbenzene and 2-propanol. At the limit of high heating rates, the transformation mechanism of ordinary glasses is identical to that of their stable vapor-deposited counterparts. In both cases, softening is likely to begin at the sample surface and progress into its bulk via a transformation front. Furthermore, such a surface-facilitated mechanism complies with zero-order, Arrhenius rate law. The activation energy barriers for the softening transformation imply that the kinetics must be defined, at least in part, by the initial thermodynamic and structural state of the samples.

  16. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  17. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  18. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  19. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  20. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  1. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  2. Sorption mechanism of solvent vapors to coals; Sekitan eno yobai joki no shuchaku kiko no kaiseki

    Energy Technology Data Exchange (ETDEWEB)

    Shimizu, K.; Takanohashi, T.; Iino, M. [Tohoku University, Sendai (Japan). Institute for Chemical Reaction Science

    1996-10-28

    With an objective to clarify the interactions between micropore structure of coal and solvent reagents, a sorption experiment was carried out under solvent saturated vapor pressure. Low-volatile bituminous coal, Pocahontas No. 3 coal, has the aromatic ring structure developed, and makes solvent more difficult to diffuse into coal, hence sorption amount is small. Methanol has permeated since its polarity is high. High-volatile bituminous coal, Illinois No. 6 coal, makes solvent penetrate easily, and the sorption amount was large with both of aromatic and polar solvents. Since brown coal, Beulah Zap coal, contains a large amount of oxygen, and hydrogen bonding is predominant, sorption amount of cyclohexane and benzene having no polarity is small. Methanol diffuses while releasing hydrogen bond due to its polarity, and its sorption amount is large. A double sorption model is available, which expresses the whole sorption amount as a sum of physical sorption amount and amount of permeation into coal. This model was applied when it explained successfully the sorption behavior of the solvents relative to coals, excepting some of the systems. However, also observed were such abnormal behavior as sorption impediment due to interactions between coal surface and solvents, and permeation impediment due to hydroxyl groups inside the coals. 1 ref., 10 figs., 2 tabs.

  3. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  4. CMAS Interactions with Advanced Environmental Barrier Coatings Deposited via Plasma Spray- Physical Vapor Deposition

    Science.gov (United States)

    Harder, B. J.; Wiesner, V. L.; Zhu, D.; Johnson, N. S.

    2017-01-01

    Materials for advanced turbine engines are expected to have temperature capabilities in the range of 1370-1500C. At these temperatures the ingestion of sand and dust particulate can result in the formation of corrosive glass deposits referred to as CMAS. The presence of this glass can both thermomechanically and thermochemically significantly degrade protective coatings on metallic and ceramic components. Plasma Spray- Physical Vapor Deposition (PS-PVD) was used to deposit advanced environmental barrier coating (EBC) systems for investigation on their interaction with CMAS compositions. Coatings were exposed to CMAS and furnace tested in air from 1 to 50 hours at temperatures ranging from 1200-1500C. Coating composition and crystal structure were tracked with X-ray diffraction and microstructure with electron microscopy.

  5. A solvent/non-solvent system for achieving solution-processed multilayer organic light-emitting devices

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Yue; Wu, Zhaoxin, E-mail: zhaoxinwu@mail.xjtu.edu.cn; He, Lin; Jiao, Bo; Hou, Xun

    2015-08-31

    We developed a solvent/non-solvent system to fabricate the multilayer organic light-emitting devices (OLEDs) based on poly(N-vinylcarbazole) (PVK) by solution-process. This solvent system consists of both the solvent and non-solvent of PVK, in which fluorescent small molecules could be fully dissolved and directly spin-coated on top of the PVK layer; it could effectively avoid the redissolution of PVK during the spin-coating process of small molecules emitting layer. In the further investigation of this system, we also demonstrated the three-component solvent system, and found out that the third component, a less volatile solvent of PVK, was crucial for preparing a smoother interface between PVK and emitting layer. Compared with OLEDs through the vacuum deposition, the devices fabricated by solution-process from the solvent/non-solvent system showed comparable efficiency, which indicate that the solvent/non-solvent system can be used as an alternative process to prepare the polymer and small molecule multilayer devices through all-solution-process. - Highlights: • We fabricate the multilayer OLEDs by solution-process using a novel system. • We develop a solvent/non-solvent system of polymer (PVK) to avoid redissolution. • Small molecules could be fully dissolved and directly spin-coated on PVK layer. • The devices fabricated by the system and vacuum deposition show comparable efficiency.

  6. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  7. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  8. Gaseous material capacity of open plasma jet in plasma spray-physical vapor deposition process

    Science.gov (United States)

    Liu, Mei-Jun; Zhang, Meng; Zhang, Qiang; Yang, Guan-Jun; Li, Cheng-Xin; Li, Chang-Jiu

    2018-01-01

    Plasma spray-physical vapor deposition (PS-PVD) process, emerging as a highly efficient hybrid approach, is based on two powerful technologies of both plasma spray and physical vapor deposition. The maximum production rate is affected by the material feed rate apparently, but it is determined by the material vapor capacity of transporting plasma actually and essentially. In order to realize high production rate, the gaseous material capacity of plasma jet must be fundamentally understood. In this study, the thermal characteristics of plasma were measured by optical emission spectrometry. The results show that the open plasma jet is in the local thermal equilibrium due to a typical electron number density from 2.1 × 1015 to 3.1 × 1015 cm-3. In this condition, the temperature of gaseous zirconia can be equal to the plasma temperature. A model was developed to obtain the vapor pressure of gaseous ZrO2 molecules as a two dimensional map of jet axis and radial position corresponding to different average plasma temperatures. The overall gaseous material capacity of open plasma jet, take zirconia for example, was further established. This approach on evaluating material capacity in plasma jet would shed light on the process optimization towards both depositing columnar coating and a high production rate of PS-PVD.

  9. Phase diagram of interfacial growth modes by vapor deposition and its application for ZnO nanostructures

    Science.gov (United States)

    Shu, Da-Jun; Xiong, Xiang; Liu, Ming; Wang, Mu

    2017-09-01

    Interfacial growth from vapor has been extensively studied. However, a straightforward picture of the growth mode under different growth conditions is still lacking. In this paper, we develop a comprehensive interfacial growth theory based on the stochastic approach. Using a critical interisland separation, we construct a general phase diagram of the growth modes. It has been revealed that if the Ehrlich-Schwoebel barrier EES is smaller than a critical value, the interfacial growth proceeds in a layer-by-layer (LBL) mode at any deposition rate. However, if EES is larger than the critical value, LBL growth occurs only at very small or very large deposition rates relative to the intralayer hopping rate, and multilayer (ML) growth occurs at a moderate deposition rate. Experiments with zinc oxide growth by chemical vapor deposition have been designed to qualitatively demonstrate the theoretical model. By changing the flux of the carrier gas (nitrogen gas) in chemical vapor deposition, we realize LBL, ML, and then reentrance of LBL homoepitaxial growth of ZnO successively. Moreover, we find that surface kinetics of ZnO is suppressed by decreasing oxygen partial pressure by comparing the experimental observations and theoretical models, which is supported by our recent first-principles calculations. Since the influence of the substrate and the growth species on growth can approximately be represented by binding energy and surface kinetics, we suggest that the phase diagram is essential for interfacial growth of different materials by vapor deposition.

  10. Vapor-Phase Deposition and Modification of Metal-Organic Frameworks: State-of-the-Art and Future Directions.

    Science.gov (United States)

    Stassen, Ivo; De Vos, Dirk; Ameloot, Rob

    2016-10-04

    Materials processing, and thin-film deposition in particular, is decisive in the implementation of functional materials in industry and real-world applications. Vapor processing of materials plays a central role in manufacturing, especially in electronics. Metal-organic frameworks (MOFs) are a class of nanoporous crystalline materials on the brink of breakthrough in many application areas. Vapor deposition of MOF thin films will facilitate their implementation in micro- and nanofabrication research and industries. In addition, vapor-solid modification can be used for postsynthetic tailoring of MOF properties. In this context, we review the recent progress in vapor processing of MOFs, summarize the underpinning chemistry and principles, and highlight promising directions for future research. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  12. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  13. Effect of thermal history on the structure of chemically and vapor deposited silver films on glass

    International Nuclear Information System (INIS)

    Shelby, J.E.; Nichols, M.C.; Smith, D.K. Jr.; Vitko, J. Jr.

    1981-01-01

    The observation of silver agglomeration in second surface mirrors used for solar applications has emphasized consideration of the effect of thermal history on the optical properties of mirrors. Thermal history effects may arise from the processing of mirrors, the application of protective coatings, or from outdoor exposure. Mirrors may be subject to elevated temperatures (T less than or equal to 400 0 C) for short periods of time, or to low temperatures (T less than or equal to 60 0 C) for long (less than or equal to 30 years) periods of time. Although a significant amount of work has been done on thermally driven agglomeration of silver films, most of these studies have been restricted to vapor deposited films on vitreous silica. Large area reflectors, such as those used in heliostats, will almost certainly be deposited by commercial chemical methods on substrates of soda-lime-silicate or other glasses which differ considerably from vitreous silica in composition and properties. The present study addresses the effect of this change in deposition technique and substrate on silver agglomeration. These problems were studied by optical and scanning electron microscopy, reflectometry, and x-ray diffraction. The results indicate that both the method used to deposit the silver and the type of glass affect the agglomeration process and the character of the reflective film

  14. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    Science.gov (United States)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  15. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  16. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  17. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  18. Dependence of the surface roughness of MAPLE-deposited films on the solvent parameters

    Science.gov (United States)

    Caricato, A. P.; Leggieri, G.; Martino, M.; Vantaggiato, A.; Valerini, D.; Cretì, A.; Lomascolo, M.; Manera, M. G.; Rella, R.; Anni, M.

    2010-12-01

    Matrix-assisted pulsed laser evaporation (MAPLE) was used to deposit layers of poly(9,9-dioctylfluorene) (PFO) to study the relation between the solvent properties (laser light absorption, boiling temperature and solubility parameters) and the morphology of the deposited films. To this end, the polymer was diluted (0.5 wt%) in tetrahydrofuran—THF, toluene and toluene/hexane mixtures. The thickness of the films was equal to 70±20 nm. The morphology and uniformity of the films was investigated by Atomic Force Microscopy and by the photoluminescence emission properties of the polymer films, respectively. It is shown that, although the solubility parameters of the solvents are important in controlling the film roughness and morphology, the optical absorption properties and boiling temperature play a very important role, too. In fact, for matrices characterized by the same total solubility parameter, lower roughness values are obtained for films prepared using solvents with lower penetration depth of the laser radiation and higher boiling temperatures.

  19. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  20. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  1. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  2. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  3. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  4. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  5. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  6. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  7. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  8. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  9. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  10. Scaling behavior of columnar structure during physical vapor deposition

    Science.gov (United States)

    Meese, W. J.; Lu, T.-M.

    2018-02-01

    The statistical effects of different conditions in physical vapor deposition, such as sputter deposition, have on thin film morphology has long been the subject of interest. One notable effect is that of column development due to differential chamber pressure in the well-known empirical model called the Thornton's Structure Zone Model. The model is qualitative in nature and theoretical understanding with quantitative predictions of the morphology is still lacking due, in part, to the absence of a quantitative description of the incident flux distribution on the growth front. In this work, we propose an incident Gaussian flux model developed from a series of binary hard-sphere collisions and simulate its effects using Monte Carlo methods and a solid-on-solid growth scheme. We also propose an approximate cosine-power distribution for faster Monte Carlo sampling. With this model, it is observed that higher chamber pressures widen the average deposition angle, and similarly increase the growth of column diameters (or lateral correlation length) and the column-to-column separation (film surface wavelength). We treat both the column diameter and the surface wavelength as power laws. It is seen that both the column diameter exponent and the wavelength exponent are very sensitive to changes in pressure for low pressures (0.13 Pa to 0.80 Pa); meanwhile, both exponents saturate for higher pressures (0.80 Pa to 6.7 Pa) around a value of 0.6. These predictions will serve as guides to future experiments for quantitative description of the film morphology under a wide range of vapor pressure.

  11. Microstructural Effects and Properties of Non-line-of-Sight Coating Processing via Plasma Spray-Physical Vapor Deposition

    Science.gov (United States)

    Harder, Bryan J.; Zhu, Dongming; Schmitt, Michael P.; Wolfe, Douglas E.

    2017-08-01

    Plasma spray-physical vapor deposition (PS-PVD) is a unique processing method that bridges the gap between conventional thermal spray and vapor phase methods, and enables highly tailorable coatings composed of a variety of materials in thin, dense layers or columnar microstructures with modification of the processing conditions. The strengths of this processing technique are material and microstructural flexibility, deposition speed, and potential for non-line-of-sight (NLOS) capability by vaporization of the feedstock material. The NLOS capability of PS-PVD is investigated here using yttria-stabilized zirconia and gadolinium zirconate, which are materials of interest for turbine engine applications. PS-PVD coatings were applied to static cylindrical substrates approximately 6-19 mm in diameter to study the coating morphology as a function of angle. In addition, coatings were deposited on flat substrates under various impingement configurations. Impingement angle had significant effects on the deposition mode, and microscopy of coatings indicated that there was a shift in the deposition mode at approximately 90° from incidence on the cylindrical samples, which may indicate the onset of more turbulent flow and PVD-like growth. Coatings deposited at non-perpendicular angles exhibited a higher density and nearly a 2× improvement in erosion performance when compared to coatings deposited with the torch normal to the surface.

  12. TEXTILE SURFACE MODIFICATION BY PYHSICAL VAPOR DEPOSITION – (REVIEW

    Directory of Open Access Journals (Sweden)

    YUCE Ismail

    2017-05-01

    Full Text Available Textile products are used in various branches of the industry from automotive to space products. Textiles produced for industrial use are generally referred to as technical textiles. Technical textiles are nowadays applied to several areas including transportation, medicine, agriculture, protection, sports, packaging, civil engineering and industry. There are rapid developments in the types of materials used in technical textiles. Therefore, modification and functionalization of textile surfaces is becoming more crucial. The improvements of the properties such as anti-bacterial properties, fire resistivity, UV radiation resistance, electrical conductivity, self cleaning, and super hydrophobic, is getting more concern with respect to developments in textile engineering. The properties of textile surfaces are closely related to the fiber structure, the differences in the polymer composition, the fiber mixture ratio, and the physical and chemical processes applied. Textile surface modifications can be examined in four groups under the name mechanical, chemical, burning and plasma. Surface modifications are made to improve the functionality of textile products. Textile surface modifications affect the properties of the products such as softness, adhesion and wettability. The purpose of this work is to reveal varieties of vapor deposition modifications to improve functionality. For this purpose, the pyhsical vapor deposition methods, their affects on textile products and their end-uses will be reviewed.

  13. Ultrahigh Responsivity and Detectivity Graphene-Perovskite Hybrid Phototransistors by Sequential Vapor Deposition

    Science.gov (United States)

    Chang, Po-Han; Liu, Shang-Yi; Lan, Yu-Bing; Tsai, Yi-Chen; You, Xue-Qian; Li, Chia-Shuo; Huang, Kuo-You; Chou, Ang-Sheng; Cheng, Tsung-Chin; Wang, Juen-Kai; Wu, Chih-I.

    2017-04-01

    In this work, graphene-methylammonium lead iodide (MAPbI3) perovskite hybrid phototransistors fabricated by sequential vapor deposition are demonstrated. Ultrahigh responsivity of 1.73 × 107 A W-1 and detectivity of 2 × 1015 Jones are achieved, with extremely high effective quantum efficiencies of about 108% in the visible range (450-700 nm). This excellent performance is attributed to the ultra-flat perovskite films grown by vapor deposition on the graphene sheets. The hybrid structure of graphene covered with uniform perovskite has high exciton separation ability under light exposure, and thus efficiently generates photocurrents. This paper presents photoluminescence (PL) images along with statistical analysis used to study the photo-induced exciton behavior. Both uniform and dramatic PL intensity quenching has been observed over entire measured regions, consistently demonstrating excellent exciton separation in the devices.

  14. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  15. Evidence of thermal transport anisotropy in stable glasses of vapor deposited organic molecules

    Science.gov (United States)

    Ràfols-Ribé, Joan; Dettori, Riccardo; Ferrando-Villalba, Pablo; Gonzalez-Silveira, Marta; Abad, Llibertat; Lopeandía, Aitor F.; Colombo, Luciano; Rodríguez-Viejo, Javier

    2018-03-01

    Vapor deposited organic glasses are currently in use in many optoelectronic devices. Their operation temperature is limited by the glass transition temperature of the organic layers and thermal management strategies become increasingly important to improve the lifetime of the device. Here we report the unusual finding that molecular orientation heavily influences heat flow propagation in glassy films of small molecule organic semiconductors. The thermal conductivity of vapor deposited thin-film semiconductor glasses is anisotropic and controlled by the deposition temperature. We compare our data with extensive molecular dynamics simulations to disentangle the role of density and molecular orientation on heat propagation. Simulations do support the view that thermal transport along the backbone of the organic molecule is strongly preferred with respect to the perpendicular direction. This is due to the anisotropy of the molecular interaction strength that limits the transport of atomic vibrations. This approach could be used in future developments to implement small molecule glassy films in thermoelectric or other organic electronic devices.

  16. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  17. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  18. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  19. Hybrid Vapor Stripping-Vapor Permeation Process for Recovery and Dehydration of 1-Butanol and Acetone/Butanol/Ethanol from Dilute Aqueous Solutions. Part 1. Process Simulations

    Science.gov (United States)

    BACKGROUND: Fermentative production of butanol is limited to low concentrations, typically less than 2 wt% solvent, due to product inhibition. The result is high separation energy demand by conventional distillation approaches, despite favorable vapor-liquid equilibrium and parti...

  20. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  1. Processing-structure-property relationships in electron beam physical vapor deposited yttria stabilized zirconia coatings

    International Nuclear Information System (INIS)

    Rao, D. Srinivasa; Valleti, Krishna; Joshi, S. V.; Janardhan, G. Ranga

    2011-01-01

    The physical and mechanical properties of yttria stabilized zirconia (YSZ) coatings deposited by the electron beam physical vapor deposition technique have been investigated by varying the key process variables such as vapor incidence angle and sample rotation speed. The tetragonal zirconia coatings formed under varying process conditions employed were found to have widely different surface and cross-sectional morphologies. The porosity, phase composition, planar orientation, hardness, adhesion, and surface residual stresses in the coated specimens were comprehensively evaluated to develop a correlation with the process variables. Under transverse scratch test conditions, the YSZ coatings exhibited two different crack formation modes, depending on the magnitude of residual stress. The influence of processing conditions on the coating deposition rate, column orientation angle, and adhesion strength has been established. Key relationships between porosity, hardness, and adhesion are also presented.

  2. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  3. Catalyst effects of fabrication of carbon nanotubes synthesized by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; Li, H.P.; Zhao, N.Q.; He, C.N.

    2009-01-01

    Catalytic effects of the fabrication of carbon nanotubes (CNTs) by chemical vapor deposition of methane were investigated by thermogravimetric analysis. More specifically, the total yield and thermal stability characteristics of the product were examined with respect to physicochemical characteristics of the catalyst. Three kinds of Ni/Al catalysts with 5 wt%, 10 wt% and 15 wt% Ni, respectively were employed to synthesize CNTs. It was determined that an optimal Ni content of the catalyst resulted in maximum yield and most stable product. With increasing the Ni content, the CNT yield increased but they became less stable during heat treatment in air. According to transmission electron microscopy observations, the defect sites along the walls and at the ends of the raw CNTs facilitated the thermal oxidative destruction of the CNTs.

  4. Sodium vapor deposition onto a horizontal flat plate above liquid sodium surface, 2

    International Nuclear Information System (INIS)

    Kudo, Kazuhiko; Hirata, Masaru.

    1977-01-01

    The sodium vapor deposition onto a horizontal flat plate above liquid sodium surface was studied. The analysis was performed by assuming that the sodium mist is emitted into the main flow without condensation and then grows up in the main flow and drops on the sodium surface. The effects of growth of sodium mist to the system were investigated. The model of the phenomena is the sodium deposition onto a horizontal flat plate which is placed above the sodium surface with the medium cover gas. One-dimensional analysis can be done. The rate of deposition is greatly reduced when the temperature of the flat plate is lowered. For the analysis of this phenomena, it is assumed that the sodium mist grows by condensation. One of results is that the real state may be the state between the state that the condensation of mist is made in the boundary layer and the state that the mist is condensed in the main flow. Others are that there is no effect of sodium mist condensation on the rate of deposition, and that the rate of the vaporization of sodium is given by the original and the modified model. (Kato, T.)

  5. Aminosilicone solvent recovery methods and systems

    Science.gov (United States)

    Spiry, Irina Pavlovna; Perry, Robert James; Wood, Benjamin Rue; Singh, Surinder Prabhjot; Farnum, Rachel Lizabeth; Genovese, Sarah Elizabeth

    2018-02-13

    The present invention is directed to aminosilicone solvent recovery methods and systems. The methods and systems disclosed herein may be used to recover aminosilicone solvent from a carbon dioxide containing vapor stream, for example, a vapor stream that leaves an aminosilicone solvent desorber apparatus. The methods and systems of the invention utilize a first condensation process at a temperature from about 80.degree. C. to about 150.degree. C. and a second condensation process at a temperature from about 5.degree. C. to about 75.degree. C. The first condensation process yields recovered aminosilicone solvent. The second condensation process yields water.

  6. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  7. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  8. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  9. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  10. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  11. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  12. Lung Deposition Analyses of Inhaled Toxic Aerosols in Conventional and Less Harmful Cigarette Smoke: A Review

    Directory of Open Access Journals (Sweden)

    Yu Feng

    2013-09-01

    Full Text Available Inhaled toxic aerosols of conventional cigarette smoke may impact not only the health of smokers, but also those exposed to second-stream smoke, especially children. Thus, less harmful cigarettes (LHCs, also called potential reduced exposure products (PREPs, or modified risk tobacco products (MRTP have been designed by tobacco manufacturers to focus on the reduction of the concentration of carcinogenic components and toxicants in tobacco. However, some studies have pointed out that the new cigarette products may be actually more harmful than the conventional ones due to variations in puffing or post-puffing behavior, different physical and chemical characteristics of inhaled toxic aerosols, and longer exposure conditions. In order to understand the toxicological impact of tobacco smoke, it is essential for scientists, engineers and manufacturers to develop experiments, clinical investigations, and predictive numerical models for tracking the intake and deposition of toxicants of both LHCs and conventional cigarettes. Furthermore, to link inhaled toxicants to lung and other diseases, it is necessary to determine the physical mechanisms and parameters that have significant impacts on droplet/vapor transport and deposition. Complex mechanisms include droplet coagulation, hygroscopic growth, condensation and evaporation, vapor formation and changes in composition. Of interest are also different puffing behavior, smoke inlet conditions, subject geometries, and mass transfer of deposited material into systemic regions. This review article is intended to serve as an overview of contributions mainly published between 2009 and 2013, focusing on the potential health risks of toxicants in cigarette smoke, progress made in different approaches of impact analyses for inhaled toxic aerosols, as well as challenges and future directions.

  13. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  14. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  15. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  16. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  17. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  18. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  1. Supercritical fluid molecular spray film deposition and powder formation

    Science.gov (United States)

    Smith, Richard D.

    1986-01-01

    Solid films are deposited, or fine powders formed, by dissolving a solid material into a supercritical fluid solution at an elevated pressure and then rapidly expanding the solution through a short orifice into a region of relatively low pressure. This produces a molecular spray which is directed against a substrate to deposit a solid thin film thereon, or discharged into a collection chamber to collect a fine powder. Upon expansion and supersonic interaction with background gases in the low pressure region, any clusters of solvent are broken up and the solvent is vaporized and pumped away. Solute concentration in the solution is varied primarily by varying solution pressure to determine, together with flow rate, the rate of deposition and to control in part whether a film or powder is produced and the granularity of each. Solvent clustering and solute nucleation are controlled by manipulating the rate of expansion of the solution and the pressure of the lower pressure region. Solution and low pressure region temperatures are also controlled.

  2. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  3. Solvent-free functionalization of silicone rubber and efficacy of PAAm brushes grafted from an amino-PPX layer against bacterial adhesion

    NARCIS (Netherlands)

    Fundeanu, Irina; Klee, Doris; Schouten, Arend J.; Busscher, Henk J.; van der Mei, Henny C.

    Silicone rubber is a frequently employed biomaterial that is prone to bacterial adhesion and biofilm formation. In this study, the surface of silicone rubber was solvent-free functionalized by chemical vapor deposition (CVD) of poly(o-amino-p-xylylene-co-p-xylylene (amino-PPX). Subsequently, the

  4. Femtosecond fluorescence upconversion spectroscopy of vapor-deposited tris(8-hydroxyquinoline) aluminum films.

    NARCIS (Netherlands)

    Humbs, W.; Zhang, H.; Glasbeek, M.

    2000-01-01

    Abstract Vapor-deposited Alq3 is used as the green emitting layer in a class of organic light-emitting diodes. In this paper, the time dependence of the fluorescence from thin Alq3 films has been studied by means of the femtosecond fluorescence upconversion technique. From the temporally resolved

  5. Effects of argon and oxygen flow rate on water vapor barrier properties of silicon oxide coatings deposited on polyethylene terephthalate by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Sung-Ryong; Choudhury, Moinul Haque; Kim, Won-Ho; Kim, Gon-Ho

    2010-01-01

    Plasma polymer coatings were deposited from hexamethyldisiloxane on polyethylene terephthalate (PET) substrates while varying the operating conditions, such as the Ar and O 2 flow rates, at a fixed radio frequency power of 300 W. The water vapor transmission rate (WVTR) of the untreated PET was 54.56 g/m 2 /day and was decreased after depositing the silicon oxide (SiO x ) coatings. The minimum WVTR, 0.47 g/m 2 /day, was observed at Ar and O 2 flow rates of 4 and 20 sccm, respectively, with a coating thickness of 415.44 nm. The intensity of the peaks for the Si-O-Si bending at 800-820 cm -1 and Si-O-Si stretching at 1000-1150 cm -1 varied depending on the Ar and O 2 flow rates. The contact angle of the SiO x coated PET increased as the Ar flow rate was increased from 2 to 8 sccm at a fixed O 2 flow rate of 20 sccm. It decreased gradually as the oxygen flow rate increased from 12 to 28 sccm at a fixed Ar carrier gas flow rate. The examination by atomic force microscopy revealed a correlation of the SiO x morphology and the water vapor barrier performance with the Ar and O 2 flow rates. The roughness of the deposited coatings increased when either the O 2 or Ar flow rate was increased.

  6. Mechanical properties of vapor-deposited thin metallic films: a status report

    International Nuclear Information System (INIS)

    Adler, P.H.

    1982-01-01

    The mechanical properties of vapor-deposited thin metallic films are being studied in conjunction with the target fabrication group associated with the laser-fusion energy program. The purpose of the work is to gain an understanding as to which metals are structurally best suited to contain a glass microsphere filled with deuterium-tritium (D-T) gas at large internal pressures

  7. Ultrasharp Si nanowires produced by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlíková, The-Ha; Stuchlík, Jiří; Výborný, Zdeněk; Holovský, Jakub; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 4, 1-2 (2010), s. 37-39 ISSN 1862-6254 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanowires * silicon * scanning electron microscopy * hemical vapor deposition * Raman spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.660, year: 2010 http://www3.interscience.wiley.com/ cgi -bin/fulltext/123213957/HTMLSTART

  8. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  9. Buoyancy-Driven Heat Transfer During Application of a Thermal Gradient for the Study of Vapor Deposition at Low Pressure Using and Ideal Gas

    Science.gov (United States)

    Frazier, D. O.; Hung, R. J.; Paley, M. S.; Penn, B. G.; Long, Y. T.

    1996-01-01

    A mathematical model has been developed to determine heat transfer during vapor deposition of source materials under a variety of orientations relative to gravitational accelerations. The model demonstrates that convection can occur at total pressures as low as 10-2 mm Hg. Through numerical computation, using physical material parameters of air, a series of time steps demonstrates the development of flow and temperature profiles during the course of vapor deposition. These computations show that in unit gravity vapor deposition occurs by transport through a fairly complicated circulating flow pattern when applying heat to the bottom of the vessel with parallel orientation with respect to the gravity vector. The model material parameters for air predict the effect of kinematic viscosity to be of the same order as thermal diffusivity, which is the case for Prandtl number approx. 1 fluids. Qualitative agreement between experiment and the model indicates that 6-(2-methyl-4-nitroanilino)-2,4-hexadiyn-l-ol (DAMNA) at these pressures indeed approximates an ideal gas at the experiment temperatures, and may validate the use of air physical constants. It is apparent that complicated nonuniform temperature distribution in the vapor could dramatically affect the homogeneity, orientation, and quality of deposited films. The experimental test i's a qualitative comparison of film thickness using ultraviolet-visible spectroscopy on films generated in appropriately oriented vapor deposition cells. In the case where heating of the reaction vessel occurs from the top, deposition of vapor does not normally occur by convection due to a stable stratified medium. When vapor deposition occurs in vessels heated at the bottom, but oriented relative to the gravity vector between these two extremes, horizontal thermal gradients induce a complex flow pattern. In the plane parallel to the tilt axis, the flow pattern is symmetrical and opposite in direction from that where the vessel is

  10. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  11. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  12. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  13. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  14. Dynamic scaling and kinetic roughening of poly(ethylene) islands grown by vapor phase deposition

    Czech Academy of Sciences Publication Activity Database

    Choukourov, A.; Melnichuk, I.; Gordeev, I.; Kylián, O.; Hanuš, J.; Kousal, J.; Solař, P.; Hanyková, L.; Brus, Jiří; Slavínská, D.; Biederman, H.

    2014-01-01

    Roč. 565, 28 August (2014), s. 249-260 ISSN 0040-6090 Institutional support: RVO:61389013 Keywords : poly(ethylene) * physical vapor deposition * island growth Subject RIV: CD - Macromolecular Chemistry Impact factor: 1.759, year: 2014

  15. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  16. Comparison of sensor characteristics of three real-time monitors for organic vapors.

    Science.gov (United States)

    Hori, Hajime; Ishimatsu, Sumiyo; Fueta, Yukiko; Hinoue, Mitsuo; Ishidao, Toru

    2015-01-01

    Sensor characteristics and performance of three real-time monitors for volatile organic compounds (VOC monitor) equipped with a photo ionization detector (PID), a sensor using the interference enhanced reflection (IER) method and a semiconductor gas sensor were investigated for 52 organic solvent vapors designated as class 1 and class 2 of organic solvents by the Ordinance of Organic Solvent Poisoning Prevention in Japan. Test vapors were prepared by injecting each liquid solvent into a 50 l Tedlar® bag and perfectly vaporizing it. The vapor concentration was from one-tenth to twice the administrative control level for all solvents. The vapor concentration was measured with the monitors and a gas chromatograph equipped with a flame ionization detector simultaneously, and the values were compared. The monitor with the PID sensor could measure many organic vapors, but it could not detect some vapors with high ionization potential. The IER sensor could also detect many vapors, but a linear response was not obtained for some vapors. A semiconductor sensor could detect methanol that could not be detected by PID and IER sensors. Working environment measurement of organic vapors by real-time monitors may be possible, but sensor characteristics and their limitations should be known.

  17. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  18. The transient creep of vapor deposited Ti-6Al-4V

    International Nuclear Information System (INIS)

    Warren, J.; Wadley, H.N.G.

    1996-01-01

    Titanium matrix composites can be synthesized by the consolidation of ceramic fibers (for example, alumina and silicon carbide monofilaments) coated with titanium alloy deposited on the fiber by physical vapor deposition (PVD). Consolidation involves deformation of the matrix coating by both transient and steady-state creep. In a recent paper the mechanisms responsible for steady-state creep in PVD Ti-6Al-4V, between 600 and 900 C, were determined. The analysis of the data first presented has been extended here to consider the transient creep behavior of the material and identify an analogous constitutive law for use in simulating the transient creep contribution to consolidation

  19. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  20. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  1. Highly crystalline films of PCPDTBT with branched side chains by solvent vapor crystallization: influence on opto-electronic properties.

    Science.gov (United States)

    Fischer, Florian S U; Trefz, Daniel; Back, Justus; Kayunkid, Navaphun; Tornow, Benjamin; Albrecht, Steve; Yager, Kevin G; Singh, Gurpreet; Karim, Alamgir; Neher, Dieter; Brinkmann, Martin; Ludwigs, Sabine

    2015-02-18

    PCPDTBT, a marginally crystallizable polymer, is crystallized into a new crystal structure using solvent-vapor annealing. Highly ordered areas with three different polymer-chain orientations are identified using TEM/ED, GIWAXS, and polarized Raman spectroscopy. The optical and structural properties differ significantly from films prepared by standard device preparation protocols. Bilayer solar cells, however, show similar performance. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  3. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  4. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  5. Solvent effects of a dimethyldicyanoquinonediimine buffer layer as N-type material on the performance of organic photovoltaic cells.

    Science.gov (United States)

    Yang, Eui Yeol; Oh, Se Young

    2014-08-01

    In the present work, we have fabricated organic photovoltaic cells consisting of ITO/PEDOT:PSS/P3HT:PCBM/DMDCNQI/Al using a dip-coating method with various solvent systems. We have investigated solvent effects (such as solubility, viscosity and vapor pressure) in deposition of a thin DMDCNQI buffer layer on the performance of organic photovoltaic cells. The solvent system which had low viscosity and good solubility properties, made a dense and uniform DMDCNQI ultra thin film, resulting in a high performance device. In particular, a prepared organic photovoltaic cell was fabricated using a cosolvent system (methanol:methylenechloride = 3:1) and showed a maximum power conversion efficiency of 4.53%.

  6. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  7. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  8. Physical vapor deposited thin films of lignins extracted from sugar cane bagasse: morphology, electrical properties, and sensing applications.

    Science.gov (United States)

    Volpati, Diogo; Machado, Aislan D; Olivati, Clarissa A; Alves, Neri; Curvelo, Antonio A S; Pasquini, Daniel; Constantino, Carlos J L

    2011-09-12

    The concern related to the environmental degradation and to the exhaustion of natural resources has induced the research on biodegradable materials obtained from renewable sources, which involves fundamental properties and general application. In this context, we have fabricated thin films of lignins, which were extracted from sugar cane bagasse via modified organosolv process using ethanol as organic solvent. The films were made using the vacuum thermal evaporation technique (PVD, physical vapor deposition) grown up to 120 nm. The main objective was to explore basic properties such as electrical and surface morphology and the sensing performance of these lignins as transducers. The PVD film growth was monitored via ultraviolet-visible (UV-vis) absorption spectroscopy and quartz crystal microbalance, revealing a linear relationship between absorbance and film thickness. The 120 nm lignin PVD film morphology presented small aggregates spread all over the film surface on the nanometer scale (atomic force microscopy, AFM) and homogeneous on the micrometer scale (optical microscopy). The PVD films were deposited onto Au interdigitated electrode (IDE) for both electrical characterization and sensing experiments. In the case of electrical characterization, current versus voltage (I vs V) dc measurements were carried out for the Au IDE coated with 120 nm lignin PVD film, leading to a conductivity of 3.6 × 10(-10) S/m. Using impedance spectroscopy, also for the Au IDE coated with the 120 nm lignin PVD film, dielectric constant of 8.0, tan δ of 3.9 × 10(-3), and conductivity of 1.75 × 10(-9) S/m were calculated at 1 kHz. As a proof-of-principle, the application of these lignins as transducers in sensing devices was monitored by both impedance spectroscopy (capacitance vs frequency) and I versus time dc measurements toward aniline vapor (saturated atmosphere). The electrical responses showed that the sensing units are sensible to aniline vapor with the process being

  9. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  10. Influence of boron vapor on transport behavior of deposited CsI during heating test simulating a BWR severe accident condition

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Isamu, E-mail: sato.isamu@jaea.go.jp; Onishi, Takashi; Tanaka, Kosuke; Iwasaki, Maho; Koyama, Shin-ichi

    2015-06-15

    In order to evaluate influence of B on the release and transport of Cs and I during severe accidents, basic experiments have been performed on the interaction between deposited Cs/I compounds and vapor/aerosol B compounds. CsI and B{sub 2}O{sub 3} were utilized as a Cs/I compound and a B compound, respectively. Deposited CsI on the thermal gradient tube (TGT) at temperatures ranging from 423 K to 1023 K was reacted with vapor/aerosol B{sub 2}O{sub 3}, and then observed how it changed Cs/I deposition profiles. As a result, vapor/aerosol B{sub 2}O{sub 3} stripped a portion of deposited CsI within a temperature range from 830 K to 920 K to make gaseous CsBO{sub 2} and I{sub 2}. In addition, gaseous I{sub 2} was re-deposited at a temperature range from 530 K to 740 K, while CsBO{sub 2} travelled through the sampling tubes and filters without deposition. It is evident that B enables Cs compounds such as CsBO{sub 2} to transport Cs to the colder regions.

  11. Morphology and properties of silica/novolac hybrid xerogels synthesized using sol–gel polymerization at solvent vapor-saturated atmosphere

    International Nuclear Information System (INIS)

    Seraji, Mohamad Mehdi; Seifi, Azadeh; Bahramian, Ahmad Reza

    2015-01-01

    Highlights: • Sol–gel polymerization in vapor of solvent saturated atmosphere is developed. • Highly porous novolac–silica hybrid xerogels are successfully synthesized. • Novolac–silica hybrid gel was dried in ambient condition with low shrinkage. • Required time for preparation of gel reduced from 5 days to about 5 h. • By incorporation of silica into the novolac xerogel structure, the pore size decreases. - Abstract: Highly porous novolac–silica hybrid xerogels were successfully synthesized via the novel method of sol–gel polymerization in solvent vapor-saturated atmosphere. This method removes the need for supercritical drying and yields the hybrid xerogels with reduced shrinkage in comparison with conventional sol–gel process. Tetraethoxysilane (TEOS) was used as the precursor of silica-based inorganic phase. The chemical and structural characterization of the prepared hybrid xerogels were performed by Fourier transform infrared spectroscopy (FTIR) and scanning electron microscopy (SEM) analysis, respectively. Thermal and mechanical properties of the hybrid samples were investigated by differential scanning calorimetry (DSC), and compressive strength analysis. The resultant hybrid xerogels show a nanostructured colloidal hybrid network with high porosity (above 80%) and low density (below 0.25 g cm −3 ). Si mapping images shows the good distribution of silica phase throughout the hybrid structure

  12. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  13. Reactive physical vapor deposition of TixAlyN: Integrated plasma-surface modeling characterization

    International Nuclear Information System (INIS)

    Zhang Da; Schaeffer, J.K.

    2004-01-01

    Reactive physical vapor deposition (RPVD) has been widely applied in the microelectronic industry for producing thin films. Fundamental understanding of RPVD mechanisms is needed for successful process development due to the high sensitivity of film properties on process conditions. An integrated plasma equipment-target nitridation modeling infrastructure for RPVD has therefore been developed to provide mechanistic insights and assist optimal process design. The target nitridation model computes target nitride coverage based on self-consistently derived plasma characteristics from the plasma equipment model; target sputter yields needed in the plasma equipment model are also self-consistently derived taking into account the yield-suppressing effect from nitridation. The integrated modeling infrastructure has been applied to investigating RPVD processing with a Ti 0.8 Al 0.2 compound target and an Ar/N 2 gas supply. It has been found that the process produces athermal metal neutrals as the primary deposition precursor. The metal stoichiometry in the deposited film is close to the target composition due to the predominance of athermal species in the flux that reaches the substrate. Correlations between process parameters (N 2 flow, target power), plasma characteristics, surface conditions, and deposition kinetics have been studied with the model. The deposition process is characterized by two regimes when the N 2 flow rate is varied. When N 2 is dilute relative to argon, target nitride coverage increases rapidly with increasing N 2 flow. The sputter yield and deposition rate consequently decrease. For less dilute N 2 mixtures, the sputter yield and deposition rate are stable due to the saturation of target nitridation. With increasing target power, the electron density increases nearly linearly while the variation of N generation is much smaller. Target nitridation and its suppression of the sputter yield saturate at high N 2 flow rendering these parameters

  14. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  15. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  16. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  17. Numerical simulation of the effects of dilution level, depth of inhalation, and smoke composition on nicotine vapor deposition during cigarette smoking.

    Science.gov (United States)

    Ingebrethsen, Bradley J

    2006-12-01

    A numerical model of an aerosol containing vaporizable nicotine depositing to the walls of a tube was developed and applied to simulate the vapor deposition of nicotine in a denuder tube and under conditions approximating those in the respiratory tract during mainstream cigarette smoke inhalation. The numerical model was validated by comparison to data for denuder tube collection of nicotine from the smoke of three types of cigarette differing in smoke acidity and nicotine volatility. Simulations predict that the absorption of water by aerosol particles inhibits nicotine vapor deposition to tube walls, and that increased temperature, decreased tube diameter, and increased dilution enhance nicotine vapor deposition rate. The combined effect of changing these four parameters to approximate the transition from conducting to gas exchange regions of the respiratory tract was a significant net increase in predicted nicotine vapor deposition rate. Comparisons of nicotine deposition rates between conditions in the conducting airways and those in the gas exchange region were informative with regard to reported nicotine retention measurements during human smoking. Reports that vaporizable nicotine can penetrate past the conducting airways, that nicotine can be retained at near 100% efficiency from mainstream smoke, and that cigarettes with differing acidity and nicotine volatility have similar nicotine uptake rates are all shown to be consistent with the results of the model simulations.

  18. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  19. Formation of graphene on BN substrate by vapor deposition method and size effects on its structure

    Science.gov (United States)

    Giang, Nguyen Hoang; Hanh, Tran Thi Thu; Ngoc, Le Nhu; Nga, Nguyen To; Van Hoang, Vo

    2018-04-01

    We report MD simulation of the growth of graphene by the vapor deposition on a two-dimensional hBN substrate. The systems (containing carbon vapor and hBN substrate) are relaxed at high temperature (1500 K), and then it is cooled down to room one (300 K). Carbon atoms interact with the substrate via the Lennard-Jones potential while the interaction between carbon atoms is computed via the Tersoff potential. Depending on the size of the model, different crystalline honeycomb structures have been found. Structural properties of the graphene obtained at 300 K are studied by analyzing radial distribution functions (RDFs), coordination numbers, ring statistics, interatomic distances, bond-angle distributions and 2D visualization of atomic configurations. We find that the models containing various numbers of atoms have a honeycomb structure. Besides, differences in structural properties of graphene formed by the vapor deposition on the substrate and free standing one are found. Moreover, the size effect on the structure is significant.

  20. A generalized method for alignment of block copolymer films: solvent vapor annealing with soft shear.

    Science.gov (United States)

    Qiang, Zhe; Zhang, Yuanzhong; Groff, Jesse A; Cavicchi, Kevin A; Vogt, Bryan D

    2014-08-28

    One of the key issues associated with the utilization of block copolymer (BCP) thin films in nanoscience and nanotechnology is control of their alignment and orientation over macroscopic dimensions. We have recently reported a method, solvent vapor annealing with soft shear (SVA-SS), for fabricating unidirectional alignment of cylindrical nanostructures. This method is a simple extension of the common SVA process by adhering a flat, crosslinked poly(dimethylsiloxane) (PDMS) pad to the BCP thin film. The impact of processing parameters, including annealing time, solvent removal rate and the physical properties of the PDMS pad, on the quality of alignment quantified by the Herman's orientational factor (S) is systematically examined for a model system of polystyrene-block-polyisoprene-block-polystyrene (SIS). As annealing time increases, the SIS morphology transitions from isotropic rods to highly aligned cylinders. Decreasing the rate of solvent removal, which impacts the shear rate imposed by the contraction of the PDMS, improves the orientation factor of the cylindrical domains; this suggests the nanostructure alignment is primarily induced by contraction of PDMS during solvent removal. Moreover, the physical properties of the PDMS controlled by the crosslink density impact the orientation factor by tuning its swelling extent during SVA-SS and elastic modulus. Decreasing the PDMS crosslink density increases S; this effect appears to be primarily driven by the changes in the solubility of the SVA-SS solvent in the PDMS. With this understanding of the critical processing parameters, SVA-SS has been successfully applied to align a wide variety of BCPs including polystyrene-block-polybutadiene-block-polystyrene (SBS), polystyrene-block-poly(N,N-dimethyl-n-octadecylammonium p-styrenesulfonate) (PS-b-PSS-DMODA), polystyrene-block-polydimethylsiloxane (PS-b-PDMS) and polystyrene-block-poly(2-vinlypyridine) (PS-b-P2VP). These results suggest that SVA-SS is a generalizable

  1. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  2. HTO deposition by vapor exchange between atmosphere and soil

    International Nuclear Information System (INIS)

    Bunnenberg, C.

    1989-01-01

    HTO deposition to soils occurs by vapor exchange between atmosphere and soil-air, when the concentration gradient is directed downwards, and it is principally independent from simultaneous transport of H 2 O. In relatively dry top soil, which is frequently the case, as it tries to attain equilibrium with the air humidity, HTO diffuses into deeper soil driven by the same mechanisms that caused the deposition process. The resulting HTO profile is depending on the atmospheric supply and the soil physical conditions, and it is the source for further tritium pathways, namely root uptake by plants and reemission from soil back into the ground-level air. Simulation experiments with soil columns exposed to HTO labeled atmospheres have proved the theoretical expectation that under certain boundary conditions the HTO profile can be described by an error function. The key parameter is the effective diffusion coefficient, which in turn is a function of the sorption characteristics of the particular soil. (orig.) [de

  3. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    Directory of Open Access Journals (Sweden)

    Po-Sheng Hu

    2017-12-01

    Full Text Available In this research, the Zn(C5H7O22·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM, and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD, photoluminescence (PL, and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002 and (101 as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.

  4. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    Science.gov (United States)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  5. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  6. Vapor transport deposition of antimony selenide thin film solar cells with 7.6% efficiency.

    Science.gov (United States)

    Wen, Xixing; Chen, Chao; Lu, Shuaicheng; Li, Kanghua; Kondrotas, Rokas; Zhao, Yang; Chen, Wenhao; Gao, Liang; Wang, Chong; Zhang, Jun; Niu, Guangda; Tang, Jiang

    2018-06-05

    Antimony selenide is an emerging promising thin film photovoltaic material thanks to its binary composition, suitable bandgap, high absorption coefficient, inert grain boundaries and earth-abundant constituents. However, current devices produced from rapid thermal evaporation strategy suffer from low-quality film and unsatisfactory performance. Herein, we develop a vapor transport deposition technique to fabricate antimony selenide films, a technique that enables continuous and low-cost manufacturing of cadmium telluride solar cells. We improve the crystallinity of antimony selenide films and then successfully produce superstrate cadmium sulfide/antimony selenide solar cells with a certified power conversion efficiency of 7.6%, a net 2% improvement over previous 5.6% record of the same device configuration. We analyze the deep defects in antimony selenide solar cells, and find that the density of the dominant deep defects is reduced by one order of magnitude using vapor transport deposition process.

  7. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  8. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  9. Mechanically-induced solvent-less synthesis of cobalt and nickel complexes of cimetidine

    Directory of Open Access Journals (Sweden)

    Adedibu Clement Tella

    2011-09-01

    Full Text Available Solvent-less synthesis of [Co(CIM2](SO4 and [Ni(CIM2](OAC2 by grinding of CoSO4 and Ni(CH3COO2.4H2O with cimetidine without any solvent is described. The complexes have been characterized by elemental analysis, melting point, AAS, conductivity measurements, TLC, infrared and UV-Vis spectroscopies as well as X-ray powder diffraction. Cimetidine was found to be bidentate or tridentate ligand. Cobalt ion coordinate with cimetidine through the sulphur atom in the thiol group, nitrogen atom of imidazole ring and the nitrogen atom of the secondary amine to give an octahedral geometry with ligand acting as tridentate whereas nickel ion coordinates through the sulphur atom in the thiol group, nitrogen atom of imidazole ring to give tetrahedral structure with ligand acting as bidentate. X-Ray diffraction patterns of the complex were different from that of the ligand suggesting formation of coordination compounds. The method is quick and gives a quantatively yield, without the need for solvents or external heating. Clearly, it can present higher efficiency in terms of materials, energy and time compared to classical solution phase synthesis.

  10. Physically vapor deposited coatings on tools: performance and wear phenomena

    International Nuclear Information System (INIS)

    Koenig, W.; Fritsch, R.; Kammermeier, D.

    1991-01-01

    Coatings produced by physical vapor deposition (PVD) enhance the performance of tools for a broad variety of production processes. In addition to TiN, nowadays (Ti,Al)N and Ti(C,N) coated tools are available. This gives the opportunity to compare the performance of different coatings under identical machining conditions and to evaluate causes and phenomena of wear. TiN, (Ti,Al)N and Ti(C,N) coatings on high speed steel (HSS) show different performances in milling and turning of heat treated steel. The thermal and frictional properties of the coating materials affect the structure, the thickness and the flow of the chips, the contact area on the rake face and the tool life. Model tests show the influence of internal cooling and the thermal conductivity of coated HSS inserts. TiN and (Ti,Zr)N PVD coatings on cemented carbides were examined in interrupted turning and in milling of heat treated steel. Experimental results show a significant influence of typical time-temperature cycles of PVD and chemical vapor deposition (CVD) coating processes on the physical data and on the performance of the substrates. PVD coatings increase tool life, especially towards lower cutting speeds into ranges which cannot be applied with CVD coatings. The reason for this is the superior toughness of the PVD coated carbide. The combination of tough, micrograin carbide and PVD coating even enables broaching of case hardened sliding gears at a cutting speed of 66 m min -1 . (orig.)

  11. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  12. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  13. Investigation on the corrosion behavior of physical vapor deposition coated high speed steel

    Directory of Open Access Journals (Sweden)

    R Ravi Raja Malarvannan

    2015-08-01

    Full Text Available This work emphasizes on the influence of the TiN and AlCrN coatings fabricated on high speed steel form tool using physical vapor deposition technique. The surface microstructure of the coatings was studied using scanning electron microscope. Hardness and corrosion studies were also performed using Vickers hardness test and salt spray testing, respectively. The salt spray test results suggested that the bilayer coated (TiN- bottom layer and AlCrN- top layer substrate has undergone less amount of corrosion, and this is attributed to the dense microstructure. In addition to the above, the influence of the above coatings on the machining performance of the high speed steel was also evaluated and compared with that of the uncoated material and the results suggested that the bilayered coating has undergone very low weight loss when compared with that of the uncoated substrate depicting enhanced wear resistance.

  14. Molecular Orientation in Two Component Vapor-Deposited Glasses: Effect of Substrate Temperature and Molecular Shape

    Science.gov (United States)

    Powell, Charles; Jiang, Jing; Walters, Diane; Ediger, Mark

    Vapor-deposited glasses are widely investigated for use in organic electronics including the emitting layers of OLED devices. These materials, while macroscopically homogenous, have anisotropic packing and molecular orientation. By controlling this orientation, outcoupling efficiency can be increased by aligning the transition dipole moment of the light-emitting molecules parallel to the substrate. Light-emitting molecules are typically dispersed in a host matrix, as such, it is imperative to understand molecular orientation in two-component systems. In this study we examine two-component vapor-deposited films and the orientations of the constituent molecules using spectroscopic ellipsometry, UV-vis and IR spectroscopy. The role of temperature, composition and molecular shape as it effects molecular orientation is examined for mixtures of DSA-Ph in Alq3 and in TPD. Deposition temperature relative to the glass transition temperature of the two-component mixture is the primary controlling factor for molecular orientation. In mixtures of DSA-Ph in Alq3, the linear DSA-Ph has a horizontal orientation at low temperatures and slight vertical orientation maximized at 0.96Tg,mixture, analogous to one-component films.

  15. Performance of thermal solvent process in Athabasca reservoir

    Energy Technology Data Exchange (ETDEWEB)

    Das, Swapan [Marathon Oil (Canada)

    2011-07-01

    In the petroleum industry, due to depletion of conventional resources and high demand operators are looking into heavy oil and bitumen production. Different recovery methods exist, some of them based on heating the reservoir and others on the use of solvent. Thermal solvent process is a combination of both: a small amount of heat is used to maintain a solvent vapor phase in the reservoir. This process has advantages, solvent is mostly recycled which increases bitumen recovery efficiency and reduces the need for fresh solvent, but it also poses challenges, such as maintaining a vapor chamber and the fact that solvent solubility might be affected by heating. The aim of this paper is to discuss these issues. Simulations and field tests were conducted on bitumen in the the Athabasca region. This paper presented a thermal solvent process and its application's results in Athabasca reservoir.

  16. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  17. The Corrosion Protection of Metals by Ion Vapor Deposited Aluminum

    Science.gov (United States)

    Danford, M. D.

    1993-01-01

    A study of the corrosion protection of substrate metals by ion vapor deposited aluminum (IVD Al) coats has been carried out. Corrosion protection by both anodized and unanodized IVD Al coats has been investigated. Base metals included in the study were 2219-T87 Al, 7075-T6 Al, Titanium-6 Al-4 Vanadium (Ti-6Al-4V), 4130 steel, D6AC steel, and 4340 steel. Results reveal that the anodized IVD Al coats provide excellent corrosion protection, but good protection is also achieved by IVD Al coats that have not been anodized.

  18. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  19. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  1. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  2. Separation of aromatics by vapor permeation through solvent swollen membrane

    Energy Technology Data Exchange (ETDEWEB)

    Ito, A.; Adachi, K.; Feng, Y. [Niigata University, Niigata (Japan)

    1995-12-20

    A vapor permeation process for aromatics separation from a hydrocarbon mixture was studied by means of the simultaneous permeation of dimethylsulfoxide vapor as an agent for membrane swelling and preferential permeation of aromatics. The separation performance of the process was demonstrated by a polyvinylalcohol membrane for mixed vapors of benzene/cyclohexane, xylene/octane and a model gasoline. The aromatic vapors preferentially permeated from these mixed vapor feeds. The separation factor was over 10. The separation mechanism of the process mainly depends on the relative salability of the vapors between aromatics and other hydrocarbons in dimethylsulfoxide. 14 refs., 9 figs., 1 tab.

  3. Thermal barrier coatings of rare earth materials deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Limin, E-mail: he_limin@yahoo.co [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Chen Xiaolong; Zhao Yu [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Cao Xueqiang, E-mail: xcao@ciac.jl.c [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-10-15

    Thermal barrier coatings (TBCs) have very important applications in gas turbines for higher thermal efficiency and protection of components at high temperature. TBCs of rare earth materials such as lanthanum zirconate (La{sub 2}Zr{sub 2}O{sub 7}, LZ), lanthanum cerate (La{sub 2}Ce{sub 2}O{sub 7}, LC), lanthanum cerium zirconate (La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}, LZ7C3) were prepared by electron beam-physical vapor deposition (EB-PVD). The composition, crystal structure, cross-sectional morphology and cyclic oxidation behavior of these coatings were studied. These coatings have partially deviated from their original compositions due to the different evaporation rates of oxides, and the deviation could be reduced by properly controlling the deposition condition. A double ceramic layer-thermal barrier coatings (DCL-TBCs) of LZ7C3 and LC could also be deposited with a single LZ7C3 ingot by properly controlling the deposition energy. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} in the thermally grown oxide (TGO) layer. The failure of DCL-TBCs is a result of the sintering-induced of LZ7C3 coating and the chemical incompatibility of LC and TGO. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL-TBCs are an important development direction of TBCs.

  4. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  5. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  6. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  7. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  8. Discussion on numerical simulation techniques for patterns of water vapor rise and droplet deposition at NPP cooling tower

    International Nuclear Information System (INIS)

    Guo Dongpeng; Yao Rentai

    2010-01-01

    Based on the working principle of cooling tower, analysis and comparison are made of both advantages and disadvantages of the numerical simulation models, such as ORFAD, KUMULUS, ISCST:A, ANL/UI, CFD etc., which predict the rise and droplet deposition pattern of cooling tower water vapor. The results showed that, CFD model is currently a better model that is used of three-dimensional Renault fluid flow equations predicting the rise and droplet deposition pattern of cooling tower water vapor. The impact of the line trajectory deviation and the speed change inn plume rising is not considered in any other models, and they can not be used for prediction of particle rise and droplet deposition when a larger particle or large buildings in the direction of cooling tower. (authors)

  9. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  10. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  11. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  12. Novel Prospects for Plasma Spray-Physical Vapor Deposition of Columnar Thermal Barrier Coatings

    Science.gov (United States)

    Anwaar, Aleem; Wei, Lianglinag; Guo, Qian; Zhang, Baopeng; Guo, Hongbo

    2017-12-01

    Plasma spray-physical vapor deposition (PS-PVD) is an emerging coating technique that can produce columnar thermal barrier coatings from vapor phase. Feedstock treatment at the start of its trajectory in the plasma torch nozzle is important for such vapor-phase deposition. This study describes the effects of the plasma composition (Ar/He) on the plasma characteristics, plasma-particle interaction, and particle dynamics at different points spatially distributed inside the plasma torch nozzle. The results of calculations show that increasing the fraction of argon in the plasma gas mixture enhances the momentum and heat flow between the plasma and injected feedstock. For the plasma gas combination of 45Ar/45He, the total enthalpy transferred to a representative powder particle inside the plasma torch nozzle is highest ( 9828 kJ/kg). Moreover, due to the properties of the plasma, the contribution of the cylindrical throat, i.e., from the feed injection point (FIP) to the start of divergence (SOD), to the total transferred energy is 69%. The carrier gas flow for different plasma gas mixtures was also investigated by optical emission spectroscopy (OES) measurements of zirconium emissions. Yttria-stabilized zirconia (YSZ) coating microstructures were produced when using selected plasma gas compositions and corresponding carrier gas flows; structural morphologies were found to be in good agreement with OES and theoretical predictions. Quasicolumnar microstructure was obtained with porosity of 15% when applying the plasma composition of 45Ar/45He.

  13. The effect of solvent upon molecularly thin rotaxane film formation

    Energy Technology Data Exchange (ETDEWEB)

    Farrell, Alan A. [Nanoscale Function Group, Centre for Research on Adaptive Nanostructures and Nanodevices, University of Dublin, Trinity College, Dublin 2 (Ireland)]. E-mail: farrelaa@tcd.ie; Kay, Euan R. [School of Chemistry, University of Edinburgh, The King' s Buildings, West Mains Road, Edinburgh EH9 3 JJ (United Kingdom); Bottari, Giovanni [School of Chemistry, University of Edinburgh, The King' s Buildings, West Mains Road, Edinburgh EH9 3 JJ (United Kingdom); Leigh, David A. [School of Chemistry, University of Edinburgh, The King' s Buildings, West Mains Road, Edinburgh EH9 3 JJ (United Kingdom); Jarvis, Suzanne P. [Nanoscale Function Group, Centre for Research on Adaptive Nanostructures and Nanodevices, University of Dublin, Trinity College, Dublin 2 (Ireland)

    2007-05-15

    We have investigated variations in molecularly thin rotaxane films deposited by solvent evaporation, using atomic force microscopy (AFM). Small changes in rotaxane structure result in significant differences in film morphology. The addition of exo-pyridyl moietes to the rotaxane macrocycle results in uniform domains having orientations corresponding to the underlying substrate lattice, while a larger, less symmetric molecule results in a greater lattice mismatch and smaller domain sizes. We have measured differences in film heights both as a function of the solvent of deposition and as a function of surface coverage of rotaxanes. Based on these observations we describe how the use of solvents with higher hydrogen-bond basicity results in films which are more likely to favour sub-molecular motion.

  14. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  15. Deposition of Methylammonium Lead Triiodide by Resonant Infrared Matrix-Assisted Pulsed Laser Evaporation

    Science.gov (United States)

    Barraza, E. Tomas; Dunlap-Shohl, Wiley A.; Mitzi, David B.; Stiff-Roberts, Adrienne D.

    2018-02-01

    Resonant infrared matrix-assisted pulsed laser evaporation (RIR-MAPLE) was used to deposit the metal-halide perovskite (MHP) CH3NH3PbI3 (methylammonium lead triiodide, or MAPbI), creating phase-pure films. Given the moisture sensitivity of these crystalline, multi-component organic-inorganic hybrid materials, deposition of MAPbI by RIR-MAPLE required a departure from the use of water-based emulsions as deposition targets. Different chemistries were explored to create targets that properly dissolved MAPbI components, were stable under vacuum conditions, and enabled resonant laser energy absorption. Secondary phases and solvent contamination in the resulting films were studied through Fourier transform infrared (FTIR) absorbance and x-ray diffraction (XRD) measurements, suggesting that lingering excess methylammonium iodide (MAI) and low-vapor pressure solvents can distort the microstructure, creating crystalline and amorphous non-perovskite phases. Thermal annealing of films deposited by RIR-MAPLE allowed for excess solvent to be evaporated from films without degrading the MAPbI structure. Further, it was demonstrated that RIR-MAPLE does not require excess MAI to create stoichiometric films with optoelectronic properties, crystal structure, and film morphology comparable to films created using more established spin-coating methods for processing MHPs. This work marks the first time a MAPLE-related technique was used to deposit MHPs.

  16. Analytical model for the design of in situ horizontal permeable reactive barriers (HPRBs) for the mitigation of chlorinated solvent vapors in the unsaturated zone

    NARCIS (Netherlands)

    Verginelli, Iason; Capobianco, Oriana; Hartog, Niels; Baciocchi, Renato

    In this work we introduce a 1-D analytical solution that can be used for the design of horizontal permeable reactive barriers (HPRBs) as a vapor mitigation system at sites contaminated by chlorinated solvents. The developed model incorporates a transient diffusion-dominated transport with a

  17. 2017 Report for New LANL Physical Vapor Deposition Capability

    Energy Technology Data Exchange (ETDEWEB)

    Roman, Audrey Rae [Los Alamos National Laboratory; Zhao, Xinxin [Los Alamos National Laboratory; Bond, Evelyn M. [Los Alamos National Laboratory; Gooden, Matthew Edgell [Los Alamos National Laboratory; Rundberg, Robert S. [Los Alamos National Laboratory; Bredeweg, Todd Allen [Los Alamos National Laboratory

    2017-10-03

    There is an urgent need at LANL to achieve uniform, thin film actinide targets that are essential for nuclear physics experiments. The target preparation work is currently performed externally by Professor Walter Loveland at Oregon State University, who has made various evaporated actinide targets such as Th and U for use on several nuclear physics measurements at LANSCE. We are developing a vapor deposition capability, with the goal of evaporating Th and U in the Actinide Research Facility (ARF) at TA-48. In the future we plan to expand this work to evaporating transuranic elements, such as Pu. The ARF is the optimal location for evaporating actinides because this lab is specifically dedicated to actinide research. There are numerous instruments in the ARF that can be used to provide detailed characterization of the evaporated thin films such as: Table top Scanning Electron Microscope, In-situ X-Ray Diffraction, and 3D Raman spectroscopy. These techniques have the ability to determine the uniformity, surface characterization, and composition of the deposits.

  18. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  19. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  20. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  1. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  2. A technique to depress desflurane vapor pressure.

    Science.gov (United States)

    Brosnan, Robert J; Pypendop, Bruno H

    2006-09-01

    To determine whether the vapor pressure of desflurane could be decreased by using a solvent to reduce the anesthetic molar fraction in a solution (Raoult's Law). We hypothesized that such an anesthetic mixture could produce anesthesia using a nonprecision vaporizer instead of an agent-specific, electronically controlled, temperature and pressure compensated vaporizer currently required for desflurane administration. One healthy adult female dog. Propylene glycol was used as a solvent for desflurane, and the physical characteristics of this mixture were evaluated at various molar concentrations and temperatures. Using a circle system with a breathing bag attached at the patient end and a mechanical ventilator to simulate respiration, an in-circuit, nonprecision vaporizer containing 40% desflurane and 60% propylene glycol achieved an 11.5% +/- 1.0% circuit desflurane concentration with a 5.2 +/- 0.4 (0 = off, 10 = maximum) vaporizer setting. This experiment was repeated with a dog attached to the breathing circuit under spontaneous ventilation with a fresh gas flow of 0.5 L minute(-1). Anesthesia was maintained for over 2 hours at a mean vaporizer setting of 6.2 +/- 0.4, yielding mean inspired and end-tidal desflurane concentrations of 8.7% +/- 0.5% and 7.9% +/- 0.7%, respectively. Rather than alter physical properties of vaporizers to suit a particular anesthetic agent, this study demonstrates that it is also possible to alter physical properties of anesthetic agents to suit a particular vaporizer. However, propylene glycol may not prove an ideal solvent for desflurane because of its instability in solution and substantial-positive deviation from Raoult's Law.

  3. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  4. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  5. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  6. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  7. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    Science.gov (United States)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  8. PROPERTIES AND OPTICAL APPLICATION OF POLYCRYSTALLINE ZINC SELENIDE OBTAINED BY PHYSICAL VAPOR DEPOSITION

    Directory of Open Access Journals (Sweden)

    A. A. Dunaev

    2015-05-01

    Full Text Available Findings on production technology, mechanical and optical properties of polycrystalline zinc selenide are presented. The combination of its physicochemical properties provides wide application of ZnSe in IR optics. Production technology is based on the method of physical vapor deposition on a heated substrate (Physical Vapor Deposition - PVD. The structural features and heterogeneity of elemental composition for the growth surfaces of ZnSe polycrystalline blanks were investigated using CAMEBAX X-ray micro-analyzer. Characteristic pyramid-shaped crystallites were recorded for all growth surfaces. The measurements of the ratio for major elements concentrations show their compliance with the stoichiometry of the ZnSe compounds. Birefringence, optical homogeneity, thermal conductivity, mechanical and optical properties were measured. It is established that regardless of polycrystalline condensate columnar and texturing, the optical material is photomechanically isotropic and homogeneous. The actual performance of parts made of polycrystalline optical zinc selenide in the thermal spectral ranges from 3 to 5 μm and from 8 to 14 μm and in the CO2 laser processing plants with a power density of 500 W/cm2 is shown. The developed technology gives the possibility to produce polycrystalline optical material on an industrial scale.

  9. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  10. Definition of a JA2 equivalent propellant to be produced by continuous solvent-less extrusion

    NARCIS (Netherlands)

    Manning, T.G.; Leone, J.; Zebregs, M.; Ramlal, D.R.; Driel, C.A. van

    2013-01-01

    The aim of this work is to demonstrate the manufacturing of a propellant by solvent-less continuous twin screw extrusion processing while maintaining gun performance characteristics of conventional JA-2 propellant. This is elucidated by explicitly researching the relationship between interior

  11. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  12. Optimization of operating parameters in polysilicon chemical vapor deposition reactor with response surface methodology

    Science.gov (United States)

    An, Li-sha; Liu, Chun-jiao; Liu, Ying-wen

    2018-05-01

    In the polysilicon chemical vapor deposition reactor, the operating parameters are complex to affect the polysilicon's output. Therefore, it is very important to address the coupling problem of multiple parameters and solve the optimization in a computationally efficient manner. Here, we adopted Response Surface Methodology (RSM) to analyze the complex coupling effects of different operating parameters on silicon deposition rate (R) and further achieve effective optimization of the silicon CVD system. Based on finite numerical experiments, an accurate RSM regression model is obtained and applied to predict the R with different operating parameters, including temperature (T), pressure (P), inlet velocity (V), and inlet mole fraction of H2 (M). The analysis of variance is conducted to describe the rationality of regression model and examine the statistical significance of each factor. Consequently, the optimum combination of operating parameters for the silicon CVD reactor is: T = 1400 K, P = 3.82 atm, V = 3.41 m/s, M = 0.91. The validation tests and optimum solution show that the results are in good agreement with those from CFD model and the deviations of the predicted values are less than 4.19%. This work provides a theoretical guidance to operate the polysilicon CVD process.

  13. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  14. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  15. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  16. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  17. Solvent-vapor-assisted dewetting of prepatterned thin polymer films: control of morphology, order, and pattern miniaturization.

    Science.gov (United States)

    Bhandaru, Nandini; Goohpattader, Partho Sarathi; Faruqui, Danish; Mukherjee, Rabibrata; Sharma, Ashutosh

    2015-03-17

    Ultrathin (dewet by the growth of surface instability, the wavelength (λ) of which depends on the film thickness (h(f)). While the dewetting of a flat polymer thin film results in random structures, we show that the dewetting of a prepatterned film results in myriad ordered mesoscale morphologies under specific conditions. Such a film undergoes rupture over the thinnest parts when the initial local thickness of these zones (h(rm)) is lower than a limiting thickness h(lim) ≈ 10 nm. Additionally, the width of the pattern grooves (l(s)) must be wider than λ(s) corresponding to a flat film having a thickness of h(rm) for pattern-directed dewetting to take place over surface-tension-induced flattening. We first present an experimentally obtained morphology phase diagram that captures the conditions where a transition from surface-tension-induced flattening to pattern-directed-rupture takes place. Subsequently, we show the versatility of this technique in achieving a variety of aligned mesopatterns starting from a prepatterned film with simple grating geometry. The morphology of the evolving patterns depends on several parameters such as the initial film thickness (h(f)), prepattern amplitude (h(st)), duration of solvent vapor exposure (SVE), and wettability of the stamp used for patterning. Periodic rupture of the film at regular intervals imposes directionality on the evolving patterns, resulting in isolated long threads/cylindrical ridges of polymers, which subsequently disintegrate into an aligned array of droplets due to Rayleigh-Plateau instability under specific conditions. Other patterns such as a double periodic array of droplets and an array of holes are also possible to obtain. The evolution can be interrupted at any intermediate stage by terminating the solvent vapor annealing, allowing the creation of pattern morphology on demand. The created patterns are significantly miniaturized in size as compared to features obtained from dewetting a flat film with

  18. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  19. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  20. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  1. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  2. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  3. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  5. Vapor Pressures of Several Commercially Used Alkanolamines

    NARCIS (Netherlands)

    Klepacova, Katarina; Huttenhuis, Patrick J. G.; Derks, Peter W. J.; Versteeg, Geert F.; Klepáčová, Katarína

    For the design of acid gas treating processes, vapor-liquid equilibrium (VLE) data must be available of the solvents to be applied. In this study the vapor pressures of seven frequently industrially used alkanolamines (diethanolamine, N-methylethanolamine, N,N-dimethylethanolamine,

  6. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  7. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  8. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  9. Dynamic Control of Particle Deposition in Evaporating Droplets by an External Point Source of Vapor.

    Science.gov (United States)

    Malinowski, Robert; Volpe, Giovanni; Parkin, Ivan P; Volpe, Giorgio

    2018-02-01

    The deposition of particles on a surface by an evaporating sessile droplet is important for phenomena as diverse as printing, thin-film deposition, and self-assembly. The shape of the final deposit depends on the flows within the droplet during evaporation. These flows are typically determined at the onset of the process by the intrinsic physical, chemical, and geometrical properties of the droplet and its environment. Here, we demonstrate deterministic emergence and real-time control of Marangoni flows within the evaporating droplet by an external point source of vapor. By varying the source location, we can modulate these flows in space and time to pattern colloids on surfaces in a controllable manner.

  10. Plasma and Ion Assistance in Physical Vapor Deposition: A Historical Perspective

    International Nuclear Information System (INIS)

    Anders, Andre

    2007-01-01

    Deposition of films using plasma or plasma-assist can be traced back surprisingly far, namely to the 18th century for arcs and to the 19th century for sputtering. However, only since the 1960s the coatings community considered other processes than evaporation for large scale commercial use. Ion Plating was perhaps the first important process, introducing vapor ionization and substrate bias to generate a beam of ions arriving on the surface of the growing film. Rather independently, cathodic arc deposition was established as an energetic condensation process, first in the former Soviet Union in the 1970s, and in the 1980s in the Western Hemisphere. About a dozen various ion-based coating technologies evolved in the last decades, all characterized by specific plasma or ion generation processes. Gridded and gridless ion sources were taken from space propulsion and applied to thin film deposition. Modeling and simulation have helped to make plasma and ions effects to be reasonably well understood. Yet--due to the complex, often non-linear and non-equilibrium nature of plasma and surface interactions--there is still a place for the experience plasma 'sourcerer'

  11. Plasma and Ion Assistance in Physical Vapor Deposition: AHistorical Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Anders, Andre

    2007-02-28

    Deposition of films using plasma or plasma-assist can betraced back surprisingly far, namely to the 18th century for arcs and tothe 19th century for sputtering. However, only since the 1960s thecoatings community considered other processes than evaporation for largescale commercial use. Ion Plating was perhaps the first importantprocess, introducing vapor ionization and substrate bias to generate abeam of ions arriving on the surface of the growing film. Ratherindependently, cathodic arc deposition was established as an energeticcondensation process, first in the former Soviet Union in the 1970s, andin the 1980s in the Western Hemisphere. About a dozen various ion-basedcoating technologies evolved in the last decades, all characterized byspecific plasma or ion generation processes. Gridded and gridless ionsources were taken from space propulsion and applied to thin filmdeposition. Modeling and simulation have helped to make plasma and ionseffects to be reasonably well understood. Yet--due to the complex, oftennon-linear and non-equilibrium nature of plasma and surfaceinteractions--there is still a place for the experience plasma"sourcerer."

  12. A Combustion Chemistry Analysis of Carbonate Solvents in Li-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Harris, S J; Timmons, A; Pitz, W J

    2008-11-13

    Under abusive conditions Li-ion batteries can rupture, ejecting electrolyte and other flammable gases. In this paper we consider some of the thermochemical properties of these gases that will determine whether they ignite and how energetically they burn. We show that flames of carbonate solvents are fundamentally less energetic than those of conventional hydrocarbons. An example of this difference is given using a recently developed mechanism for dimethyl carbonate (DMC) combustion, where we show that a diffusion flame burning DMC has only half the peak energy release rate of an analogous propane flame. We find a significant variation among the carbonate solvents in the factors that are important to determining flammability, such as combustion enthalpy and vaporization enthalpy. This result suggests that thermochemical and kinetic factors might well be considered when choosing solvent mixtures.

  13. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  14. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  15. Rapid growth of zinc oxide nanobars in presence of electric field by physical vapor deposition

    Science.gov (United States)

    Jouya, Mehraban; Taromian, Fahime; Siami, Simin

    2017-12-01

    In this contribution, electric field has some effects to increase growth for specific time duration on zinc oxide (ZnO) nanobars. First, the zinc (Zn) thin film has been prepared by 235,000 V/m electric field assisted physical vapor deposition (PVD) at vacuum of 1.33 × 10-5 mbar. Second, strong electric field of 134,000 V/m has been used in ambient for growing ZnO nanobars in term of the time include 2.5 and 10 h. The performances of the ZnO nanostructure in absence and presence of electric field have been determined by scanning electron microscopy (SEM) and X-ray diffraction (XRD). The results of XRD analysis showed that ZnO has a hexagonal bars structure and a strongly preferred (101) orientation which is strongest than without applying electric field. SEM analysis revealed that physical vapored ZnO thin film in presence of electric field are densely packed with uniform morphological, thinner and denser in distribution. Electric field effect for ZnO growth in 2.5 h is better than it in the 2.5 h without electric field but by passing the time the media influence has good power almost as same as electric field. Through this electric field in PVD, the compact and uniform Zn film has been achieved which is less diameter than ordinary PVD method. Finally, we carry out a series of experiments to grow different-orientation ZnO nanobars with less than 100 nm in diameter, which are the time saving process in base of PVD ever reported. Therefore, the significant conclusion in usage electric field is reducing time of growth.

  16. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  17. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  18. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  19. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  20. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  1. Room-temperature synthesis of ultraviolet-emitting nanocrystalline GaN films using photochemical vapor deposition

    International Nuclear Information System (INIS)

    Yamazaki, Shunsuke; Yatsui, Takashi; Ohtsu, Motoichi; Kim, Taw-Won; Fujioka, Hiroshi

    2004-01-01

    We fabricated UV-emitting nanocrystalline gallium nitride (GaN) films at room temperature using photochemical vapor deposition (PCVD). For the samples synthesized at room temperature with V/III ratios exceeding 5.0x10 4 , strong photoluminescence peaks at 3.365 and 3.310 eV, which can be ascribed to transitions in a mixed phase of cubic and hexagonal GaN, were observed at 5 K. A UV emission spectrum with a full width at half-maximum of 100 meV was observed, even at room temperature. In addition, x-ray photoelectron spectroscopy measurement revealed that the film deposited by PCVD at room temperature was well nitridized

  2. Functionalization of silicon oxide using supercritical fluid deposition of 3,4-epoxybutyltrimethoxysilane for the immobilization of amino-modified oligonucleotide

    Energy Technology Data Exchange (ETDEWEB)

    Rull, Jordi [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Nonglaton, Guillaume, E-mail: guillaume.nonglaton@cea.fr [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Costa, Guillaume; Fontelaye, Caroline [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France); Marchi-Delapierre, Caroline; Ménage, Stéphane [Université Grenoble Alpes, Grenoble F38000 (France); CEA, iRTSV, LCBM, Grenoble 38054 (France); CNRS, UMR 5249, Grenoble (France); Marchand, Gilles [Université Grenoble Alpes, Grenoble F38000 (France); CEA, LETI, MINATEC Campus, Grenoble Cedex 9 F38054 (France)

    2015-11-01

    Graphical abstract: - Highlights: • First example of grafting of 3,4-epoxybutyltrimethoxysilane (EBTMOS) onto silicon oxide by supercritical fluid deposition. • Extraordinary efficiency of the supercritical fluid deposition for the grafting of the EBTMOS compared with the conventional solution or vapor phase methodologies. • Demonstration of the efficiency of this functionalization process for the immobilization of amino-modified oligonucleotides. - Abstract: The functionalization of silicon oxide based substrates using silanes is generally performed through liquid phase methodologies. These processes involve a huge quantity of potentially toxic solvents and present some important disadvantages for the functionalization of microdevices or porous materials, for example the low diffusion. To overcome this drawback, solvent-free methodologies like molecular vapor deposition (MVD) or supercritical fluid deposition (SFD) have been developed. In this paper, the deposition process of 3,4-epoxybutyltrimethoxysilane (EBTMOS) on silicon oxide using supercritical carbon dioxide (scCO{sub 2}) as a solvent is studied for the first time. The oxirane ring of epoxy silanes readily reacts with amine group and is of particular interest for the grafting of amino-modified oligonucleotides or antibodies for diagnostic application. Then the ability of this specific EBTMOS layer to react with amine functions has been evaluated using the immobilization of amino-modified oligonucleotide probes. The presence of the probes is revealed by fluorescence using hybridization with a fluorescent target oligonucleotide. The performances of SFD of EBTMOS have been optimized and then compared with the dip coating and molecular vapor deposition methods, evidencing a better grafting efficiency and homogeneity, a lower reaction time in addition to the eco-friendly properties of the supercritical carbon dioxide. The epoxysilane layers have been characterized by surface enhanced ellipsometric

  3. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  4. Reactivity and morphology of vapor-deposited Al/polymer interfaces for organic semiconductor devices

    International Nuclear Information System (INIS)

    Demirkan, K.; Mathew, A.; Weiland, C.; Opila, R. L.; Reid, M.

    2008-01-01

    The chemistry and the morphology of metal-deposited organic semiconductor interfaces play a significant role in determining the performance and reliability of organic semiconductor devices. We investigated the aluminum metallization of poly(2-methoxy-5,2 ' -ethyl-hexyloxy-phenylene vinylene) (MEH-PPV), polystyrene, and ozone-treated polystyrene surfaces by chemical (x-ray and ultraviolet photoelectron spectroscopy) and microscopic [atomic force microscopy, scanning electron microscopy (SEM), focused ion beam (FIB)] analyses. Photoelectron spectroscopy showed the degree of chemical interaction between Al and each polymer; for MEH-PPV, the chemical interactions were mainly through the C-O present in the side chain of the polymer structure. The chemical interaction of aluminum with polystyrene was less significant, but it showed a dramatic increase after ozone treatment of the polystyrene surface (due to the formation of exposed oxygen sites). Results showed a strong relationship between the surface reactivity and the condensation/sticking of the aluminum atoms on the surface. SEM analysis showed that, during the initial stages of the metallization, a significant clustering of aluminum takes place. FIB analysis showed that such clustering yields a notably porous structure. The chemical and the morphological properties of the vapor-deposited Al on organic semiconductor surfaces makes such electrical contacts more complicated. The possible effects of surface chemistry and interface morphology on the electrical properties and reliability of organic semiconductor devices are discussed in light of the experimental findings

  5. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  6. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  7. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  8. Reflectance degradation of a secondary concentrator by nitrate salt vapor deposition in an open volumetric receiver configuration

    Science.gov (United States)

    Lahlou, Radia; Armstrong, Peter R.; Calvet, Nicolas; Shamim, Tariq

    2017-06-01

    Nitrate salt vapor deposition on the reflecting surface of a secondary concentrator placed on top of an open molten salt tank at 500 °C is investigated using a lab-scale setup over an 8h-exposure cycle. Deposition, consisting of mostly spherical particles, is characterized in terms of chemical composition using energy dispersive X-ray spectroscopy. The corresponding specular reflectance degradation both temporary (before washing off the salt deposits) and permanent (residual reflectance loss after cleaning), is measured at different incidence angles and at reference points located at different heights. Reflectance drop due to salt deposits is compared to the one resulting from dust deposition. Long-term reflectance degradation by means of corrosion needs to be further studied through suitable accelerated aging tests.

  9. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  10. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  11. Raman Enhancement and Photo-Bleaching of Organic Dyes in the Presence of Chemical Vapor Deposition-Grown Graphene

    Directory of Open Access Journals (Sweden)

    Jiaxin Weng

    2017-10-01

    Full Text Available Fluorescent organic dyes photobleach under intense light. Graphene has been shown to improve the photo-stability of organic dyes. In this paper, we investigated the Raman spectroscopy and photo-bleaching kinetics of dyes in the absence/presence of chemical vapor deposition (CVD-grown graphene. We show that graphene enhances the Raman signal of a wide range of dyes. The photo-bleaching of the dyes was reduced when the dyes were in contact with graphene. In contrast, monolayer hexagonal boron nitride (h-BN was much less effective in reducing the photo-bleaching rate of the dyes. We attribute the suppression of photo-bleaching to the energy or electron transfer from dye to graphene. The results highlight the potential of CVD graphene as a substrate for protecting and enhancing Raman response of organic dyes.

  12. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2006-01-01

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film

  13. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay (India) and Samtel Centre for Display Technologies, Indian Institute of Technology Kanpur, India, Kanpur 208016 (India)]. E-mail: bibhup@iitb.ac.in

    2006-12-15

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film.

  14. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  15. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  16. Fabrication and characterization of a cell electrostimulator device combining physical vapor deposition and laser ablation

    Science.gov (United States)

    Aragón, Angel L.; Pérez, Eliseo; Pazos, Antonio; Bao-Varela, Carmen; Nieto, Daniel

    2017-08-01

    In this work we present the process of fabrication and optimization of a prototype of a cell electrostimulator device for medical application combining physical vapor deposition and laser ablation. The fabrication of the first prototype begins with a deposition of a thin layer of 200 nm of aluminium on a borosilicate glass substrate using physical vapor deposition (PVD). In the second stage the geometry design of the electrostimulator is made in a CAD-like software available in a Nd:YVO4 Rofin Power line 20E, operating at the fundamental wavelength of 1064 nm and 20 ns pulse width. Choosing the proper laser parameters the negative of the electrostimulator desing is ablated. After that the glass is assembled between two polycarbonate sheets and a thick sheet of polydimethylsiloxane (PDMS). The PDMS sheet has a round hole in where cells are placed. There is also included a thin soda-lime silicate glass (100 μm) between the electrostimulator and the PMDS to prevent the cells for being in contact with the electric circuit. In order to control the electrical signal applied to the electrostimulator is used a digital I/O device from National Instruments (USB-6501) which provides 5 V at the output monitored by a software programmed in LabVIEW. Finally, the optical and electrical characterization of the cell electrostimulator device is presented.

  17. Ionized physical vapor deposition (IPVD): A review of technology and applications

    International Nuclear Information System (INIS)

    Helmersson, Ulf; Lattemann, Martina; Bohlmark, Johan; Ehiasarian, Arutiun P.; Gudmundsson, Jon Tomas

    2006-01-01

    In plasma-based deposition processing, the importance of low-energy ion bombardment during thin film growth can hardly be exaggerated. Ion bombardment is an important physical tool available to materials scientists in the design of new materials and new structures. Glow discharges and in particular, the magnetron sputtering discharge have the advantage that the ions of the discharge are abundantly available to the deposition process. However, the ion chemistry is usually dominated by the ions of the inert sputtering gas while ions of the sputtered material are rare. Over the last few years, various ionized sputtering techniques have appeared that can achieve a high degree of ionization of the sputtered atoms, often up to 50% but in some cases as much as approximately 90%. This opens a complete new perspective in the engineering and design of new thin film materials. The development and application of magnetron sputtering systems for ionized physical vapor deposition (IPVD) is reviewed. The application of a secondary discharge, inductively coupled plasma magnetron sputtering (ICP-MS) and microwave amplified magnetron sputtering, is discussed as well as the high power impulse magnetron sputtering (HIPIMS), the self-sustained sputtering (SSS) magnetron, and the hollow cathode magnetron (HCM) sputtering discharges. Furthermore, filtered arc-deposition is discussed due to its importance as an IPVD technique. Examples of the importance of the IPVD-techniques for growth of thin films with improved adhesion, improved microstructures, improved coverage of complex shaped substrates, and increased reactivity with higher deposition rate in reactive processes are reviewed

  18. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  19. Formation of {beta}-FeSi{sub 2} thin films by partially ionized vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of {beta}-FeSi{sub 2} thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of {beta}-FeSi{sub 2} films deposited on Si substrates. It was confirmed that {beta}-FeSi{sub 2} can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of {beta}-FeSi{sub 2} depends strongly on the content and the acceleration energy of ions.

  20. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  1. Effects of water vapor introduction during Cu(In1-xGax)Se2 deposition on thin film properties and solar cell performance

    International Nuclear Information System (INIS)

    Ishizuka, S.; Sakurai, K.; Yamada, A.; Matsubara, K.; Shibata, H.; Kojima, T.; Niki, S.; Yonemura, M.; Nakamura, S.; Nakanishi, H.

    2006-01-01

    The effects of water vapor introduction during the growth of Cu(In 1-x Ga x )Se 2 , specifically CuInSe 2 (CISe), Cu(In,Ga)Se 2 (CIGSe), and CuGaSe 2 (CGSe) thin films were studied. We have developed thus far a novel technique to improve CIGSe (x∝0.5) cell performance by means of water vapor introduction during CIGSe deposition. In this study, we have examined the effectiveness of water vapor introduction for other x-compositions (CISe and CGSe). Variations in the electrical properties observed in CIGSe (x∝0.5), that is, increasing hole density and conductivity with water vapor introduction, were also observed in CISe and CGSe. Water vapor introduction affected solar cell performance as well; open circuit voltages, short circuit current densities, and efficiencies were improved. The improvements in cell performance are thought to be related to annihilation of donor defects arising from Se-vacancies by incorporation of oxygen from the water vapor. In addition to this, the sodium content in the CIGSe layers was found to depend on the partial pressure of water vapor during deposition. This result suggests that the improvement mechanism is also related with the so-called 'Na-effects'. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  2. Supramolecular structure of a perylene derivative in thin films deposited by physical vapor deposition

    International Nuclear Information System (INIS)

    Fernandes, Jose D.; Aoki, Pedro H.B.; Constantino, Carlos J.J.; Junior, Wagner D.M.; Teixeira, Silvio R.

    2014-01-01

    Full text: Thin films of a perylene derivative, the bis butylimido perylene (BuPTCD), were produced using thermal evaporation (PVD, physical vapor deposition). The main objective is to investigate the supramolecular structure of the BuPTCD in these PVD films, which implies to control the thickness and to determine the molecular organization, morphology at micro and nanometer scales and crystallinity. This supramolecular structure is a key factor in the optical and electrical properties of the film. The ultraviolet-visible absorption revealed an uniform growth of the PVD films. The optical and atomic force microscopy images showed a homogeneous surface of the film at micro and nanometer scales. A preferential orientation of the molecules in the PVD films was determined via infrared absorption. The X-ray diffraction showed that both powder and PVD film are in the crystalline form. (author)

  3. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  4. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  5. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  6. Controlling Vapor Pressure In Hanging-Drop Crystallization

    Science.gov (United States)

    Carter, Daniel C.; Smith, Robbie

    1988-01-01

    Rate of evaporation adjusted to produce larger crystals. Device helps to control vapor pressure of water and other solvents in vicinity of hanging drop of solution containing dissolved enzyme protein. Well of porous frit (sintered glass) holds solution in proximity to drop of solution containing protein or enzyme. Vapor from solution in frit controls evaporation of solvent from drop to control precipitation of protein or enzyme. With device, rate of nucleation limited to decrease number and increase size (and perhaps quality) of crystals - large crystals of higher quality needed for x-ray diffraction studies of macromolecules.

  7. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  9. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  10. Solvents, Ethanol, Car Crashes and Tolerance: How Risky is Inhalation of Organic Solvents?

    Science.gov (United States)

    A research program in the National Health and Environmental Effects Research Laboratory of the U.S. EPA has led to some surprising considerations regarding the potential hazard of exposure to low concentrations of solvent vapors. This program involved conducting experiments to ch...

  11. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  12. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  13. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  14. An evaluation of vapor extraction of vadose zone contamination

    International Nuclear Information System (INIS)

    Crotwell, A.T.; Waehner, M.J.; MacInnis, J.M.; Travis, C.C.; Lyon, B.F.

    1992-05-01

    An in-depth analysis of vapor extraction for remediation of soils contaminated with volatile organic compounds (VOCS) was conducted at 13 sites. The effectiveness of vapor extraction systems (VES) was evaluated on the basis of soil concentrations of VOCs and soil-gas concentrations of VOC's. The range of effectiveness was found to be 64%--99% effective in removing organic contaminants from soil. At nine of the 13 sites studied in this report, vapor extraction was found to be effective in reducing VOC cooncentrations by at least 90%. At the remaining four sites studied, vapor extraction was found to reduce VOC concentrations by less than 90%. Vapor extraction is ongoing at two of these sites. At a third, the ineffectiveness of the vapor extraction is attributed to the presence of ''hot spots'' of contamination. At the fourth site, where performance was found to be relatively poor, the presence of geological tar deposits at the site is thought to be a major factor in the ineffectiveness

  15. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  16. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  17. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  18. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  19. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  20. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  1. Green solvents and technologies for oil extraction from oilseeds

    OpenAIRE

    Kumar, S. P. Jeevan; Prasad, S. Rajendra; Banerjee, Rintu; Agarwal, Dinesh K.; Kulkarni, Kalyani S.; Ramesh, K. V.

    2017-01-01

    Oilseeds are crucial for the nutritional security of the global population. The conventional technology used for oil extraction from oilseeds is by solvent extraction. In solvent extraction, n-hexane is used as a solvent for its attributes such as simple recovery, non-polar nature, low latent heat of vaporization (330?kJ/kg) and high selectivity to solvents. However, usage of hexane as a solvent has lead to several repercussions such as air pollution, toxicity and harmfulness that prompted to...

  2. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  3. Growth graphene on silver-copper nanoparticles by chemical vapor deposition for high-performance surface-enhanced Raman scattering

    Science.gov (United States)

    Zhang, Xiumei; Xu, Shicai; Jiang, Shouzhen; Wang, Jihua; Wei, Jie; Xu, Shida; Gao, Shoubao; Liu, Hanping; Qiu, Hengwei; Li, Zhen; Liu, Huilan; Li, Zhenhua; Li, Hongsheng

    2015-10-01

    We present a graphene/silver-copper nanoparticle hybrid system (G/SCNPs) to be used as a high-performance surface-enhanced Raman scattering (SERS) substrate. The silver-copper nanoparticles wrapped by a monolayer graphene layer are directly synthesized on SiO2/Si substrate by chemical vapor deposition in a mixture of methane and hydrogen. The G/SCNPs shows excellent SERS enhancement activity and high reproducibility. The minimum detected concentration of R6G is as low as 10-10 M and the calibration curve shows a good linear response from 10-6 to 10-10 M. The date fluctuations from 20 positions of one SERS substrate are less than 8% and from 20 different substrates are less than 10%. The high reproducibility of the enhanced Raman signals could be due to the presence of an ultrathin graphene layer and uniform morphology of silver-copper nanoparticles. The use of G/SCNPs for detection of nucleosides extracted from human urine demonstrates great potential for the practical applications on a variety of detection in medicine and biotechnology field.

  4. Suppressed beta relaxations and reduced heat capacity in ultrastable organic glasses prepared by physical vapor deposition

    Science.gov (United States)

    Ediger, Mark

    Glasses play an important role in technology as a result of their macroscopic homogeneity (e.g., the clarity of window glass) and our ability to tune properties through composition changes. A problem with liquid-cooled glasses is that they exhibit marginal kinetic stability and slowly evolve towards lower energy glasses and crystalline states. In contrast, we have shown that physical vapor deposition can prepare glasses with very high kinetic stability. These materials have properties expected for ``million-year-old'' glasses, including high density, low enthalpy, and high mechanical moduli. We have used nanocalorimetry to show that these high stability glasses have lower heat capacities than liquid-cooled glasses for a number of molecular systems. Dielectric relaxation has been used to show that the beta relaxation can be suppressed by nearly a factor of four in vapor-deposited toluene glasses, indicating a very tight packing environment. Consistent with this view, computer simulations of high stability glasses indicate reduced Debye-Waller factors. These high stability materials raise interesting questions about the limiting properties of amorphous packing arrangements.

  5. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  6. Numerical evaluation of ABS parts fabricated by fused deposition modeling and vapor smoothing

    Directory of Open Access Journals (Sweden)

    Sung-Uk Zhang

    2017-12-01

    Full Text Available The automotive industry has focused to use polymer materials in order to increase energy efficiency. So, the industry pays attention to use 3D printing technologies using several polymers. Among several 3D printer technologies, fused deposition modeling (FDM is one of the popular 3D printing technologies due to an inexpensive extrusion machine and multi-material printing. FDM could use thermoplastics such as ABS, PLA, ULTEM so on. However, it has a problem related to the post-processing because FDM has relatively poor layer resolution. In this study, the mechanical properties of ABS parts fabricated by FDM were measured. The ABS parts were divided into one with vapor smoothing process and the other without the vapor smoothing process which is one of the post-processing methods. Using dynamic mechanical analysis (DMA and dilatometer, temperature-dependent storage modulus and CTE for ABS specimens were measured. Based on the measured thermo-mechanical properties of ABS parts, finite element analysis was performed for an automotive bumper made of ABS. Moreover, response surface methodology was applied to study relationships among design parameters of thickness of the bumper, ambient temperature, and application of the vapor smoothing process. In result, a design guideline for a ABS product could be provided without time-consuming experiments

  7. Silicon-substituted hydroxyapatite coating with Si content on the nanotube-formed Ti–Nb–Zr alloy using electron beam-physical vapor deposition

    International Nuclear Information System (INIS)

    Jeong, Yong-Hoon; Choe, Han-Cheol; Brantley, William A.

    2013-01-01

    The purpose of this study was to investigate the electrochemical characteristics of silicon-substituted hydroxyapatite coatings on the nanotube-formed Ti–35Nb–10Zr alloy. The silicon-substituted hydroxyapatite (Si–HA) coatings on the nanotube structure were deposited by electron beam-physical vapor deposition and anodization methods, and biodegradation properties were analyzed by potentiodynamic polarization and electrochemical impedance spectroscopy measurement. The surface characteristics were analyzed by field-emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction (XRD). The Si–HA layers were deposited with rough features having highly ordered nanotube structures on the titanium alloy substrate. The thickness of the Si–HA coating was less than that of the HA coating. The XRD results confirmed that the Si–HA coating on the nanotube structure consisted of TiO 2 anatase, TiO 2 rutile, hydroxyapatite, and calcium phosphate silicate. The Si–HA coating surface exhibited lower I corr than the HA coating, and the polarization resistance was increased by substitution of silicon in hydroxyapatite. - Highlights: • Silicon substituted hydroxyapatite (Si–HA) was coated on nanotubular titanium alloy. • The Si–HA coating thickness was less than single hydroxyapatite (HA) coating. • Si–HA coatings consisted of TiO 2 , HA, and Ca 5 (PO 4 ) 2 SiO 4 . • Polarization resistance of the coating was increased by Si substitution in HA

  8. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  9. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  10. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  11. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  12. Mechanisms controlling temperature dependent mechanical and electrical behavior of SiH4 reduced chemically vapor deposited W

    International Nuclear Information System (INIS)

    Joshi, R.V.; Prasad, V.; Krusin-Elbaum, L.; Yu, M.; Norcott, M.

    1990-01-01

    The effects of deposition temperature on growth, composition, structure, adhesion properties, stress, and resistivity of chemically vapor deposited W deposited purely by SiH 4 reduction of WF 6 are discussed. At lower deposition temperatures, due to incomplete Si reduction reaction, a small amount of Si is incorporated in the film. This elemental Si in W is responsible for the observed high stresses and high resistivities over a wide temperature range. With the increase in the deposition temperature, the conversion of incorporated Si as well as the initial Si reduction are taking place, stimulating increased grain growth and thereby relieving stress and reducing resistivity. The optimum values for stress and resistivity are achieved around 500 degree C, as Si content is at its minimum. At higher temperatures the reaction between residual Si and W, is the prime cause of resistivity increase

  13. Magnetron target designs to improve wafer edge trench filling in ionized metal physical vapor deposition

    International Nuclear Information System (INIS)

    Lu Junqing; Yoon, Jae-Hong; Shin, Keesam; Park, Bong-Gyu; Yang Lin

    2006-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed. The model was validated based on the agreement between the model predictions and the reported experimental values for the asymmetric metal deposition at trench sidewalls near the wafer edge for a 200 mm wafer. This model could predict the thickness of the metal deposits across the wafer, the symmetry of the deposits on the trench sidewalls at any wafer location, and the angular distributions of the metal fluxes arriving at any wafer location. The model predictions for the 300 mm wafer indicate that as the target-to-wafer distance is shortened, the deposit thickness increases and the asymmetry decreases, however the overall uniformity decreases. Up to reasonable limits, increasing the target size and the sputtering intensity for the outer target portion significantly improves the uniformity across the wafer and the symmetry on the trench sidewalls near the wafer edge

  14. Ionic association and solvation of the ionic liquid 1-hexyl-3-methylimidazolium chloride in molecular solvents revealed by vapor pressure osmometry, conductometry, volumetry, and acoustic measurements.

    Science.gov (United States)

    Sadeghi, Rahmat; Ebrahimi, Nosaibah

    2011-11-17

    A systematic study of osmotic coefficient, conductivity, volumetric and acoustic properties of solutions of ionic liquid 1-hexyl-3-methylimidazolium chloride ([C(6)mim][Cl]) in various molecular solvents has been made at different temperatures in order to study of ionic association and solvation behavior of [C(6)mim][Cl] in different solutions. Precise measurements on electrical conductances of solutions of [C(6)mim][Cl] in water, methanol, ethanol, 1-propanol, 2-propanol, 1-butanol, and acetonitrile at 293.15, 298.15, and 303.15 K are reported and analyzed with Barthel's low-concentration chemical model (lcCM) to obtain the limiting molar conductivities and association constants of this ionic liquid in the investigated solvents. Strong ion pairing was found for the ionic liquid in 2-propanol, 1-butanol, and 1-propanol, whereas ion association in acetonitrile, methanol and ethanol is rather weak and in water the ionic liquid is fully dissociated. In the second part of this work, the apparent molar volumes and isentropic compressibilities of [C(6)mim][Cl] in water, methanol, ethanol, acetonitrile, 1-propanol, 2-propanol, and 1-butanol are obtained at the 288.15-313.15 K temperature range at 5 K intervals at atmospheric pressure from the precise measurements of density and sound velocity. The infinite dilution apparent molar volume and isentropic compressibility values of the free ions and ion pairs of [C(6)mim][Cl] in the investigated solvents as well as the excess molar volume of the investigated solutions are determined and their variations with temperature and type of solvents are also studied. Finally, the experimental measurements of osmotic coefficient at 318.15 K for binary solutions of [C(6)mim][Cl] in water, methanol, ethanol, 2-propanol, and acetonitrile are taken using the vapor pressure osmometry (VPO) method and from which the values of the solvent activity, vapor pressure, activity coefficients, and Gibbs free energies are calculated. The results are

  15. Enhanced cyclic stability of SnS microplates with conformal carbon coating derived from ethanol vapor deposition for sodium-ion batteries

    Science.gov (United States)

    Li, Xiang; Liu, Jiangwen; Ouyang, Liuzhang; Yuan, Bin; Yang, Lichun; Zhu, Min

    2018-04-01

    Carbon coated SnS microplates (SnS@C MPs) were prepared via a facile chemical vapor deposition method using SnS2 nanoflakes as precursor and ethanol vapor as carbon source. The carbon coating restrains the growth of SnS during the heat treatment. Furthermore, it improves the electronic conductivity as well as accommodates volume variations of SnS during the sodiation and desodiation processes. Therefore, the rate capability and cycle performance of the SnS@C MPs as anode materials for sodium-ion batteries are remarkably enhanced compared with the bare SnS and the SnS2 precursor. At current densities of 0.1, 0.2, 0.5, 1 and 2 A g-1, the optimized SnS@C MPs exhibit stable capacities of 602.9, 532.1, 512.2, 465.9 and 427.2 mAh g-1, respectively. At 1 A g-1, they show a reversible capacity of 528.8 mAh g-1 in the first cycle, and maintain 444.7 mAh g-1 after 50 cycles, with capacity retention of 84.1%. The carbon coating through chemical vapor deposition using ethanol vapor as carbon sources is green, simple and cost-effective, which shows great promise to improve the reversible Na+ storage of electrode materials.

  16. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  17. Growth and process identification of CuInS 2 on GaP by chemical vapor deposition

    Science.gov (United States)

    Hwang, H. L.; Sun, C. Y.; Fang, C. S.; Chang, S. D.; Cheng, C. H.; Yang, M. H.; Lin, H. H.; Tuwan-Mu, H.

    1981-10-01

    Experimental techniques for growing CuInS 2 layers on GaP substrates by the metalorganic method have been developed. Hydrogen sulfide gas together with the vapors of CuCl( NCCH3) n and InCl3( NCCH3) both of which were generated by bubbling nitrogen through sources, using a solvent of acetonitride, were used as transport agents. Various characterization techniques such as atomic absorption (AA), neutron activation analysis (NAA), energy dispersive analysis by X-rays (EDAX), Rutherford back-scattering analysis (RBS), and X-ray analyses were used to help understand the fundamental mechanism of the CVD growth.

  18. Validation of Alternatives to High Volatile Organic Compound Solvents Used in Aeronautical Antifriction Bearing Cleaning

    Science.gov (United States)

    2006-10-17

    982-4832 (fax) tom.torres@navy.mil Quality Assurance Officer Gene Griffin NFESC 1100 23rd Avenue Port Hueneme, CA 93043-4370 (805) 982-2267...solvent replenishment system. The waste solvent shall be captured in a sealed container that is easily acces· sible for periodic disposal. (2) HFE ...Co-Solvent Vapor Degreaser. This method features the use of a hydrocarbon (HC) solvating agent and a Hydrofluoroether ( HFE ) liquid rinse and vapor

  19. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  20. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  1. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  2. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  3. Effects of copolymer composition, film thickness, and solvent vapor annealing time on dewetting of ultrathin block copolymer films.

    Science.gov (United States)

    Huang, Changchun; Wen, Gangyao; Li, Jingdan; Wu, Tao; Wang, Lina; Xue, Feifei; Li, Hongfei; Shi, Tongfei

    2016-09-15

    Effects of copolymer composition, film thickness, and solvent vapor annealing time on dewetting of spin-coated polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) films (dewetting of the films with different thicknesses occur via the spinodal dewetting and the nucleation and growth mechanisms, respectively. The PS-b-PMMA films rupture into droplets which first coalesce into large ones to reduce the surface free energy. Then the large droplets rupture into small ones to increase the contact area between PMMA blocks and acetone molecules resulting from ultimate migration of PMMA blocks to droplet surface, which is a novel dewetting process observed in spin-coated films for the first time. Copyright © 2016 Elsevier Inc. All rights reserved.

  4. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  5. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  6. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  7. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  8. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  9. Safety limits of half-mask cartridge respirators for organic solvent vapors

    International Nuclear Information System (INIS)

    Anon.

    1975-01-01

    Recent studies of the effective service life (safety limits) for typical half-mask cartridge respirators have shown these devices to be unsuitable for certain organic vapors, e.g., methanol, methylamine, vinyl chloride, and dichloromethane, because the effective service life is too short. For these vapors other forms of protection such as air-supplied respirators are recommended. The experimentally determined service life for many vapors is shorter--sometimes significantly shorter--than predicted by adsorption theory

  10. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  11. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  12. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  14. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  15. Screening for organic solvents in Hanford waste tanks using organic vapor concentrations

    International Nuclear Information System (INIS)

    Huckaby, J.L.; Sklarew, D.S.

    1997-09-01

    The potential ignition of organic liquids stored in the Hanford Site high-level radioactive waste tanks has been identified as a safety issue because expanding gases could potentially affect tank dome integrity. Organic liquid waste has been found in some of the waste tanks, but most are thought to contain only trace amounts. Due to the inhomogeneity of the waste, direct sampling of the tank waste to locate organic liquids may not conclusively demonstrate that a given tank is free of risk. However, organic vapors present above the organic liquid waste can be detected with a high degree of confidence and can be used to identify problem tanks. This report presents the results of a screening test that has been applied to 82 passively ventilated high-level radioactive waste tanks at the Hanford Site to identify those that might contain a significant amount of organic liquid waste. It includes seven tanks not addressed in the previous version of this report, Screening for Organic Solvents in Hanford Waste Tanks Using Total Non-Methane Organic Compound Vapor Concentrations. The screening test is based on a simple model of the tank headspace that estimates the effective surface area of semivolatile organic liquid waste in a tank. Analyses indicate that damage to the tank dome is credible only if the organic liquid burn rate is above a threshold value, and this can occur only if the surface area of organic liquid in a tank is above a corresponding threshold value of about one square meter. Thirteen tanks were identified as potentially containing at least that amount of semivolatile organic liquid based on conservative estimates. Most of the tanks identified as containing potentially significant quantities of organic liquid waste are in the 241-BY and 241-C tank farms, which agrees qualitatively with the fact that these tank farms received the majority of the PUREX process organic wash waste and waste organic liquids

  16. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  17. Surface morphology and preferential orientation growth of TaC crystals formed by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xiong Xiang, E-mail: Xiong228@sina.co [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Chen Zhaoke; Huang Baiyun; Li Guodong [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Zheng Feng [School of Material Science and Engineering, Central South University, Changsha 410083 (China); Xiao Peng; Zhang Hongbo [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China)

    2009-04-02

    TaC film was deposited on (002) graphite sheet by isothermal chemical vapor deposition using TaCl{sub 5}-Ar-C{sub 3}H{sub 6} mixtures, with deposition temperature 1200 {sup o}C and pressure about 200 Pa. The influence of deposition position (or deposition rate) on preferential orientation and surface morphology of TaC crystals were investigated by X-ray diffraction and scanning electron microscopy methods. The deposits are TaC plus trace of C. The crystals are large individual columns with pyramidal-shape at deposition rate of 32.4-37.3 {mu}m/h, complex columnar at 37.3-45.6 {mu}m/h, lenticular-like at 45.6-54.6 {mu}m/h and cauliflower-like at 54.6-77.3 {mu}m/h, with <001>, near <001>, <110> and no clear preferential orientation, respectively. These results agree in part with the preditions of the Pangarov's model of the relationship between deposition rate and preferential growth orientation. The growth mechanism of TaC crystals in <001>, near <001>, <111> and no clear preferential orientation can be fairly explained by the growth parameter {alpha} with Van der Drift's model, deterioration model and Meakin model. Furthermore, a nucleation and coalescence model is also proposed to explain the formation mechanism of <110> lenticular-like crystals.

  18. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  19. Vapor solvent decontamination of PCB [polychlorinated biphenyls] transformer components

    International Nuclear Information System (INIS)

    Green, G.R.; Green, G.R.

    1992-01-01

    A process is provided to recover reclaimable material from discarded transformers containing PCB (polychlorinated biphenyl) insulating oils and to minimize the volume of materials which are subject to environmental regulation upon disposal. According to the invention, the transformer is drained and given an initial cleaning. The internal parts are removed and cleaned a second time as is the empty transformer casing. Recoverable materials such as aluminum and copper are cleaned to less than 10 μg of PCB per 100 cm 2 , allowing these materials to be recycled rather than buried. Almost all of the remaining nonmetallic materials are combustible solids or liquids which can be destroyed by incineration. The cleaning is accomplished using trichloroethylene solvent, chosen for its low boiling point which makes it easy to recycle using an isothermal separator. The removed transformer parts are cleaned in a secondary cleaning station consisting of 3 separate sections including tumbling baskets. 2 figs

  20. Deposition of titanium coating on SiC fiber by chemical vapor deposition with Ti-I{sub 2} system

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2017-06-01

    Highlights: • The transformation paths of (Ti + I{sub 2}) powder to Ti coating is: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}) → Ti. • Uniform coating was obtained on SiC fiber, but it contained Si and C elements. • Deposition rate of the coating increased with the increase of temperature. • Deposition thickness increased with time and achieved the maximum at 90 min. - Abstract: Titanium coating was prepared on SiC fiber using titanium-iodine (Ti-I{sub 2}) mixture by hot-wall chemical vapor deposition. Thermodynamic analysis and experimental observation were carried out in this work. The thermodynamic analysis of the reactions in the Ti-I{sub 2} system indicates that Ti and I{sub 2} raw powder materials transform to titanium coating as follows: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}), and (TiI{sub 2}, TiI{sub 3}) → Ti. In theory, the conversions of TiI{sub 3} and TiI{sub 2} reach the maximum when Ti:I{sub 2} is 1:1.5, while in actual experiment that reached the maximum when Ti:I{sub 2} was 1:2, as there existed the waste of I{sub 2} due to sublimation. Typical deposited coating is relatively flat and uniform. However, as SiC is prone to react with Ti at high temperatures, the obtained coating contained some Si and C elements except for Ti. So the coating was not a pure Ti coating but contained some carbides and silicides. Deposition rate of the coating increased with the increase of temperature. The deposited thickness increased with the increase of heat preservation time, and achieved the maximum thickness at 90 min.

  1. Regularly arranged indium islands on glass/molybdenum substrates upon femtosecond laser and physical vapor deposition processing

    Energy Technology Data Exchange (ETDEWEB)

    Ringleb, F.; Eylers, K.; Teubner, Th.; Boeck, T., E-mail: torsten.boeck@ikz-berlin.de [Leibniz-Institute for Crystal Growth, Max-Born-Straße 2, Berlin 12489 (Germany); Symietz, C.; Bonse, J.; Andree, S.; Krüger, J. [Bundesanstalt für Materialforschung und-prüfung (BAM), Unter den Eichen 87, Berlin 12205 (Germany); Heidmann, B.; Schmid, M. [Department of Physics, Freie Universität Berlin, Arnimalle 14, Berlin 14195 (Germany); Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Lux-Steiner, M. [Nanooptical Concepts for PV, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany); Heterogeneous Material Systems, Helmholtz Zentrum Berlin, Hahn-Meitner-Platz 1, Berlin 14109 (Germany)

    2016-03-14

    A bottom-up approach is presented for the production of arrays of indium islands on a molybdenum layer on glass, which can serve as micro-sized precursors for indium compounds such as copper-indium-gallium-diselenide used in photovoltaics. Femtosecond laser ablation of glass and a subsequent deposition of a molybdenum film or direct laser processing of the molybdenum film both allow the preferential nucleation and growth of indium islands at the predefined locations in a following indium-based physical vapor deposition (PVD) process. A proper choice of laser and deposition parameters ensures the controlled growth of indium islands exclusively at the laser ablated spots. Based on a statistical analysis, these results are compared to the non-structured molybdenum surface, leading to randomly grown indium islands after PVD.

  2. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    Science.gov (United States)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  3. Solvent-free functionalization of silicone rubber and efficacy of PAAm brushes grafted from an amino-PPX layer against bacterial adhesion.

    Science.gov (United States)

    Fundeanu, Irina; Klee, Doris; Schouten, Arend J; Busscher, Henk J; van der Mei, Henny C

    2010-11-01

    Silicone rubber is a frequently employed biomaterial that is prone to bacterial adhesion and biofilm formation. In this study, the surface of silicone rubber was solvent-free functionalized by chemical vapor deposition (CVD) of poly(o-amino-p-xylylene-co-p-xylylene (amino-PPX). Subsequently, the amino groups of the amino-PPX layer were used to introduce the initiator from a vapor phase for atom transfer radical polymerization of acrylamide to form polyacrylamide (PAAm) brushes. The modification steps were verified by means of X-ray photoelectron spectroscopy and attenuated total reflection-Fourier transform infrared spectroscopy. Adhesion of Staphylococcus aureus ATCC 12600 and Escherichia coli 3.14 to an amino-PPX-PAAm brush coating in a parallel plate flow chamber was strongly reduced with respect to non-coated silicone rubber - by 93% and 99%, respectively. For E. coli 3.14, this reduction is larger than that obtained for solvent functionalization of γ-aminopropyltriethoxysilane-PAAm brushes due to the higher density of amino groups introduced by the CVD of amino-PPX. Copyright © 2010 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  4. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  5. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  6. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  7. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  8. Integrated rotating-compensator polarimeter for real-time measurements and analysis of organometallic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Flock, K.; Kim, S.-J.; Asar, M.; Kim, I.K.; Aspnes, D.E

    2004-05-01

    We describe a single-beam rotating-compensator rotating-sample spectroscopic polarimeter (RCSSP) integrated with an organometallic chemical vapor deposition (OMCVD) reactor for in-situ diagnostics and control of epitaxial growth, and report representative results. The rotating compensator generates Fourier coefficients that provide information about layer thicknesses and compositions, while sample rotation provides information about optical anisotropy and therefore surface chemistry. We illustrate capabilities with various examples, including the simultaneous determination of <{epsilon}> and {alpha}{sub 10} during exposure of (001)GaAs to TMG, the heteroepitaxial growth of GaP on GaAs, and the growth of (001)GaSb with TMG and TMSb. Using a recently developed approach for quantitatively determining thickness and dielectric function of depositing layers, we find the presence of metallic Ga on TMG-exposed (001)GaAs. The (001)GaSb data show that Sb deposition is self-limiting, in contrast to expectations.

  9. Industrial rag cleaning process for the environmentally safe removal of petroleum-based solvents

    International Nuclear Information System (INIS)

    Fierro, J.V.

    1993-01-01

    A process for the cleaning of industrial rags contaminated with environmentally unsafe petroleum-based solvent is described, comprising the step of: (a) placing a load of the industrial rags in a mechanically driven rotary drum; (b) revolving the drum at a high speed sufficient to physically extract liquid petroleum-based solvent contaminate from the industrial rags; (c) routing the extracted petroleum-based solvent contaminate from the rotary drum to a waste solvent collection line for environmentally safe disposal; (d) revolving the rotary drum to cause a tumbling of the industrial rags while maintaining the temperature within the drum at below the flash point of the petroleum-based solvent; (e) intermittently forcing cold air and hot air through the rotary drum to vaporize solvent from the industrial rags; (f) routing the vaporized petroleum-based solvent contaminant from the rotary drum to a condenser wherein the petroleum-based solvent contaminate is condensed and thereafter further routing said condensed solvent to a waste collection line for environmentally safe disposal; and (g) cleaning the industrial rags in the presence of a dry cleaning solvent to remove residual petroleum-based solvents and soil

  10. Silicon-substituted hydroxyapatite coating with Si content on the nanotube-formed Ti–Nb–Zr alloy using electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Yong-Hoon [Division of Restorative, Prosthetic and Primary Care Dentistry, College of Dentistry, The Ohio State University, 305 W. 12th Ave., Columbus, OH (United States); Department of Dental Materials, Research Center of Nano-Interface Activation for Biomaterials, and Research Center for Oral Disease Regulation of the Aged, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Choe, Han-Cheol, E-mail: hcchoe@chosun.ac.kr [Department of Dental Materials, Research Center of Nano-Interface Activation for Biomaterials, and Research Center for Oral Disease Regulation of the Aged, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Brantley, William A. [Division of Restorative, Prosthetic and Primary Care Dentistry, College of Dentistry, The Ohio State University, 305 W. 12th Ave., Columbus, OH (United States)

    2013-11-01

    The purpose of this study was to investigate the electrochemical characteristics of silicon-substituted hydroxyapatite coatings on the nanotube-formed Ti–35Nb–10Zr alloy. The silicon-substituted hydroxyapatite (Si–HA) coatings on the nanotube structure were deposited by electron beam-physical vapor deposition and anodization methods, and biodegradation properties were analyzed by potentiodynamic polarization and electrochemical impedance spectroscopy measurement. The surface characteristics were analyzed by field-emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction (XRD). The Si–HA layers were deposited with rough features having highly ordered nanotube structures on the titanium alloy substrate. The thickness of the Si–HA coating was less than that of the HA coating. The XRD results confirmed that the Si–HA coating on the nanotube structure consisted of TiO{sub 2} anatase, TiO{sub 2} rutile, hydroxyapatite, and calcium phosphate silicate. The Si–HA coating surface exhibited lower I{sub corr} than the HA coating, and the polarization resistance was increased by substitution of silicon in hydroxyapatite. - Highlights: • Silicon substituted hydroxyapatite (Si–HA) was coated on nanotubular titanium alloy. • The Si–HA coating thickness was less than single hydroxyapatite (HA) coating. • Si–HA coatings consisted of TiO{sub 2}, HA, and Ca{sub 5}(PO{sub 4}){sub 2}SiO{sub 4}. • Polarization resistance of the coating was increased by Si substitution in HA.

  11. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  12. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  13. Organic-inorganic field effect transistor with SnI-based perovskite channel layer using vapor phase deposition technique

    Science.gov (United States)

    Matsushima, Toshinori; Yasuda, Takeshi; Fujita, Katsuhiko; Tsutsui, Tetsuo

    2003-11-01

    High field-effect hole mobility of (formula available in paper)and threshold voltage is -3.2 V) in organic-inorganic layered perovskite film (formula available in paper)prepared by a vapor phase deposition technique have been demonstrated through the octadecyltrichlorosilane treatment of substrate. Previously, the (formula available in paper)films prepared on the octadecyltrichlorosilane-covered substrates using a vapor evaporation showed not only intense exciton absorption and photoluminescence in the optical spectroscopy but also excellent crystallinity and large grain structure in X-ray and atomic force microscopic studies. Especially, the (formula available in paper)structure in the region below few nm closed to the surface of octadecyltrichlorosilane monolayer was drastically improved in comparison with that on the non-covered substrate. Though our initial (formula available in paper)films via a same sequence of preparation of (formula available in paper)and octadecyltrichlorosilane monolayer did not show the field-effect properties because of a lack of spectral, structural, and morphological features. The unformation of favorable (formula available in paper)structure in the very thin region, that is very important for the field-effect transistors to transport electrons or holes, closed to the surface of non-covered (formula available in paper)dielectric layer was also one of the problems for no observation of them. By adding further optimization and development, such as deposition rate of perovskite, substrate heating during deposition, and tuning device architecture, with hydrophobic treatment, the vacuum-deposited (formula available in paper)have achieved above-described high performance in organic-inorganic hybrid transistors.

  14. Evaluation of corrosion behaviour of tantalum coating obtained by low pressure chemical vapor deposition using electrochemical polarization

    Science.gov (United States)

    Levesque, A.; Bouteville, A.; de Baynast, H.; Laveissière, B.

    2002-06-01

    antalum coatings are elaborated on titanium substrates through Low Pressure Chemical Vapor Deposition from tantalum pentachloride-hydrogen gaseous phase at a deposition temperature of 800 °C and a total pressure of 3.3 mbar. The aim of this paper is to evaluate the effectiveness of this tantalum coating in corrosive solution. Optical Microscopy and Scanning Electron Microscopy observations reveal that deposits are of 1.7 μm in thickness and conformal. The corrosion resistance of tantalum coated titanium substrates is quantified through standard potentiodynamic polarization method. Even for tantalum coatings exhibiting some defects as pores, the corrosion current density is as low as 0.25 mA/cm^2.in very agressive solutions like kroll reagent (HN03/HF).

  15. Real time monitoring of filament-assisted chemically vapor deposited diamond by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Yue Cong; An, I.; Vedam, K.; Collins, R.W.; Nguyen, H.V.; Messier, R.

    1991-01-01

    Spectroscopic ellipsometry over the range 1.5-4.5 eV was applied as a real time probe of the processes occurring in the initial nucleation of thin film diamond by heated-filament assisted chemical vapor deposition. Using both untreated and diamond-polished c-Si substrates, as well as both carburized and uncarburized tungsten filaments, it was possible to separate and characterize competing phenomena, including the increase in surface temperature induced by filament ignition, the formation of carbide layers, contamination of the substrate by tungsten from the filament, annealing of diamond polishing damage, and, finally, diamond nucleation. An accurate measurement of the true temperature of the substrate surface averaged over the top 500 A can be obtained from the energy position of critical points in the c-Si band structure. For diamond deposition, we operated with an initial excess flow of CH 4 to stimulate nucleation. We applied real time feedback and manual control to reduce the CH 4 flow in the first monolayers of deposition. The thickness of diamond and an estimate of its nucleation density can be obtained from real time spectra, and the latter was in good agreement with that obtained from scanning electron microscopy. (orig.)

  16. ZnO Nanowires Synthesized by Vapor Phase Transport Deposition on Transparent Oxide Substrates

    Directory of Open Access Journals (Sweden)

    Taylor Curtis

    2010-01-01

    Full Text Available Abstract Zinc oxide nanowires have been synthesized without using metal catalyst seed layers on fluorine-doped tin oxide (FTO substrates by a modified vapor phase transport deposition process using a double-tube reactor. The unique reactor configuration creates a Zn-rich vapor environment that facilitates formation and growth of zinc oxide nanoparticles and wires (20–80 nm in diameter, up to 6 μm in length, density <40 nm apart at substrate temperatures down to 300°C. Electron microscopy and other characterization techniques show nanowires with distinct morphologies when grown under different conditions. The effect of reaction parameters including reaction time, temperature, and carrier gas flow rate on the size, morphology, crystalline structure, and density of ZnO nanowires has been investigated. The nanowires grown by this method have a diameter, length, and density appropriate for use in fabricating hybrid polymer/metal oxide nanostructure solar cells. For example, it is preferable to have nanowires no more than 40 nm apart to minimize exciton recombination in polymer solar cells.

  17. The role of ultra-fast solvent evaporation on the directed self-assembly of block polymer thin films

    Science.gov (United States)

    Drapes, Chloe; Nelson, G.; Grant, M.; Wong, J.; Baruth, A.

    The directed self-assembly of nano-structures in block polymer thin films viasolvent vapor annealing is complicated by several factors, including evaporation rate. Solvent vapor annealing exposes a disordered film to solvent(s) in the vapor phase, increasing mobility and tuning surface energy, with the intention of producing an ordered structure. Recent theoretical predictions reveal the solvent evaporation affects the resultant nano-structuring. In a competition between phase separation and kinetic trapping during drying, faster solvent removal can enhance the propagation of a given morphology into the bulk of the thin film down to the substrate. Recent construction of a purpose-built, computer controlled solvent vapor annealing chamber provides control over forced solvent evaporation down to 15 ms. This is accomplished using pneumatically actuated nitrogen flow into and out of the chamber. Furthermore, in situ spectral reflectance, with 10 ms temporal resolution, monitors the swelling and evaporation. Presently, cylinder-forming polystyrene-block-polylactide thin films were swollen with 40% (by volume) tetrahydrofuran, followed by immediate evaporation under a variety of designed conditions. This includes various evaporation times, ranging from 15 ms to several seconds, and four unique rate trajectories, including linear, exponential, and combinations. Atomic force microscopy reveals specific surface, free and substrate, morphologies of the resultant films, dependent on specific evaporation conditions. Funded by the Clare Boothe Luce Foundation and Nebraska EPSCoR.

  18. Homostructured ZnO-based metal-oxide-semiconductor field-effect transistors deposited at low temperature by vapor cooling condensation system

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Tzu-Shun [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw [Institute of Nanotechnology and Microsystems Engineering, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China); Institute of Microelectronics, Department of Electrical Engineering, Advanced Optoelectronic Technology Center, National Cheng Kung University, 701 Tainan, Taiwan, ROC (China)

    2015-11-01

    Highlights: • The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors. • The resulting homostructured ZnO-based MOSFETs operated at a reverse voltage of −6 V had a very low gate leakage current of 24 nA. • The associated I{sub DSS} and the g{sub m(max)} were 5.64 mA/mm and 1.31 mS/mm, respectively. - Abstract: The vapor cooling condensation system was designed and used to deposit homostructured ZnO-based metal-oxide-semiconductor field-effect transistors (MOSFETs) on sapphire substrates. Owing to the high quality of the deposited, various ZnO films and interfaces, the resulting MOSFETs manifested attractive characteristics, such as the low gate leakage current of 24 nA, the low average interface state density of 2.92 × 10{sup 11} cm{sup −2} eV{sup −1}, and the complete pinch-off performance. The saturation drain–source current, the maximum transconductance, and the gate voltage swing of the resulting homostructured ZnO-based MOSFETs were 5.64 mA/mm, 1.31 mS/mm, and 3.2 V, respectively.

  19. Theoretical and experimental study of mixed solvent electrolytes

    International Nuclear Information System (INIS)

    Cummings, P.T.; O'Connell, J.P.

    1990-01-01

    In the original proposal to study mixed solvent electrolyte solutions, four major goals were formulated: fundamental modeling of mixed solvent electrolytes using numerically solved integral equation approximation theories; evaluation of intermolecular pair potential models by computer simulation of selected systems for comparison with experiment and the numerical integral equation studies; development of fundamentally based correlations for the thermodynamic properties of mixed solvent electrolyte solutions using analytically solvable statistical mechanical models; and extension of experimental database on mixed solvent electrolytes by performing vapor-liquid equilibrium measurements on selected systems. This paper discusses the progress on these goals

  20. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  1. RF-plasma vapor deposition of siloxane on paper. Part 1: Physical evolution of paper surface

    Science.gov (United States)

    Sahin, Halil Turgut

    2013-01-01

    An alternative, new approach to improve the hydrophobicity and barrier properties of paper was evaluated by radio-frequency (RF) plasma octamethylcyclotetrasiloxane (OMCTSO) vapor treatment. The interaction between OMCTSO and paper, causing the increased hydophobicity, is likely through covalent bonding. The deposited thin silicone-like polymeric layer from OMCTSO plasma treatment possessed desirable hydrophobic properties. The SEM micrographs showed uniformly distributed grainy particles with various shapes on the paper surface. Deposition of the silicone polymer-like layer with the plasma treatment affects the distribution of voids in the network structure and increases the barrier against water intake and air. The water absorptivity was reduced by 44% for the OMCTSO plasma treated sheet. The highest resistance to air flow was an approximately 41% lower air permeability than virgin paper.

  2. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  3. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  4. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    International Nuclear Information System (INIS)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo; Chang, Jong San; Qiu, Fa Li; Park, Sang Eon

    2004-01-01

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl 4 , was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl 4 with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl 4 was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis

  5. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo [Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Chang, Jong San; Qiu, Fa Li [Chinese Academy of Sciences(CAS), Chengdu (China); Park, Sang Eon [Inha University, Incheon (Korea, Republic of)

    2004-05-15

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl{sub 4}, was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl{sub 4} with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl{sub 4} was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis.

  6. Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics

    KAUST Repository

    Dai, Zhenyu

    2017-09-08

    2D semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein, these two types of materials are combined to realize fully transparent 2D electronic devices and circuits. Specifically, a large-area chemical vapor deposition process is developed to grow monolayer MoS2 continuous films, which are, for the first time, combined with transparent conducting oxide (TCO) contacts. Transparent conducting aluminum doped zinc oxide contacts are deposited by atomic layer deposition, with composition tuning to achieve optimal conductivity and band-offsets with MoS2. The optimized process gives fully transparent TCO/MoS2 2D electronics with average visible-range transmittance of 85%. The transistors show high mobility (4.2 cm2 V−1 s−1), fast switching speed (0.114 V dec−1), very low threshold voltage (0.69 V), and large switching ratio (4 × 108). To our knowledge, these are the lowest threshold voltage and subthreshold swing values reported for monolayer chemical vapor deposition MoS2 transistors. The transparent inverters show fast switching properties with a gain of 155 at a supply voltage of 10 V. The results demonstrate that transparent conducting oxides can be used as contact materials for 2D semiconductors, which opens new possibilities in 2D electronic and photonic applications.

  7. Influences of alcoholic solvents on spray pyrolysis deposition of TiO{sub 2} blocking layer films for solid-state dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Changyun, E-mail: jiangc@imre.a-star.edu.sg [Institute of Materials Research and Engineering, A-STAR, 3 Research Link, 117602 Singapore (Singapore); Koh, Wei Lin; Leung, Man Yin [Institute of Materials Research and Engineering, A-STAR, 3 Research Link, 117602 Singapore (Singapore); Hong, Wei [Department of Chemical Engineering and Waterloo Institute for Nanotechnology (WIN), University of Waterloo, 200 University Ave West ON, Waterloo, Canada N2L 3G1 (Canada); Li, Yuning, E-mail: yuning.li@uwaterloo.ca [Institute of Materials Research and Engineering, A-STAR, 3 Research Link, 117602 Singapore (Singapore); Department of Chemical Engineering and Waterloo Institute for Nanotechnology (WIN), University of Waterloo, 200 University Ave West ON, Waterloo, Canada N2L 3G1 (Canada); Zhang, Jie [Institute of Materials Research and Engineering, A-STAR, 3 Research Link, 117602 Singapore (Singapore)

    2013-02-15

    Influences of alcoholic solvents for titanium diisopropoxide bis(acetylacetonate) (TPA) precursor solutions on the spray pyrolysis deposited TiO{sub 2} films and the photovoltaic performance of the solid-state dye-sensitized solar cells (SDSCs) using these TiO{sub 2} films as the blocking layers were investigated. Smooth TiO{sub 2} films were obtained by spray pyrolysis deposition of a TPA solution in isopropanol (IPA) at a relatively low temperature of 260 Degree-Sign C. On the other hand, when ethanol was used as solvent, the TiO{sub 2} films fabricated at the same temperature showed much rougher surfaces with many pinholes. Our results showed that ethanol reacts with TPA to form titanium diethoxide bis(acetylacetonate) (TEA), which requires a higher thermal decomposition temperature than that of TPA. SDSCs with TiO{sub 2} blocking layer films fabricated using a TPA solution in IPA showed higher power conversion efficiencies with smaller variations. - Graphical abstract: Alcoholic solvents used for the TiO{sub 2} precursor play a critical role in determining the surface morphology of blocking layers and thus the photovoltaic performance of the SDSCs. Highlights: Black-Right-Pointing-Pointer Solvent influences morphology of spray pyrolysis deposited TiO{sub 2} blocking layer. Black-Right-Pointing-Pointer Ethanol reacts with TPA, resulting poor quality of blocking layer. Black-Right-Pointing-Pointer Isopropanol is better than ethanol for obtaining smooth blocking layer. Black-Right-Pointing-Pointer SDSC with blocking layer made with isopropanol showed better performance.

  8. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  9. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  10. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  11. Ultras-stable Physical Vapor Deposited Amorphous Teflon Films with Extreme Fictive Temperature Reduction

    Science.gov (United States)

    McKenna, Gregory; Yoon, Heedong; Koh, Yung; Simon, Sindee

    In the present work, we have produced highly stable amorphous fluoropolymer (Teflon AF® 1600) films to study the calorimetric and relaxation behavior in the deep in the glassy regime. Physical vapor deposition (PVD) was used to produce 110 to 700 nm PVD films with substrate temperature ranging from 0.70 Tg to 0.90 Tg. Fictive temperature (Tf) was measured using Flash DSC with 600 K/s heating and cooling rates. Consistent with prior observations for small molecular weight glasses, large enthalpy overshoots were observed in the stable amorphous Teflon films. The Tf reduction for the stable Teflon films deposited in the vicinity of 0.85 Tg was approximately 70 K compared to the Tgof the rejuvenated system. The relaxation behavior of stable Teflon films was measured using the TTU bubble inflation technique and following Struik's protocol in the temperature range from Tf to Tg. The results show that the relaxation time decreases with increasing aging time implying that devitrification is occurring in this regime.

  12. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    Science.gov (United States)

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  13. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  14. Control of microstructure in soldered, brazed, welded, plated, cast or vapor deposited manufactured components

    Science.gov (United States)

    Ripley, Edward B.; Hallman, Russell L.

    2015-11-10

    Disclosed are methods and systems for controlling of the microstructures of a soldered, brazed, welded, plated, cast, or vapor deposited manufactured component. The systems typically use relatively weak magnetic fields of either constant or varying flux to affect material properties within a manufactured component, typically without modifying the alloy, or changing the chemical composition of materials or altering the time, temperature, or transformation parameters of a manufacturing process. Such systems and processes may be used with components consisting of only materials that are conventionally characterized as be uninfluenced by magnetic forces.

  15. Synthesis and Characterization of Carbon nanofibers on Co and Cu Catalysts by Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Park, Eunsil; Kim, Jongwon; Lee, Changseop

    2014-01-01

    This study reports on the synthesis of carbon nanofibers via chemical vapor deposition using Co and Cu as catalysts. In order to investigate the suitability of their catalytic activity for the growth of nanofibers, we prepared catalysts for the synthesis of carbon nanofibers with Cobalt nitrate and Copper nitrate, and found the optimum concentration of each respective catalyst. Then we made them react with Aluminum nitrate and Ammonium Molybdate to form precipitates. The precipitates were dried at a temperature of 110 .deg. C in order to be prepared into catalyst powder. The catalyst was sparsely and thinly spread on a quartz tube boat to grow carbon nanofibers via thermal chemical vapor deposition. The characteristics of the synthesized carbon nanofibers were analyzed through SEM, EDS, XRD, Raman, XPS, and TG/DTA, and the specific surface area was measured via BET. Consequently, the characteristics of the synthesized carbon nanofibers were greatly influenced by the concentration ratio of metal catalysts. In particular, uniform carbon nanofibers of 27 nm in diameter grew when the concentration ratio of Co and Cu was 6:4 at 700 .deg. C of calcination temperature; carbon nanofibers synthesized under such conditions showed the best crystallizability, compared to carbon nanofibers synthesized with metal catalysts under different concentration ratios, and revealed 1.26 high amorphicity as well as 292 m 2 g -1 high specific surface area

  16. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  17. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Tan, C.W., E-mail: tanchengwen@bit.edu.cn [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Yu, X.D. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); China Astronaut Research and Training Center, Beijing 100094 (China); Nie, Z.H. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China); Ma, H.L. [China Astronaut Research and Training Center, Beijing 100094 (China); Cai, H.N. [School of Materials Science and Engineering, Beijing Institute of Technology, Beijing 100081 (China)

    2016-12-15

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl{sub 6} as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10{sup 6} to 10{sup 7} (counts/cm{sup 2}) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  18. On the tungsten single crystal coatings achieved by chemical vapor transportation deposition

    International Nuclear Information System (INIS)

    Shi, J.Q.; Shen, Y.B.; Yao, S.Y.; Zhang, P.J.; Zhou, Q.; Guo, Y.Z.; Tan, C.W.; Yu, X.D.; Nie, Z.H.; Ma, H.L.; Cai, H.N.

    2016-01-01

    The tungsten single crystal has many excellent properties, namely a high melting point, high anti-creeping strength. Chemical vapor transportation deposition (CVTD) is a possible approach to achieve large-sized W single crystals for high-temperature application such as the cathode of a thermionic energy converter. In this work, CVTD W coatings were deposited on the monocrystalline molybdenum substrate (a tube with < 111 > axial crystalline orientation) using WCl 6 as a transport medium. The microstructures of the coatings were investigated by a scanning electron microscope (SEM) and electron backscatter diffraction (EBSD). The as-deposited coatings are hexagonal prisms—rough surfaces perpendicular to < 110 > with alternating hill-like bulges and pits at the side edges of the prisms, and flat surfaces perpendicular to < 112 > with arc-shaped terraces at the side faces. This can be explained by two-dimensional nucleation -mediated lateral growth model. Some parts of the coatings contain hillocks of an exotic morphology (noted as “abnormal growth”). The authors hypothesize that the abnormal growth is likely caused by the defects of the Mo substrate, which facilitate W nucleation sites, cause orientation difference, and may even form boundaries in the coatings. A dislocation density of 10 6 to 10 7 (counts/cm 2 ) was revealed by an etch-pit method and synchrotron X-ray diffraction. As the depositing temperature rises, the dislocation density decreases, and no sub-boundaries are found on samples deposited over 1300 °C, as a result of atom diffusion and dislocation climbing. - Highlights: •The varied growth rate causes the different morphologies of different planes. •The W coating is a single crystal when only single hillocks appear. •The (110) plane tends to have the lowest dislocation density. •The dislocation density tends to decrease as the temperature increases.

  19. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  20. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  1. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  2. Planar structured perovskite solar cells by hybrid physical chemical vapor deposition with optimized perovskite film thickness

    Science.gov (United States)

    Wei, Xiangyang; Peng, Yanke; Jing, Gaoshan; Cui, Tianhong

    2018-05-01

    The thickness of perovskite absorber layer is a critical parameter to determine a planar structured perovskite solar cell’s performance. By modifying the spin coating speed and PbI2/N,N-dimethylformamide (DMF) solution concentration, the thickness of perovskite absorber layer was optimized to obtain high-performance solar cells. Using a PbI2/DMF solution of 1.3 mol/L, maximum power conversion efficiency (PCE) of a perovskite solar cell is 15.5% with a perovskite film of 413 nm at 5000 rpm, and PCE of 14.3% was also obtained for a solar cell with a perovskite film of 182 nm thick. It is derived that higher concentration of PbI2/DMF will result in better perovskite solar cells. Additionally, these perovskite solar cells are highly uniform. In 14 sets of solar cells, standard deviations of 11 sets of solar cells were less than 0.50% and the smallest standard deviation was 0.25%, which demonstrates the reliability and effectiveness of hybrid physical chemical vapor deposition (HPCVD) method.

  3. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  4. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  6. Transforming a Simple Commercial Glue into Highly Robust Superhydrophobic Surfaces via Aerosol-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Zhuang, Aoyun; Liao, Ruijin; Lu, Yao; Dixon, Sebastian C; Jiamprasertboon, Arreerat; Chen, Faze; Sathasivam, Sanjayan; Parkin, Ivan P; Carmalt, Claire J

    2017-12-06

    Robust superhydrophobic surfaces were synthesized as composites of the widely commercially available adhesives epoxy resin (EP) and polydimethylsiloxane (PDMS). The EP layer provided a strongly adhered micro/nanoscale structure on the substrates, while the PDMS was used as a post-treatment to lower the surface energy. In this study, the depositions of EP films were taken at a range of temperatures, deposition times, and substrates via aerosol-assisted chemical vapor deposition (AACVD). A novel dynamic deposition temperature approach was developed to create multiple-layered periodic micro/nanostructures that significantly improved the surface mechanical durability. Water droplet contact angles (CA) of 160° were observed with droplet sliding angles (SA) frequently UV testing (365 nm, 3.7 mW/cm 2 , 120 h) were carried out to exhibit the environmental stability of the films. Self-cleaning behavior was demonstrated in clearing the surfaces of various contaminating powders and aqueous dyes. This facile and flexible method for fabricating highly durable superhydrophobic polymer films points to a promising future for AACVD in their scalable and low-cost production.

  7. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    Science.gov (United States)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  8. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  9. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  10. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  11. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  12. Electron beam physical vapor deposition of thin ruby films for remote temperature sensing

    International Nuclear Information System (INIS)

    Li Wei; Coppens, Zachary J.; Greg Walker, D.; Valentine, Jason G.

    2013-01-01

    Thermographic phosphors (TGPs) possessing temperature-dependent photoluminescence properties have a wide range of uses in thermometry due to their remote access and large temperature sensitivity range. However, in most cases, phosphors are synthesized in powder form, which prevents their use in high resolution micro and nanoscale thermal microscopy. In the present study, we investigate the use of electron beam physical vapor deposition to fabricate thin films of chromium-doped aluminum oxide (Cr-Al 2 O 3 , ruby) thermographic phosphors. Although as-deposited films were amorphous and exhibited weak photoluminescence, the films regained the stoichiometry and α-Al 2 O 3 crystal structure of the combustion synthesized source powder after thermal annealing. As a consequence, the annealed films exhibit both strong photoluminescence and a temperature-dependent lifetime that decreases from 2.9 ms at 298 K to 2.1 ms at 370 K. Ruby films were also deposited on multiple substrates. To ensure a continuous film with smooth surface morphology and strong photoluminescence, we use a sapphire substrate, which is thermal expansion coefficient and lattice matched to the film. These thin ruby films can potentially be used as remote temperature sensors for probing the local temperatures of micro and nanoscale structures.

  13. P-channel transparent thin-film transistor using physical-vapor-deposited NiO layer

    Science.gov (United States)

    Lin, Chiung-Wei; Chung, Wei-Chieh; Zhang, Zhao-De; Hsu, Ming-Chih

    2018-01-01

    The effect of oxygen (O) content on the electrical properties of physical-vapor-deposited nickel oxide (PVD-NiO) was studied. When the NiO target was sputtered, introducing O2 can lead to the formation of Ni3+ ions in the deposited film. These Ni3+ ions can act as acceptors. However, there were too many Ni3+ ions that were obtained following the introduction of O atoms. It resulted in intensive p-type conduction and made the O2-introduced PVD-NiO behave as a conductor. Thus, it was possible to reduce the O content of PVD-NiO to obtain a p-type semiconductor. In this study, a transparent PVD-NiO film with a carrier concentration of 1.62 × 1017 cm-3 and a resistivity of 3.74 Ω cm was sputter-deposited within pure argon plasma. The thin-film transistor (TFT) employing this proposed PVD-NiO can result in good current switching, and even operated at very low drain-source voltage. The ON/OFF current ratio, field-effect carrier mobility, and threshold voltage of the proposed NiO TFT were 3.61 × 104, 1.09 cm2 V-1 s-1 and -3.31 V, respectively.

  14. Single and Double Infrared Transitions in Rapid Vapor Deposited Parahydrogen Solids: Application to Sample Thickness Determination and Quantitative Infrared Absorption Spectroscopy

    National Research Council Canada - National Science Library

    Tam, Simon

    2001-01-01

    ...) solid from its infrared (IR) absorption spectrum. Millimeters-thick pH2 solids of exceptional optical clarity can be produced by the rapid vapor deposition method M.E. Fajardo and S. Tam, J. Chem. Phys. 108, 4237 (1998...

  15. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  16. Alternative Carrier Solvents for Pigments Extracted from Spalting Fungi

    Directory of Open Access Journals (Sweden)

    Lauren Pittis

    2018-05-01

    Full Text Available The use of both naturally occurring and synthetic pigmented wood has been prevalent in woodcraft for centuries. Modern manifestations generally involve either woodworkers’ aniline dyes, or pigments derived from a special class of fungi known as spalting fungi. While fungal pigments are more renewable than anilines and pose less of an environmental risk, the carrier required for these pigments—dichloromethane (DCM—is both problematic for humans and tends to only deposit the pigments on the surface of wood instead of evenly within the material. Internal coloration of wood is key to adoption of a pigmenting system by woodworkers. To address this issue, five solvents that had moderate solubility with the pigments extracted from Chlorociboria aeruginosa and Scytalidium cuboideum were identified, in the hopes that a reduction in solubility would result in a greater amount of the pigment deposited inside the wood. Of the tested solvents, acetonitrile was found to produce the highest internal color in ash, Douglas-fir, madrone, mountain hemlock, Port-Orford cedar, Pacific silver fir, red alder and sugar maple. While these carrier solvents are not ideal for extracting the pigments from the fungi, acetonitrile in particular does appear to allow for more pigment to be deposited within wood. The use of acetonitrile over DCM offers new opportunities for possible industrial spalting applications, in which larger pieces of wood could be uniformly pigmented and sold to the end user in larger quantities than are currently available with spalted wood.

  17. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  18. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  19. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    Science.gov (United States)

    Wada, Takao; Ueda, Noriaki

    2013-01-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature. PMID:23674843

  20. The effect of carrier gas flow rate and source cell temperature on low pressure organic vapor phase deposition simulation by direct simulation Monte Carlo method

    Science.gov (United States)

    Wada, Takao; Ueda, Noriaki

    2013-04-01

    The process of low pressure organic vapor phase deposition (LP-OVPD) controls the growth of amorphous organic thin films, where the source gases (Alq3 molecule, etc.) are introduced into a hot wall reactor via an injection barrel using an inert carrier gas (N2 molecule). It is possible to control well the following substrate properties such as dopant concentration, deposition rate, and thickness uniformity of the thin film. In this paper, we present LP-OVPD simulation results using direct simulation Monte Carlo-Neutrals (Particle-PLUS neutral module) which is commercial software adopting direct simulation Monte Carlo method. By estimating properly the evaporation rate with experimental vaporization enthalpies, the calculated deposition rates on the substrate agree well with the experimental results that depend on carrier gas flow rate and source cell temperature.

  1. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  2. Communication: Disorder-suppressed vibrational relaxation in vapor-deposited high-density amorphous ice

    Science.gov (United States)

    Shalit, Andrey; Perakis, Fivos; Hamm, Peter

    2014-04-01

    We apply two-dimensional infrared spectroscopy to differentiate between the two polyamorphous forms of glassy water, low-density (LDA) and high-density (HDA) amorphous ices, that were obtained by slow vapor deposition at 80 and 11 K, respectively. Both the vibrational lifetime and the bandwidth of the 1-2 transition of the isolated OD stretch vibration of HDO in H2O exhibit characteristic differences when comparing hexagonal (Ih), LDA, and HDA ices, which we attribute to the different local structures - in particular the presence of interstitial waters in HDA ice - that cause different delocalization lengths of intermolecular phonon degrees of freedom. Moreover, temperature dependent measurements show that the vibrational lifetime closely follows the structural transition between HDA and LDA phases.

  3. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  4. Large-scale Fabrication of 2D Materials by Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay

    . This thesis aims to address some of the challenges associated with materials fabrication in order to lay the groundwork for commercial implementation of 2D materials. To improve graphene implementation in electronic applications, copper catalyst foils were engineered to reduce surface roughness, wrinkles...... this vast range of materials - without the lattice mismatch constraints of conventional 3D materials - into atomically engineered, artificial 3D crystals that pave the way for new physics, and subsequently, for new applications. 2D materials are expected to disrupt a number of industries in the future......, such as electronics, displays, energy, and catalysis. The key bottleneck for commercial implementation is in large-scale synthesis and subsequent fabrication of high quality devices. Chemical vapor deposition is considered to be the most economically feasible synthesis method to this end. In the case of graphene...

  5. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  6. Comparative investigation of smooth polycrystalline diamond films on dental burs by chemical vapor deposition

    Science.gov (United States)

    Sein, Htet; Ahmed, Waqar; Rego, Christopher; Jackson, Mark; Polini, Riccardo

    2006-04-01

    Depositions of hot filament chemical vapor-deposited diamond on cobalt-cemented tungsten carbide (WC-Co) rotary cutting dental burs are presented. Conventional dental tools made of sintered polycrystalline diamond have a number of problems associated with the heterogeneity of the crystallite, decreased cutting efficiency, and short life. A preferential (111) faceted diamond was obtained after 15 h of deposition at a growth rate of 1.1 µm/h. Diamond-coated WC-Co dental burs and conventional sintered burs are mainly used in turning, milling, and drilling operations for machining metal ceramic hard alloys such as CoCr, composite teeth, and aluminum alloy in the dental laboratory. The influence of structure, the mechanical characteristics of both diamond grains and hard alloys on the wear behavior, as well as the regimen of grinding on diamond wear are considered. Erosion wear properties are also investigated under air-sand erosion testing. After machining with excessive cutting performance, calculations can be made on flank and crater wear areas. Diamond-coated WC-Co dental burs offered significantly better erosion and wear resistance compared with uncoated WC-Co tools and sintered burs.

  7. High-pressure catalytic chemical vapor deposition of ferromagnetic ruthenium-containing carbon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Khavrus, Vyacheslav O., E-mail: V.Khavrus@ifw-dresden.de; Ibrahim, E. M. M.; Bachmatiuk, Alicja; Ruemmeli, Mark H.; Wolter, A. U. B.; Hampel, Silke; Leonhardt, Albrecht [IFW Dresden (Germany)

    2012-06-15

    We report on the high-pressure catalytic chemical vapor deposition (CCVD) of ruthenium nanoparticles (NPs) and single-walled carbon nanotubes (SWCNTs) by means of gas-phase decomposition of acetonitrile and ruthenocene in a tubular quartz flow reactor at 950 Degree-Sign C and at elevated pressures (between 2 and 8 bar). The deposited material consists of Ru metal cores with sizes ranging between 1 and 3 nm surrounded by a carbon matrix. The high-pressure CCVD seems to be an effective route to obtain composite materials containing metallic NPs, Ru in this work, inside a nanostructured carbon matrix protecting them from oxidation in ambient air. We find that in contradiction to the weak paramagnetic properties characterizing bulk ruthenium, the synthesized samples are ferromagnetic as predicted for nanosized particles of nonmagnetic materials. At low pressure, the very small ruthenium catalyst particles are able to catalyze growth of SWCNTs. Their yield decreases with increasing reaction pressure. Transmission electron microscopy, selected area energy-dispersive X-ray analysis, Raman spectroscopy, and magnetic measurements were used to analyze and confirm properties of the synthesized NPs and nanotubes. A discussion on the growth mechanism of the Ru-containing nanostructures is presented.

  8. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  9. An Investigation on the Formation of Carbon Nanotubes by Two-Stage Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. S. Shamsudin

    2012-01-01

    Full Text Available High density of carbon nanotubes (CNTs has been synthesized from agricultural hydrocarbon: camphor oil using a one-hour synthesis time and a titanium dioxide sol gel catalyst. The pyrolysis temperature is studied in the range of 700–900°C at increments of 50°C. The synthesis process is done using a custom-made two-stage catalytic chemical vapor deposition apparatus. The CNT characteristics are investigated by field emission scanning electron microscopy and micro-Raman spectroscopy. The experimental results showed that structural properties of CNT are highly dependent on pyrolysis temperature changes.

  10. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  11. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  12. Hetero- and homogeneous three-dimensional hierarchical tungsten oxide nanostructures by hot-wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Houweling, Z.S., E-mail: Silvester.Houweling@asml.com [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Harks, P.-P.R.M.L.; Kuang, Y.; Werf, C.H.M. van der [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands); Geus, J.W. [Utrecht University, Inorganic Chemistry and Catalysis, Padualaan 8, 3584 CH Utrecht (Netherlands); Schropp, R.E.I. [Utrecht University, Debye Institute for Nanomaterials Science, Nanophotonics—Physics of Devices, Princetonlaan 4, 3584 CB Utrecht (Netherlands)

    2015-01-30

    We present the synthesis of three-dimensional tungsten oxide (WO{sub 3−x}) nanostructures, called nanocacti, using hot-wire chemical vapor deposition. The growth of the nanocacti is controlled through a succession of oxidation, reduction and re-oxidation processes. By using only a resistively heated W filament, a flow of ambient air and hydrogen at subatmospheric pressure, and a substrate heated to about 700 °C, branched nanostructures are deposited. We report three varieties of simple synthesis approaches to obtain hierarchical homo- and heterogeneous nanocacti. Furthermore, by using catalyst nanoparticles site-selection for the growth is demonstrated. The atomic, morphological and crystallographic compositions of the nanocacti are determined using a combination of electron microscopy techniques, energy-dispersive X-ray spectroscopy and electron diffraction. - Highlights: • Continuous upscalable hot-wire CVD of 3D hierarchical nanocacti • Controllable deposition of homo- and heterogeneous WO{sub 3−x}/WO{sub 3−y} nanocacti • Introduction of three synthesis routes comprising oxidation, reduction and re-oxidation processes • Growth of periodic arrays of hetero- and homogeneous hierarchical 3D nanocacti.

  13. Conformal coating of amorphous silicon and germanium by high pressure chemical vapor deposition for photovoltaic fabrics

    Science.gov (United States)

    Ji, Xiaoyu; Cheng, Hiu Yan; Grede, Alex J.; Molina, Alex; Talreja, Disha; Mohney, Suzanne E.; Giebink, Noel C.; Badding, John V.; Gopalan, Venkatraman

    2018-04-01

    Conformally coating textured, high surface area substrates with high quality semiconductors is challenging. Here, we show that a high pressure chemical vapor deposition process can be employed to conformally coat the individual fibers of several types of flexible fabrics (cotton, carbon, steel) with electronically or optoelectronically active materials. The high pressure (˜30 MPa) significantly increases the deposition rate at low temperatures. As a result, it becomes possible to deposit technologically important hydrogenated amorphous silicon (a-Si:H) from silane by a simple and very practical pyrolysis process without the use of plasma, photochemical, hot-wire, or other forms of activation. By confining gas phase reactions in microscale reactors, we show that the formation of undesired particles is inhibited within the microscale spaces between the individual wires in the fabric structures. Such a conformal coating approach enables the direct fabrication of hydrogenated amorphous silicon-based Schottky junction devices on a stainless steel fabric functioning as a solar fabric.

  14. In-situ epitaxial growth of heavily phosphorus doped SiGe by low pressure chemical vapor deposition

    CERN Document Server

    Lee, C J

    1998-01-01

    We have studied epitaxial crystal growth of Si sub 1 sub - sub x Ge sub x films on silicon substrates at 550 .deg. C by low pressure chemical vapor deposition. In a low PH sub 3 partial pressure region such as below 1.25x10 sup - sup 3 Pa, both the phosphorus and carrier concentrations increased with increasing PH sub 3 partial pressure, but the deposition rate and the Ge fraction remained constant. In a higher PH sub 3 partial pressure region, the deposition rate, the phosphorus concentration, and the carrier concentration decreased, while the Ge fraction increased. These suggest that high surface coverage of phosphorus suppresses both SiH sub 4 and GeH sub 4 adsorption/reactions on the surfaces, and its suppression effect on SiH sub 4 is actually much stronger than on GeH sub 4. In particular, epitaxial crystal growth is largely controlled by surface coverage effect of phosphorus in a higher PH sub 3 partial pressure region.

  15. Computer Simulation of Temperature Parameter for Diamond Formation by Using Hot-Filament Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Chang Weon Song

    2017-12-01

    Full Text Available To optimize the deposition parameters of diamond films, the temperature, pressure, and distance between the filament and the susceptor need to be considered. However, it is difficult to precisely measure and predict the filament and susceptor temperature in relation to the applied power in a hot filament chemical vapor deposition (HF-CVD system. In this study, the temperature distribution inside the system was numerically calculated for the applied powers of 12, 14, 16, and 18 kW. The applied power needed to achieve the appropriate temperature at a constant pressure and other conditions was deduced, and applied to actual experimental depositions. The numerical simulation was conducted using the commercial computational fluent dynamics software ANSYS-FLUENT. To account for radiative heat-transfer in the HF-CVD reactor, the discrete ordinate (DO model was used. The temperatures of the filament surface and the susceptor at different power levels were predicted to be 2512–2802 K and 1076–1198 K, respectively. Based on the numerical calculations, experiments were performed. The simulated temperatures for the filament surface were in good agreement with the experimental temperatures measured using a two-color pyrometer. The results showed that the highest deposition rate and the lowest deposition of non-diamond was obtained at a power of 16 kW.

  16. Laser-induced chemical vapor deposition reactions

    International Nuclear Information System (INIS)

    Teslenko, V.V.

    1990-01-01

    The results of investigation of chemical reactions of deposition of different substances from the gas phase when using the energy of pulse quasicontinuous and continuous radiation of lasers in the wave length interval from 0.193 to 10.6 μm are generalized. Main attetion is paid to deposition of inorganic substances including nonmetals (C, Si, Ge and others), metals (Cu, Au, Zn, Cd, Al, Cr, Mo, W, Ni) and some simple compounds. Experimental data on the effect of laser radiation parameters and reagent nature (hydrides, halogenides, carbonyls, alkyl organometallic compounds and others) on the deposition rate and deposit composition are described in detail. Specific features of laser-chemical reactions of deposition and prospects of their application are considered

  17. High temperature vapors science and technology

    CERN Document Server

    Hastie, John

    2012-01-01

    High Temperature Vapors: Science and Technology focuses on the relationship of the basic science of high-temperature vapors to some areas of discernible practical importance in modern science and technology. The major high-temperature problem areas selected for discussion include chemical vapor transport and deposition; the vapor phase aspects of corrosion, combustion, and energy systems; and extraterrestrial high-temperature species. This book is comprised of seven chapters and begins with an introduction to the nature of the high-temperature vapor state, the scope and literature of high-temp

  18. Thermal shock behavior of platinum aluminide bond coat/electron beam-physical vapor deposited thermal barrier coatings

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Zhenhua, E-mail: zhxuciac@163.com [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Dai, Jianwei [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Niu, Jing [Shenyang Liming Aero-engine (Group) Corporation Ltd., Institute of Metallurgical Technology, Technical Center, Shengyang 110043 (China); Li, Na; Huang, Guanghong; He, Limin [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China)

    2014-12-25

    Highlights: • TBCs of (Ni, Pt)Al bond coat with grit blasting process and YSZ ceramic coating. • Grain boundary ridges are the sites for spallation damage initiation in TBCs. • Ridges removed, cavities formation appeared and the damage initiation deteriorated. • Damage initiation and progression at interface lead to a buckling failure. - Abstract: Thermal barrier coating systems (TBCs) including of chemical vapor deposited (Ni, Pt)Al bond coat with grit blasting process and electron beam physical vapor deposited Y{sub 2}O{sub 3}-stabilized-ZrO{sub 2} (YSZ) ceramic coating were investigated. The phase structures, surface and cross-sectional morphologies, thermal shock behaviors and residual stresses of the coatings were studied in detail. Grain boundary ridges still remain on the surface of bond coat prior to the deposition of the ceramic coating, which are shown to be the major sites for spallation damage initiation in TBCs. When these ridges are mostly removed, they appear some of cavities formation and then the damage initiation mode is deteriorated. Damage initiation and progression occurs at the bond coat to thermally grown oxide (TGO) interface leading to a buckling failure behavior. A buckle failure once started may be arrested when it runs into a region of high bond coat to TGO interface toughness. Thus, complete failure requires further loss in toughness of the bond coat to TGO interface during cooling. The suppressed cavities formation, the removed ridges at the grain boundaries, the relative high TGO to bond coat interface toughness, the uniform growth behavior of TGO thickening and the lower of the residual stress are the primary factors for prolonging the lifetime of TBCs.

  19. Deep eutectic solvents for highly efficient separations in oil and gas industries

    NARCIS (Netherlands)

    Warrag, S.E.E.; Peters, C.J.; Kroon, M.C.

    2017-01-01

    Deep eutectic solvents (DESs) have captured a great scientific attention as a new, ‘green’ and sustainable class of tailor-made solvents. DESs share many properties with ionic liquids (ILs) including low vapor pressure, wide liquid range, thermal stability, low flammability, and high solvation

  20. Metallic Contact Formation for Molecular Electronics : Interactions between Vapor-Deposited Metals and Self-Assembled Monolayers of Conjugated Mono- and Dithiols

    NARCIS (Netherlands)

    Boer, Bert de; Frank, Martin M.; Chabal, Yves J.; Jiang, Weirong; Garfunkel, Eric; Bao, Zhenan

    2004-01-01

    We present grazing-incidence Fourier transform infrared and AFM data of Au, Al, and Ti vapor-deposited onto self-assembled monolayers (SAMs) of conjugated mono- and dithiols. SAMs of 4,4'''-dimercapto-p-quaterphenyl, 4,4''-dimercapto-p-terphenyl, and 4,4'-dimercapto-p-biphenyl have reactive thiols

  1. Vapor generation methods for explosives detection research

    Energy Technology Data Exchange (ETDEWEB)

    Grate, Jay W.; Ewing, Robert G.; Atkinson, David A.

    2012-12-01

    The generation of calibrated vapor samples of explosives compounds remains a challenge due to the low vapor pressures of the explosives, adsorption of explosives on container and tubing walls, and the requirement to manage (typically) multiple temperature zones as the vapor is generated, diluted, and delivered. Methods that have been described to generate vapors can be classified as continuous or pulsed flow vapor generators. Vapor sources for continuous flow generators are typically explosives compounds supported on a solid support, or compounds contained in a permeation or diffusion device. Sources are held at elevated isothermal temperatures. Similar sources can be used for pulsed vapor generators; however, pulsed systems may also use injection of solutions onto heated surfaces with generation of both solvent and explosives vapors, transient peaks from a gas chromatograph, or vapors generated by s programmed thermal desorption. This article reviews vapor generator approaches with emphasis on the method of generating the vapors and on practical aspects of vapor dilution and handling. In addition, a gas chromatographic system with two ovens that is configurable with up to four heating ropes is proposed that could serve as a single integrated platform for explosives vapor generation and device testing. Issues related to standards, calibration, and safety are also discussed.

  2. MgB2 ultrathin films fabricated by hybrid physical chemical vapor deposition and ion milling

    Directory of Open Access Journals (Sweden)

    Narendra Acharya

    2016-08-01

    Full Text Available In this letter, we report on the structural and transport measurements of ultrathin MgB2 films grown by hybrid physical-chemical vapor deposition followed by low incident angle Ar ion milling. The ultrathin films as thin as 1.8 nm, or 6 unit cells, exhibit excellent superconducting properties such as high critical temperature (Tc and high critical current density (Jc. The results show the great potential of these ultrathin films for superconducting devices and present a possibility to explore superconductivity in MgB2 at the 2D limit.

  3. Properties of a-C:H:O plasma polymer films deposited from acetone vapors

    Energy Technology Data Exchange (ETDEWEB)

    Drabik, M., E-mail: martin.drabik@gmail.com [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Celma, C. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Kousal, J.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holešovičkách 2, 180 00 Prague 8 (Czech Republic); Hegemann, D. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland)

    2014-12-31

    To gain insight into the deposition and stability of oxygen-containing plasma polymer films, the properties of amorphous oxygenated hydrocarbon (a-C:H:O) plasma polymer coatings deposited from acetone vapors under various experimental conditions are investigated. Apart from the discharge power, the influence of the reactive carbon dioxide (CO{sub 2}) gas on the structure of the resulting films is studied. It is found by characterization using X-ray Photoelectron Spectroscopy and Fourier-Transform Infrared Spectroscopy that the experimental conditions particularly influence the amount of oxygen in the deposited a-C:H:O plasma polymer films. The O/C elemental ratio increases with increasing amount of CO{sub 2} in the working gas mixture (up to 0.2 for 24 sccm of CO{sub 2} at 30 W) and decreases with increasing RF discharge power (down to 0.17 for 50 W). Furthermore, the nature of bonds between the oxygen and carbon atoms has been examined. Only low amounts of double and triple bonded carbon are observed. This has a particular influence on the aging of the plasma polymer films which is studied both in ambient air and in distilled water for up to 4 months. Overall, stable a-C:H:O plasma polymer films are deposited comprising low amounts (up to about 5%) of ester/carboxyl groups. - Highlights: • Hydrocarbon plasma polymer films with variable oxygen content can be prepared. • Stable oxygenated hydrocarbon plasma polymers contain max 5% of ester/carboxyl groups. • Acetone-derived plasma polymer films can be used as permanent hydrophilic surfaces.

  4. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  5. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  6. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.

  7. Gas diffusion ultrabarriers on polymer substrates using Al2O3 atomic layer deposition and SiN plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Carcia, P. F.; McLean, R. S.; Groner, M. D.; Dameron, A. A.; George, S. M.

    2009-01-01

    Thin films grown by Al 2 O 3 atomic layer deposition (ALD) and SiN plasma-enhanced chemical vapor deposition (PECVD) have been tested as gas diffusion barriers either individually or as bilayers on polymer substrates. Single films of Al 2 O 3 ALD with thicknesses of ≥10 nm had a water vapor transmission rate (WVTR) of ≤5x10 -5 g/m 2 day at 38 deg. C/85% relative humidity (RH), as measured by the Ca test. This WVTR value was limited by H 2 O permeability through the epoxy seal, as determined by the Ca test for the glass lid control. In comparison, SiN PECVD films with a thickness of 100 nm had a WVTR of ∼7x10 -3 g/m 2 day at 38 deg. C/85% RH. Significant improvements resulted when the SiN PECVD film was coated with an Al 2 O 3 ALD film. An Al 2 O 3 ALD film with a thickness of only 5 nm on a SiN PECVD film with a thickness of 100 nm reduced the WVTR from ∼7x10 -3 to ≤5x10 -5 g/m 2 day at 38 deg. C/85% RH. The reduction in the permeability for Al 2 O 3 ALD on the SiN PECVD films was attributed to either Al 2 O 3 ALD sealing defects in the SiN PECVD film or improved nucleation of Al 2 O 3 ALD on SiN.

  8. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  9. Analysis of a gas absorption system with soluble carrier gas and volatile solvent

    International Nuclear Information System (INIS)

    Kanak, B.E.

    1980-01-01

    The effects of column diameter, carrier gas coabsorption, and solvent vaporization on the performance of a packed gas absorption column are examined. The system investigated employs dichlorodifluoromethane as a solvent to remove krypton from a nitrogen stream and is characterized by substantial nitrogen coabsorption. Three columns with diameters of 2, 3, and 4 inches were constructed and packed with 34.5 inches of Goodloe packing. In addition to the more conventional data, the experimental evaluation of these columns included the use of a radioisotope and a gamma scanning technique which provided direct measurement of the columns' molar krypton profiles. A multicomponent gas absorption model was developed, based on the two-film mass transfer theory, that allows the fluxes of all species to interact. Verification of this model was achieved through comparison of the calculated results with experimental data. With the feed gas flow rate between 6 and 36 lb moles/hr-ft 2 and the solvent feed rate between 40 and 400 lb moles/hr-ft 2 , column diameter was found to have no significant impact on the mass transfer efficiency of this system when carried out in columns with diameters of 2 inches or greater. The absorption of krypton was found to be enhanced and inhibited, respectively, by carrier gas coabsorption and solvent vaporization. An injector system to add gaseous solvent to the feed gas stream prior to its introduction into the packed bed was proposed to eliminate the detrimental effects of solvent vaporization.Using this injector to supersaturate the feed gas stream with solvent enhanced absorber performance in the same manner as carrier gas coabsorption

  10. Characterization of Solids Deposited on the Modular Caustic-Side Solvent Extraction Unit (MCU) Strip Effluent (SE) Coalescer Media Removed in April 2015

    Energy Technology Data Exchange (ETDEWEB)

    Fondeur, F. F. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL)

    2016-06-13

    entrance discs of the coalescer. A solvent trim (a cocktail of solvent components with a high concentration of modifier) was added to the solvent on 2/22/2015. It is believed that the trim did not mix completely with the solvent and that it was subsequently spread around the MCU components including the coalescers, where it may have deposited. Chronologically, the modifier, the TiDG’s decomposition products and silicates deposited on the entrance discs first and after the pressure drop increased significantly, parts of the coalescer media detached itself from the central porous steel mandrel and a significant amount of steel debris, mercury, titanium, and additional aluminum and silicates deposited on the coalescer.

  11. Precursor-Less Coating of Nanoparticles in the Gas Phase

    Directory of Open Access Journals (Sweden)

    Tobias V. Pfeiffer

    2015-03-01

    Full Text Available This article introduces a continuous, gas-phase method for depositing thin metallic coatings onto (nanoparticles using a type of physical vapor deposition (PVD at ambient pressure and temperature. An aerosol of core particles is mixed with a metal vapor cloud formed by spark ablation by passing the aerosol through the spark zone using a hollow electrode configuration. The mixing process rapidly quenches the vapor, which condenses onto the core particles at a timescale of several tens of milliseconds in a manner that can be modeled as bimodal coagulation. Gold was deposited onto core nanoparticles consisting of silver or polystyrene latex, and silver was deposited onto gold nanoparticles. The coating morphology depends on the relative surface energies of the core and coating materials, similar to the growth mechanisms known for thin films: a coating made of a substance having a high surface energy typically results in a patchy coverage, while a coating material with a low surface energy will normally “wet” the surface of a core particle. The coated particles remain gas-borne, allowing further processing.

  12. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  13. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    Science.gov (United States)

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  14. Technique for controllable vapor-phase deposition of 1-nitro(14C)pyrene and other polycyclic aromatic hydrocarbons onto environmental particulate matter

    International Nuclear Information System (INIS)

    Lucas, S.V.; Lee, K.W.; Melton, C.W.; Lewtas, J.; Ball, L.M.

    1991-01-01

    To produce environmental particles fortified with a polycyclic aromatic hydrocarbon (PAH) for toxicology studies, an experimental apparatus was devised for deposition of the desired chemical species onto particles in a controlled and reproducible manner. The technique utilized consists of dispersion of the particles on a gaseous stream at a controlled rate, thermal vaporization of a solution of PAH, delivery of the vaporized PAH into the aerosol of particles at a controlled rate, subsequent condensation of the PAH onto the particles, and final recovery of the coated particles. The effectiveness of this approach was demonstrated by vapor-coating a 14 C-labeled PAH (1-nitro( 14 C)-pyrene) onto diesel engine exhaust particles that had previously been collected by tunnel dilution sampling techniques. Using the 14 C label as a tracer, the coated particles were characterized with respect to degree of coating, integrity of particle structure and absence of chemical decomposition of the coating substrate. The study demonstrates that the described method provides a controllable means for depositing a substance uniformly and with a high coating efficiency onto aerosolized particles. The technique was also used to vapor-coat benzo(a)pyrene onto diesel engine exhaust and urban ambient air particulate matter, and 2-nitrofluoranthene onto urban ambient air particulate matter. Coating efficiencies of about 400 micrograms/g particulate matter were routinely obtained on a single coating run, and up to 1200 micrograms/g (1200 ppm) were achieved after a second pass through the process. The coated particles were subsequently utilized in biological fate, distribution and metabolism studies

  15. Graphene synthesis by laser-assisted chemical vapor deposition on Ni plate and the effect of process parameters on uniform graphene growth

    International Nuclear Information System (INIS)

    Jiang, Juan; Lin, Zhe; Ye, Xiaohui; Zhong, Minlin; Huang, Ting; Zhu, Hongwei

    2014-01-01

    A fast, simple technique was developed to fabricate few-layer graphene films at ambient pressure and room temperature by laser-assisted chemical vapor deposition on polycrystalline Ni plates. Laser scanning speed was found as the most important factor in the production of few-layer graphene. The quality of graphene films was controlled by varying the laser power. Uniform graphene ribbons with a width of 1.5 mm and a length of 16 mm were obtained at a scanning speed of 1.3 mm/s and a laser power of 600 W. The developed technique provided a promising application of a high-power laser system to fabricate a graphene film. - Highlights: • Uniform few-layer graphene was fabricated at room temperature and ambient conditions. • Laser-assisted chemical vapor deposition was used to grow the layers in a few seconds. • The effect of process parameters on graphene growth was discussed. • This cost effective method could facilitate the integration of graphene in electronic devices

  16. Nozzle-less Ultrasonic Spray Deposition for Flexible Ammonia and Ozone Gas Sensors

    Directory of Open Access Journals (Sweden)

    Mónica ACUAUTLA

    2016-06-01

    Full Text Available In the last years printing and flexible electronic is transforming the way we used electronic devices. Among these, special interest is given to the development of gas sensors for industrial and environmental applications. Nozzle-less ultrasonic spray deposition is a simple and precise technique, which offers good homogeneity and high quality of the sensitive thin film. In addition, it represents a potential fabrication process for flexible electronic with low cost production and low waste of material. In this paper, nanoparticles of zinc oxide were deposited by nozzle-less ultrasonic spray deposition on flexible substrate. The sensing properties towards reducing and oxidizing gases in function of the operational temperature are reported. The flexible platform consists in titanium/platinum interdigitated electrodes and a micro-heater device, both fabricated by lift-off and photolithography. The operating temperature of the sensor is also challenging in term of power consumption. It is allowing the reaction with the exposure gases. Most of the semiconducting metal oxide materials used for gas sensing applications require high temperatures above 250 °C. Flexible gas sensors fabricated in this work present good responses towards ammonia and ozone at 300 °C and 200 °C respectively, with fast response and recovery time in a wide range of gas concentration.

  17. A combustion chemistry analysis of carbonate solvents used in Li-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Harris, Stephen J.; Timmons, Adam [General Motors R and D Center, MC 480-102-000, Warren, MI 48090-9055 (United States); Pitz, William J. [Lawrence Livermore National Laboratory, Livermore, CA 94551 (United States)

    2009-09-05

    Under abusive conditions Li-ion cells can rupture, ejecting electrolyte and other flammable gases. In this paper we consider some of the thermochemical and combustion properties of these gases that determine whether they ignite and how energetically they burn. We find a significant variation among the carbonate solvents in the factors that are important to determining flammability, such as combustion enthalpy and vaporization enthalpy. We also show that flames of carbonate solvents are fundamentally less energetic than those of conventional hydrocarbons. An example of this contrast is given using a recently developed mechanism for dimethyl carbonate (DMC) combustion, where we show that a diffusion flame burning DMC has only half the peak heat release rate of an analogous propane flame. Interestingly, peak temperatures differ by only 25%. We argue that heat release rate is a more useful parameter than temperature when evaluating the likelihood that a flame in one cell will ignite a neighboring cell. Our results suggest that thermochemical and combustion property factors might well be considered when choosing solvent mixtures when flammability is a concern. (author)

  18. Tungsten chemical vapor deposition characteristics using SiH4 in a single wafer system

    International Nuclear Information System (INIS)

    Rosler, R.S.; Mendonca, J.; Rice, M.J. Jr.

    1988-01-01

    Several workers have recently begun using silane as a high-rate, low-temperature alternative to hydrogen for the reduction of WF 6 in the chemical vapor deposition of W. The deposition and film characteristics of both selective and blanket W using this new chemistry are explored in a radiantly heated single wafer system using closed-loop temperature control with a thermocouple in direct contact with the backside of the wafer. Selective W deposition rates of up to 1.5 μm/min were measured over the temperature range 250--550 0 C with blanket W rates typically 2--5 x lower. Resistivity is in the 10--15 μΩcm range at 300 0 C for SiH 4 /WF 6 ratios of 0.2 to 1.0, while above 400 0 C the range is 7.5--8.5 μΩcm. Si content in the W films is quite low at 10 16 to 10 17 atoms/cm 3 . Adhesion to silicon is excellent at temperatures of 350 0 C and above. Selective W using SiH 4 reduction for doped silicon contact fill shows none of the consumption or encroachment problems common to H 2 reduction, although selectivity is more sensitive. Contact resistance for p + and n + silicon contacts are comparable to aluminum controls and to previously published data. Blanket deposition into narrow geometries gives ≥0% step coverage and without keyholes in the 250--450 0 C deposition temperature range. For low-SiH 4 flows, deposition at 500 0 C causes small keyholes, while at 550 0 C even larger keyholes result. At higher SiH 4 flows, keyholes are typically not seen from 250 to 550 0 C

  19. Collection methodology evaluation and solvents analysis/mixtures solvents in the air in work ambient: methanol in MEG mixture (methanol 33%, ethanol 60% and gasoline 7%); Avaliacao de metodologia de coleta e analise de solventes/misturas de solventes no ar em ambiente de trabalho: metanol em mistura MEG (metanol 33%, etanol 60% e gasolina 7%)

    Energy Technology Data Exchange (ETDEWEB)

    Cardoso, Luiza Maria Nunes

    1995-07-01

    This thesis presents a proposal for evaluation of collection and solvent/solvent mixtures analysis methodology for the air in the work environment by studying the following issues of present solvents: historical aspects; methanol - properties and toxicity; collection methodology evaluation, and gases and vapors analysis in the air; experimental data. The denominated mixture MEG - methanol, ethanol and gasoline is analyzed in terms of its chemical characteristics. The author concludes the work detaching that the methodology presented can only be used for short duration measurements in concentrations peaks studies.

  20. Laser vaporization/ionization interface for coupling microscale separation techniques with mass spectrometry

    Science.gov (United States)

    Yeung, E.S.; Chang, Y.C.

    1999-06-29

    The present invention provides a laser-induced vaporization and ionization interface for directly coupling microscale separation processes to a mass spectrometer. Vaporization and ionization of the separated analytes are facilitated by the addition of a light-absorbing component to the separation buffer or solvent. 8 figs.

  1. Bulk heterojunction organic photovoltaic cell fabricated by the electrospray deposition method using mixed organic solvent

    Energy Technology Data Exchange (ETDEWEB)

    Fukuda, Takeshi; Takagi, Kenji; Asano, Takashi [Department of Functional Materials Science, Graduate School of Science and Engineering, Saitama University, 255 Shimo-Okubo, Sakura-ku, Saitama 338-8570 (Japan); RIKEN, 2-1 Hirosawa, Wakou-shi, Saitama 351-0198 (Japan); Honda, Zentaro; Kamata, Norihiko; Ueno, Keiji; Shirai, Hajime [Department of Functional Materials Science, Graduate School of Science and Engineering, Saitama University, 255 Shimo-Okubo, Sakura-ku, Saitama 338-8570 (Japan); Ju, Jungmyoung; Yamagata, Yutaka; Tajima, Yusuke [RIKEN, 2-1 Hirosawa, Wakou-shi, Saitama 351-0198 (Japan)

    2011-07-15

    A high-efficiency bulk heterojunction organic photovoltaic cell (OPV) was achieved by the electrospray deposition method. The surface roughness of the P3HT:PCBM thin film can be reduced using the mixed solvent consisting of o-dichlorobenzene (o-DCB) and acetone. The effect of acetone concentration is related to its dielectric constant. Under an optimized concentration of acetone in o-DCB (20 vol%), the P3HT/PCBM active layer with a smooth surface can be formed, and the power conversion efficiency of the OPV was 1.9%. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  3. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  4. Piezoelectric trace vapor calibrator

    International Nuclear Information System (INIS)

    Verkouteren, R. Michael; Gillen, Greg; Taylor, David W.

    2006-01-01

    The design and performance of a vapor generator for calibration and testing of trace chemical sensors are described. The device utilizes piezoelectric ink-jet nozzles to dispense and vaporize precisely known amounts of analyte solutions as monodisperse droplets onto a hot ceramic surface, where the generated vapors are mixed with air before exiting the device. Injected droplets are monitored by microscope with strobed illumination, and the reproducibility of droplet volumes is optimized by adjustment of piezoelectric wave form parameters. Complete vaporization of the droplets occurs only across a 10 deg. C window within the transition boiling regime of the solvent, and the minimum and maximum rates of trace analyte that may be injected and evaporated are determined by thermodynamic principles and empirical observations of droplet formation and stability. By varying solution concentrations, droplet injection rates, air flow, and the number of active nozzles, the system is designed to deliver--on demand--continuous vapor concentrations across more than six orders of magnitude (nominally 290 fg/l to 1.05 μg/l). Vapor pulses containing femtogram to microgram quantities of analyte may also be generated. Calibrated ranges of three explosive vapors at ng/l levels were generated by the device and directly measured by ion mobility spectrometry (IMS). These data demonstrate expected linear trends within the limited working range of the IMS detector and also exhibit subtle nonlinear behavior from the IMS measurement process

  5. Obtaining Thickness-Limited Electrospray Deposition for 3D Coating.

    Science.gov (United States)

    Lei, Lin; Kovacevich, Dylan A; Nitzsche, Michael P; Ryu, Jihyun; Al-Marzoki, Kutaiba; Rodriguez, Gabriela; Klein, Lisa C; Jitianu, Andrei; Singer, Jonathan P

    2018-04-04

    Electrospray processing utilizes the balance of electrostatic forces and surface tension within a charged spray to produce charged microdroplets with a narrow dispersion in size. In electrospray deposition, each droplet carries a small quantity of suspended material to a target substrate. Past electrospray deposition results fall into two major categories: (1) continuous spray of films onto conducting substrates and (2) spray of isolated droplets onto insulating substrates. A crossover regime, or a self-limited spray, has only been limitedly observed in the spray of insulating materials onto conductive substrates. In such sprays, a limiting thickness emerges, where the accumulation of charge repels further spray. In this study, we examined the parametric spray of several glassy polymers to both categorize past electrospray deposition results and uncover the critical parameters for thickness-limited sprays. The key parameters for determining the limiting thickness were (1) field strength and (2) spray temperature, related to (i) the necessary repulsive field and (ii) the ability for the deposited materials to swell in the carrier solvent vapor and redistribute charge. These control mechanisms can be applied to the uniform or controllably-varied microscale coating of complex three-dimensional objects.

  6. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  7. Spiral growth of few-layer MoS{sub 2} by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dong, X.; Yan, C.; Tomer, D.; Li, L., E-mail: lianli@uwm.edu [Department of Physics, University of Wisconsin, Milwaukee, Wisconsin 53211 (United States); Li, C. H. [Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-08-01

    Growth spirals exhibit appealing properties due to a preferred layer stacking and lack of inversion symmetry. Here, we report spiral growth of MoS{sub 2} during chemical vapor deposition on SiO{sub 2}/Si and epitaxial graphene/SiC substrates, and their physical and electronic properties. We determine the layer-dependence of the MoS{sub 2} bandgap, ranging from 2.4 eV for the monolayer to a constant of 1.3 eV beyond the fifth layer. We further observe that spirals predominantly initiate at the step edges of the SiC substrate, based on which we propose a growth mechanism driven by screw dislocation created by the coalescence of two growth fronts at steps.

  8. Mechanical properties of chemical vapor deposited coatings for fusion reactor application

    International Nuclear Information System (INIS)

    Mullendore, A.W.; Whitley, J.B.; Pierson, H.O.; Mattox, D.M.

    1980-01-01

    Chemical vapor deposited coatings of TiB 2 , TiC and boron on graphite substrates are being developed for application as limiter materials in magnetic confinement fusion reactors. In this application severe thermal shock conditions exist and to do effective thermo-mechanical modelling of the material response it is necessary to acquire elastic moduli, fracture strength and strain to fracture data for the coatings. Four point flexure tests have been conducted from room temperature to 2000 0 C on TiB 2 and boron coated graphite with coatings in tension and compression and the mechanical properties extracted from the load-deflection data. In addition, stress relaxation tests from 500 to 1150 0 C were performed on TiB 2 and TiC coated graphite beams to assess the low levels of plastic deformation which occur in these coatings. Significant differences have been observed between the effective mechanical properties of the coatings and literature values of the bulk properties

  9. Fabrication and growth mechanism of carbon nanospheres by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; He, C.N.

    2010-01-01

    The synthesis of carbon nanospheres (CNSs) by chemical vapor deposition (CVD) of methane on catalyst of Ni-Al composite powders was reported. The influence factors on the growth morphology of CNSs, such as reaction temperature, reaction time and different carrier gases concerning hydrogen, nitrogen as well as no carrier gas were investigated using transmission electron microscope. The results showed that the reaction temperature had great effect on the structure of CNSs, higher temperature led to high-crystallized CNSs with high purity. The reaction time brought no significant influence to the structure of CNSs, but the average diameter of the CNSs was obviously increased with prolonging the reaction time. Relatively pure CNSs could be obtained with hydrogen as the carrier gas but with poor product rate compared with the CNSs with no carrier gas. Proper amount of CNSs with pure characteristic could be obtained with nitrogen as the carrier gas. Finally, a growth mechanism of dissolution-precipitation-diffusion is proposed for elucidating the growth process of general CNSs.

  10. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  11. Operation condition for continuous anti-solvent crystallization of CBZ-SAC cocrystal considering deposition risk of undesired crystals

    Science.gov (United States)

    Nishimaru, Momoko; Nakasa, Miku; Kudo, Shoji; Takiyama, Hiroshi

    2017-07-01

    Crystallization operation of cocrystal production has deposition risk of undesired crystals. Simultaneously, continuous manufacturing processes are focused on. In this study, conditions for continuous cocrystallization considering risk reduction of undesired crystals deposition were investigated on the view point of thermodynamics and kinetics. The anti-solvent cocrystallization was carried out in four-component system of carbamazepine, saccharin, methanol and water. From the preliminary batch experiment, the relationships among undesired crystal deposition, solution composition decided by mixing ratio of solutions, and residence time for the crystals were considered, and then the conditions of continuous experiment were decided. Under these conditions, the continuous experiment was carried out. The XRD patterns of obtained crystals in the continuous experiment showed that desired cocrystals were obtained without undesired crystals. This experimental result was evaluated by using multi-component phase diagrams from the view point of the operation point's movement. From the evaluation, it was found that there is a certain operation condition which the operation point is fixed with time in the specific domain without the deposition risk of undesired single component crystals. It means the possibility of continuous production of cocrystals without deposition risk of undesired crystals was confirmed by using multi-component phase diagrams.

  12. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  13. Highly ionized physical vapor deposition plasma source working at very low pressure

    Science.gov (United States)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Cada, M.; Hubicka, Z.; Tichy, M.; Hippler, R.

    2012-04-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti+ and Ti++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density ne ˜ 1018 m-3, measured during the HiPIMS pulse.

  14. Highly ionized physical vapor deposition plasma source working at very low pressure

    International Nuclear Information System (INIS)

    Stranak, V.; Herrendorf, A.-P.; Drache, S.; Hippler, R.; Cada, M.; Hubicka, Z.; Tichy, M.

    2012-01-01

    Highly ionized discharge for physical vapor deposition at very low pressure is presented in the paper. The discharge is generated by electron cyclotron wave resonance (ECWR) which assists with ignition of high power impulse magnetron sputtering (HiPIMS) discharge. The magnetron gun (with Ti target) was built into the single-turn coil RF electrode of the ECWR facility. ECWR assistance provides pre-ionization effect which allows significant reduction of pressure during HiPIMS operation down to p = 0.05 Pa; this is nearly more than an order of magnitude lower than at typical pressure ranges of HiPIMS discharges. We can confirm that nearly all sputtered particles are ionized (only Ti + and Ti ++ peaks are observed in the mass scan spectra). This corresponds well with high plasma density n e ∼ 10 18 m -3 , measured during the HiPIMS pulse.

  15. Biocompatibility of Titania Nanotube Coatings Enriched with Silver Nanograins by Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Piotr Piszczek

    2017-09-01

    Full Text Available Bioactivity investigations of titania nanotube (TNT coatings enriched with silver nanograins (TNT/Ag have been carried out. TNT/Ag nanocomposite materials were produced by combining the electrochemical anodization and chemical vapor deposition methods. Fabricated coatings were characterized by scanning electron microscopy (SEM, X-ray photoelectron spectroscopy (XPS, and Raman spectroscopy. The release effect of silver ions from TNT/Ag composites immersed in bodily fluids, has been studied using inductively coupled plasma mass spectrometry (ICP-MS. The metabolic activity assay (MTT was applied to determine the L929 murine fibroblasts adhesion and proliferation on the surface of TNT/Ag coatings. Moreover, the results of immunoassays (using peripheral blood mononuclear cells—PBMCs isolated from rats allowed the estimation of the immunological activity of TNT/Ag surface materials. Antibacterial activity of TNT/Ag coatings with different morphological and structural features was estimated against two Staphylococcus aureus strains (ATCC 29213 and H9. The TNT/Ag nanocomposite layers produced revealed a good biocompatibility promoting the fibroblast adhesion and proliferation. A desirable anti-biofilm activity against the S. aureus reference strain was mainly noticed for these TiO2 nanotube coatings, which contain dispersed Ag nanograins deposited on their surface.

  16. Low-Temperature Cu-Cu Bonding Using Silver Nanoparticles Fabricated by Physical Vapor Deposition

    Science.gov (United States)

    Wu, Zijian; Cai, Jian; Wang, Junqiang; Geng, Zhiting; Wang, Qian

    2018-02-01

    Silver nanoparticles (Ag NPs) fabricated by physical vapor deposition (PVD) were introduced in Cu-Cu bonding as surface modification layer. The bonding structure consisted of a Ti adhesive/barrier layer and a Cu substrate layer was fabricated on the silicon wafer. Ag NPs were deposited on the Cu surface by magnetron sputtering in a high-pressure environment and a loose structure with NPs was obtained. Shear tests were performed after bonding, and the influences of PVD pressure, bonding pressure, bonding temperature and annealing time on shear strength were assessed. Cu-Cu bonding with Ag NPs was accomplished at 200°C for 3 min under the pressure of 30 MPa without a post-annealing process, and the average bonding strength of 13.99 MPa was reached. According to cross-sectional observations, a void-free bonding interface with an Ag film thickness of around 20 nm was achieved. These results demonstrated that a reliable low-temperature short-time Cu-Cu bonding was realized by the sintering process of Ag NPs between the bonding pairs, which indicated that this bonding method could be a potential candidate for future ultra-fine pitch 3D integration.

  17. Preparation of ultrafine grained copper nanoparticles via immersion deposit method

    Science.gov (United States)

    Abbasi-Kesbi, Fatemeh; Rashidi, Ali Mohammad; Astinchap, Bandar

    2018-03-01

    Today, the exploration about synthesis of nanoparticles is much of interest to materials scientists. In this work, copper nanoparticles have been successfully synthesized by immersion deposit method in the absence of any stabilizing and reducing agents. Copper (II) sulfate pentahydrate as precursor salt and distilled water and Ethylene glycol as solvents were used. The copper nanoparticles were deposited on plates of low carbon steel. The effects of copper sulfate concentrations and solvent type were investigated. X-ray diffraction, scanning electron microscopy and UV-Visible spectroscopy were taken to investigate the crystallite size, crystal structure, and morphology and size distribution and the growth process of the nanoparticles of obtained Cu particles. The results indicated that the immersion deposit method is a particularly suitable method for synthesis of semispherical copper nanoparticles with the crystallites size in the range of 22 to 37 nm. By increasing the molar concentration of copper sulfate in distilled water solvent from 0.04 to 0.2 M, the average particles size is increased from 57 to 81 nm. The better size distribution of Cu nanoparticles was achieved using a lower concentration of copper sulfate. By increasing the molar concentration of copper sulfate in water solvent from 0.04 to 0.2, the location of the SPR peak has shifted from 600 to 630 nm. The finer Cu nanoparticles were formed using ethylene glycol instead water as a solvent. Also, the agglomeration and overlapping of nanoparticles in ethylene glycol were less than that of water solvent.

  18. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  19. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  20. Printing of small molecular medicines from the vapor phase.

    Science.gov (United States)

    Shalev, Olga; Raghavan, Shreya; Mazzara, J Maxwell; Senabulya, Nancy; Sinko, Patrick D; Fleck, Elyse; Rockwell, Christopher; Simopoulos, Nicholas; Jones, Christina M; Schwendeman, Anna; Mehta, Geeta; Clarke, Roy; Amidon, Gregory E; Shtein, Max

    2017-09-27

    There is growing need to develop efficient methods for early-stage drug discovery, continuous manufacturing of drug delivery vehicles, and ultra-precise dosing of high potency drugs. Here we demonstrate the use of solvent-free organic vapor jet printing to deposit nanostructured films of small molecular pharmaceutical ingredients, including caffeine, paracetamol, ibuprofen, tamoxifen, BAY 11-7082 and fluorescein, with accuracy on the scale of micrograms per square centimeter, onto glass, Tegaderm, Listerine tabs, and stainless steel microneedles. The printed films exhibit similar crystallographic order and chemistry as the original powders; controlled, order-of-magnitude enhancements of dissolution rate are observed relative to powder-form particles. In vitro treatment of breast and ovarian cancer cell cultures in aqueous media by tamoxifen and BAY 11-7082 films shows similar behavior to drugs pre-dissolved in dimethyl sulfoxide. The demonstrated precise printing of medicines as films, without the use of solvents, can accelerate drug screening and enable continuous manufacturing, while enhancing dosage accuracy.Traditional approaches used in the pharmaceutical industry are not precise or versatile enough for customized medicine formulation and manufacture. Here the authors produce a method to form coatings, with accurate dosages, as well as a means of closely controlling dissolution kinetics.