WorldWideScience

Sample records for socs wright-patterson afb

  1. (Environmental investigation of ground water contamination at Wright-Patterson Air Force Base, Ohio)

    Energy Technology Data Exchange (ETDEWEB)

    1991-10-01

    This report presents information concerning field procedures employed during the monitoring, well construction, well purging, sampling, and well logging at the Wright-Patterson Air Force Base. Activities were conducted in an effort to evaluate ground water contamination.

  2. Hydrogeology, simulated ground-water flow, and ground-water quality, Wright-Patterson Air Force Base, Ohio

    Science.gov (United States)

    Dumouchelle, D.H.; Schalk, C.W.; Rowe, G.L.; De Roche, J.T.

    1993-01-01

    Ground water is the primary source of water in the Wright-Patterson Air Force Base area. The aquifer consists of glacial sands and gravels that fill a buried bedrock-valley system. Consolidated rocks in the area consist of poorly permeable Ordovician shale of the Richmondian stage, in the upland areas, the Brassfield Limestone of Silurian age. The valleys are filled with glacial sediments of Wisconsinan age consisting of clay-rich tills and coarse-grained outwash deposits. Estimates of hydraulic conductivity of the shales based on results of displacement/recovery tests range from 0.0016 to 12 feet per day; estimates for the glacial sediments range from less than 1 foot per day to more than 1,000 feet per day. Ground water flow from the uplands towards the valleys and the major rivers in the region, the Great Miami and the Mad Rivers. Hydraulic-head data indicate that ground water flows between the bedrock and unconsolidated deposits. Data from a gain/loss study of the Mad River System and hydrographs from nearby wells reveal that the reach of the river next to Wright-Patterson Air Force Base is a ground-water discharge area. A steady-state, three-dimensional ground-water-flow model was developed to simulate ground-water flow in the region. The model contains three layers and encompasses about 100 square miles centered on Wright-Patterson Air Force Base. Ground water enters the modeled area primarily by river leakage and underflow at the model boundary. Ground water exits the modeled area primarily by flow through the valleys at the model boundaries and through production wells. A model sensitivity analysis involving systematic changes in values of hydrologic parameters in the model indicates that the model is most sensitive to decreases in riverbed conductance and vertical conductance between the upper two layers. The analysis also indicates that the contribution of water to the buried-valley aquifer from the bedrock that forms the valley walls is about 2 to 4

  3. (Environmental investigation of ground water contamination at Wright- Patterson Air Force Base, Ohio)

    Energy Technology Data Exchange (ETDEWEB)

    1991-10-01

    This Health and Safety Plan (HSP) was developed for the Environmental Investigation of Ground-water Contamination Investigation at Wright-Patterson Air Force Base near Dayton, Ohio, based on the projected scope of work for the Phase 1, Task 4 Field Investigation. The HSP describes hazards that may be encountered during the investigation, assesses the hazards, and indicates what type of personal protective equipment is to be used for each task performed. The HSP also addresses the medical monitoring program, decontamination procedures, air monitoring, training, site control, accident prevention, and emergency response.

  4. Quantitative Methods for Determining U.S. Air Force Crew Cushion Comfort

    Science.gov (United States)

    2006-09-01

    Directorate Biosciences and Protection Division Biomechanics Branch Wright Patterson AFB OH 45433-7947 Form Approved REPORT DOCUMENTATION PAGE OMB No...Division Biomechanics Branch Wright-Patterson AFB OH 45433-7947 9. SPONSORING I MONITORING AGENCY NAME(S) AND ADDRESS(ES) 10. SPONSOR/MONITOR’S...workstations were constructed utilizing ejection seat long-term flight. mockups and foot pedal assemblies modified to simulate the ACES II seat in the F-16

  5. Environmental Assessment for the National Museum of the United States Air Force Addition, Wright-Patterson Air Force Base, Ohio

    Science.gov (United States)

    2013-02-01

    AUTHOR(S) 5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7. PERFORMING ORGANIZATION NAME(S) AND ADDRESS(ES) United States Air Force 88th...Air Base Wing Wright-Patterson Air Force Base, Ohio 8. PERFORMING ORGANIZATION REPORT NUMBER 9. SPONSORING/MONITORING AGENCY NAME(S) AND ADDRESS(ES...visitors nationwide and from foreign countries. Softball and soccer fields are located adjacent to the Museum grounds and are operated by the 88 Air

  6. Technical and economic assessment for asbestos abatement within Facility 20470, Wright-Patterson Air Force Base, Ohio

    International Nuclear Information System (INIS)

    Gibson, S.M.; Ogle, R.B.

    1988-03-01

    This report presents the results of a technical and economic assessment of available alternatives for asbestos abatement within Facility 20470 at the Wright-Patterson Air Force Base in Dayton, Ohio. Each alternative was screened on the basis of technical feasibility, environmental impact, economics, and fulfillment of the IRP goals. Four alternatives for study are: establishing a special operations and maintenance program; enclosure; encapsulation with sealants; and removal, disposal, and replacement. Each of these alternatives was assessed for capability to control the release of asbestos fibers within Facility 20470. Alternatives 1 and 4 were determined to be acceptable, while Alternatives 2 and 3 were found to be unacceptable. 2 refs., 6 figs

  7. Department of Defense Expenditure Plans

    Science.gov (United States)

    2009-03-20

    317 Aberdeen Proving Ground MD Replace Windows and Renovate Lounge Area, 4508 700 B 318 Aberdeen Proving Ground MD Replace Three Boilers, 525 500 E ...Rt 235 630 O 1137 Wright Patterson AFB OH Replace Perimeter Fence - Gate 26c Along E Bank of Mad River 644 O 1138 Wright Patterson AFB OH Replacec...Energy E Roofs R Utilities U Pavement/roads/grounds P Barracks B Quality of Life Q Operations O Medical M Family Housing F American Recovery and

  8. [Environmental investigation of ground water contamination at Wright-Patterson Air Force Base, Ohio

    International Nuclear Information System (INIS)

    1992-04-01

    This Removal Action System Design has been prepared as a Phase I Volume for the implementation of the Phase II removal action at Wright-Patterson Air Force Base (WPAFB) near Dayton, Ohio. The objective of the removal action is to prevent, to the extent practicable, the migration of ground water contaminated with chlorinated volatile organic compounds (VOCS) across the southwest boundary of Area C. The Phase 1, Volume 9 Removal Action System Design compiles the design documents prepared for the Phase II Removal Action. These documents, which are presented in Appendices to Volume 9, include: Process Design, which presents the 30 percent design for the ground water treatment system (GWTS); Design Packages 1 and 2 for Earthwork and Road Construction, and the Discharge Pipeline, respectively; no drawings are included in the appendix; Design Package 3 for installation of the Ground Water Extraction Well(s); Design Package 4 for installation of the Monitoring Well Instrumentation; and Design Package 5 for installation of the Ground Water Treatment System; this Design Package is incorporated by reference because of its size

  9. (Environmental investigation of ground water contamination at Wright-Patterson Air Force Base, Ohio)

    Energy Technology Data Exchange (ETDEWEB)

    1992-03-01

    An environmental investigation of ground water conditions has been undertaken at Wright-Patterson Air Force Base (WPAFB), Ohio to obtain data to assist in the evaluation of a potential removal action to prevent, to the extent practicable, migration of the contaminated ground water across Base boundaries. Field investigations were limited to the central section of the southwestern boundary of Area C and the Springfield Pike boundary of Area B. Further, the study was limited to a maximum depth of 150 feet below grade. Three primary activities of the field investigation were: (1) installation of 22 monitoring wells, (2) collection and analysis of ground water from 71 locations, (3) measurement of ground water elevations at 69 locations. Volatile organic compounds including trichloroethylene, perchloroethylene, and/or vinyl chloride were detected in concentrations exceeding Maximum Contaminant Levels (MCL) at three locations within the Area C investigation area. Ground water at the Springfield Pike boundary of Area B occurs in two primary units, separated by a thicker-than-expected clay layers. One well within Area B was determined to exceed the MCL for trichloroethylene.

  10. Defense Base Realignment and Closure Budget Data for the Closure of Gentile Air Force Station, Dayton, Ohio, and Realignment of Defense Logistics Agency Components to Wright-Patterson Air Force Base, Ohio

    National Research Council Canada - National Science Library

    1996-01-01

    .... This report provides the results of the audit of two projects, valued at $5.5 million, for the closure of Gentile Air Force Station, Dayton, Ohio, and realignment to Wright-Patterson Air Force Base, Ohio, of two Defense Logistics Agency components...

  11. 76 FR 55933 - Federal Property Suitable as Facilities To Assist the Homeless

    Science.gov (United States)

    2011-09-09

    ... written expression of interest to HHS, addressed to Theresa Ritta, Division of Property Management... Management Division, Naval Facilities Engineering Command, Washington Navy Yard, 1330 Patterson Ave., SW... Area Ohio Facility 30089 5490 Pearson Wright Patterson AFB OH 45433 Landholding Agency: Air Force...

  12. [Environmental investigation of ground water contamination at Wright-Patterson Air Force Base, Ohio]. Volume 3, Appendix A, Draft standard operating procedures and elements: Sampling and Analysis Plan (SAP): Phase 1, Task 4, Field Investigation, Draft

    Energy Technology Data Exchange (ETDEWEB)

    1991-10-01

    This report presents information concerning field procedures employed during the monitoring, well construction, well purging, sampling, and well logging at the Wright-Patterson Air Force Base. Activities were conducted in an effort to evaluate ground water contamination.

  13. Aerospace Transparency Research Compendium

    National Research Council Canada - National Science Library

    Pinkus, Alan

    2003-01-01

    ... (ARRL), located at Wright-Patterson AFB OH, has advanced aerospace transparency technology through the investigative research of numerous optical and visual parameters inherent in aerospace transparencies...

  14. Proceedings of the Triservice Corrosion of Military Equipment Conference (1974) Held at Dayton, Ohio on 29-31 Oct 1974. Volume 1. Sessions 1 through 3

    Science.gov (United States)

    1975-09-01

    Wright-Patterson AFB, Ohio 45433 A. L. Jackman P. 0. Box 98 Magna, Utah 84044 Elwln L. Jang Sacramento ALC/NANCA McClellan AFB, California 95652...Ttje Project Manager was Mr. Fredric H. Harf and the Research Adviser was Dr. Hugh R. Gray of the Materials and Structures Division, NASA Lewis

  15. 77 FR 75616 - Procurement List; Additions

    Science.gov (United States)

    2012-12-21

    .... 611, 1919 E Grange Ave., Milwaukee, WI NPA: Easter Seals Southeast Wisconsin, Inc., South Milwaukee... B, Bldg. 39, Wright-Patterson AFB, Dayton, OH NPA: Goodwill Easter Seals Miami Valley, Dayton, OH...

  16. Er: YLF Laser Development

    Science.gov (United States)

    1975-12-01

    Force Wright Aeronautical Laboratory, AFAL/DHO, Wright-Patterson AFB, OH 45433. WL/AFSC( IST ) ltr, 12 Apr 1991 UNCLASSIFIED AD NUMBER LIMITATION CHANGES TO...ju^i^zs.^iu •Lbbrtbataafetiu.*. i ! mtotfffiflaiai WP1— 1 ’ »■■■«I HIU I I .1 III ll.lll. VIH IWII

  17. A Study of Facilities and Infrastructure Planning, Prioritization, and Assessment at Federal Security Laboratories

    Science.gov (United States)

    2012-11-01

    Support Agency Air Force Real Property Agency Wright-Patterson Air Force Base (AFB), OH; Kirtland AFB, New Mexico ; Eglin AFB, Florida; Edwards...with the State of New Mexico Finance Authority to finance the development of a new facility. Laboratories communicated frequently with State and...modernization requirement based on Replacement Plant Value, depreciation , expected service life, and residual value at the end of the expected service life

  18. A Study of Facilities and Infrastructure Planning, Prioritization, and Assessment at Federal Security Laboratories (Revised)

    Science.gov (United States)

    2013-02-01

    Engineer Support Agency Air Force Real Property Agency Wright-Patterson Air Force Base (AFB), OH; Kirtland AFB, New Mexico ; Eglin AFB, Florida...emergency response to their site. • Sandia works with the State of New Mexico Finance Authority to finance the development of a new facility...algorithms specific to an F&I type to generate the modernization requirement based on Replacement Plant Value, depreciation , expected service life, and

  19. Acute Dermal Irritation Study of Six Jet Fuels in New Zealand White Rabbits: Comparison of Four Bio-Based Jet Fuels with Two Petroleum JP-8 Fuels

    Science.gov (United States)

    2014-02-01

    Shafer University of Dayton Research Institute Wright-Patterson AFB OH David R. Mattie Bioeffects Division Molecular Bioeffects Branch February...master schedule for the company. Metasys DDC Electronic Environmental Control System Controls and monitors animal room environmental conditions

  20. A Policy Analysis of Using Unit Costs as a Means of Performance Measurement in the Air Force Science and Technology Program

    Science.gov (United States)

    1991-09-01

    demonstrate, is that there is more than one way to account for S &T costs and evaluate its program performance. The first option evaluated considers...Personal Communication. Wright Laboratory, Wright-Patterson AFB OH, 14 May 1991. Horngren , Charles T. and George Foster. Cost Accounting A Managerial...78 Programmatic Feedback ........ 79 S &T as Overhead............79 Scoring the Options............80 Unit Costs ................8 Programmatic

  1. [Environmental investigation of ground water contamination at Wright- Patterson Air Force Base, Ohio]. Volume 4, Health and Safety Plan (HSP); Phase 1, Task 4 Field Investigation report: Draft

    Energy Technology Data Exchange (ETDEWEB)

    1991-10-01

    This Health and Safety Plan (HSP) was developed for the Environmental Investigation of Ground-water Contamination Investigation at Wright-Patterson Air Force Base near Dayton, Ohio, based on the projected scope of work for the Phase 1, Task 4 Field Investigation. The HSP describes hazards that may be encountered during the investigation, assesses the hazards, and indicates what type of personal protective equipment is to be used for each task performed. The HSP also addresses the medical monitoring program, decontamination procedures, air monitoring, training, site control, accident prevention, and emergency response.

  2. [Environmental investigation of ground water contamination at Wright-Patterson Air Force Base, Ohio]. Volume 5, Field Investigation report

    Energy Technology Data Exchange (ETDEWEB)

    1992-03-01

    An environmental investigation of ground water conditions has been undertaken at Wright-Patterson Air Force Base (WPAFB), Ohio to obtain data to assist in the evaluation of a potential removal action to prevent, to the extent practicable, migration of the contaminated ground water across Base boundaries. Field investigations were limited to the central section of the southwestern boundary of Area C and the Springfield Pike boundary of Area B. Further, the study was limited to a maximum depth of 150 feet below grade. Three primary activities of the field investigation were: (1) installation of 22 monitoring wells, (2) collection and analysis of ground water from 71 locations, (3) measurement of ground water elevations at 69 locations. Volatile organic compounds including trichloroethylene, perchloroethylene, and/or vinyl chloride were detected in concentrations exceeding Maximum Contaminant Levels (MCL) at three locations within the Area C investigation area. Ground water at the Springfield Pike boundary of Area B occurs in two primary units, separated by a thicker-than-expected clay layers. One well within Area B was determined to exceed the MCL for trichloroethylene.

  3. Wright-Patterson Air Force Base: The First Century

    Science.gov (United States)

    2015-01-01

    aside in 1934 to house a Transient Camp for temporary workers employed under Depression -era programs. During 1934 and 1935, these men labored on...The 445th Airlift Wing upgraded from C-141s to C-5As between 2005 and 2007, before transitioning to C-17s in 2012. The Centennial of Flight was a...December 2002 on Wright Brothers Hill. The event initiated the nation’s commemoration of the Centennial of Flight. These actions symbolized the

  4. Closing the Gap: An Analysis of Options for Improving the USAF Fighter Fleet from 2105 to 2035

    Science.gov (United States)

    2015-10-01

    Lanchester Equations. Graduate Research Paper, (Wright Patterson AFB: Air Force Institute of Technology, 2011), 45 58 John P. Geiss,. et al. Blue...Gilbert, Ronald E. Strategic Implications of US Fighter Force Reductions: Air to Air Combat Modelling Using Lanchester Equations. Graduate Research Paper

  5. A Methodology for Conus APOE Reception Planning.

    Science.gov (United States)

    1982-09-01

    mentioned, the reception process is a service-type system, which produces services to be rendered to the personnel and cargo flowing through it. The... Heizer , Ramon N. Chief, Supply Systems Branch, Dir- ectorate of Distribution, DCS/Logistics Operations, HQ AFLC, Wright-Patterson AFB OH. Personal inter

  6. Aerospace medicine at Brooks AFB, TX: hail and farewell.

    Science.gov (United States)

    Nunneley, Sarah A; Webb, James T

    2011-05-01

    With the impending termination of USAF operations at Brooks Air Force Base (AFB) in San Antonio, TX, it is time to consider its historic role in Aerospace Medicine. The base was established in 1917 as a flight training center for the U.S. Army Air Service and in 1926 became home to its School of Aviation Medicine. The school moved to San Antonio's Randolph Field in 1931, but in 1959 it returned to Brooks where it occupied new facilities to support its role as a national center for U.S. Air Force aerospace medicine, including teaching, clinical medicine, and research. The mission was then expanded to encompass support of U.S. military and civilian space programs. With the abrupt termination of the military space program in 1969, research at Brooks focused on clinical aviation medicine and support of advanced military aircraft while continuing close cooperation with NASA in support of orbital spaceflight and the journey to the Moon. Reorganization in the 1990s assigned all research functions at Brooks to the Human Systems Division and its successors, leaving to USAFSAM the missions related to clinical work and teaching. In 2002 the USAF and the city of San Antonio implemented shared operation of Brooks as a "City-Base" in the hope of deflecting threatened closure. Nevertheless, under continuing pressure to consolidate military facilities in the United States, the 2005 Base Closure and Realignment Commission ordered Brooks closed by 2011, with its aerospace medicine functions relocated to new facilities at Wright-Patterson AFB in Dayton, OH.

  7. Quantum Confined Semiconductors - In-House Interim Research

    Science.gov (United States)

    2013-04-01

    Laboratory, Materials & Manufacturing Directorate, Wright Patterson AFB, OH 45433-7707, USA blnstituto de Ciencias Fisicas, Universidad Nacional Aut6noma...111is work was supported by the Ai1· Force Office of Scientific Research (AFRU RS E, Dr. Kitt Reinhardt). • Also with lnstituto de Ciencias Fisicas

  8. Aircraft Fire Sentry. Volume 2. Appendices

    Science.gov (United States)

    1993-01-01

    including New Mexico Engineering Research Institute (NMERI), National Institute of Standards and Technology (NIST), Wright-Patterson AFB, and AFESC at...Installation Remove the mounting bracket from the smoke alarm by depresing the release tab marked "PRESS" (see Figure 1. pg. 5). Pivot the bracket awey from and

  9. The Pilot Staffing Conundrum: A Delphi Study

    Science.gov (United States)

    2009-06-01

    Project, AFIT/ GMO /LAL/98J-2. School of Logistics and Acquisition Management, Air Force Institute of Technology (AU), Wright Patterson AFB, OH, June...Kafer, John H. Relationship of Airline Pilot Demand and Air Force Pilot Retention. Graduate Research Project, AFIT/ GMO /LAL/98J-11. School of Logistics

  10. A Review of Selected Literature on Stresses Affecting Soldiers in Combat

    Science.gov (United States)

    1979-05-01

    14 W. D. Chiles . Psycho logicat Stress as a Theoretical Concept, WADC Technical Report 57-457, Wright Air Development Center, Wright- Patterson AFB...placing the bulb of the thermometer in a water saturated 1M. J. Duke, N. Findikyan, J. Anderson, and S. B. Sells. Stress /N Vih ,:;. [I. ’Aheriarn7...to stress. Concern with fatigue and its effects have led to a considerable amount of work in the past two decades. Chiles , Alluisi, and Adams 30

  11. 77 FR 22298 - Intent To Grant an Exclusive Patent License

    Science.gov (United States)

    2012-04-13

    ... Public Law 96-517, as amended; the Department of the Air Force announces its intention to grant PS Engineering, Inc., a corporation of the State of Tennessee, having a place of business at 9800 Martel Road... Command Law Office, AFMCLO/JAZ, 2240 B Street, Rm D-14, Wright-Patterson AFB, OH 45433-7109; Facsimile...

  12. Programmed Control of Optical Grating Scales for Visual Research.

    Science.gov (United States)

    1980-12-01

    A -AOO .9 AIR FORCE INST OF TECH WRIGHT-PATTERSON AFB OH SCHOO--ETC F/6 14/2 PROGRAMMED CONTROL OF OPTI CAL GRATING SCALES FOR VISUAL RESEARC --ETC(fl...custom system for AMRL. The cost in memory parts alone was $40,000, a good indication that the market is not over-priced. Ca-? western Reserve

  13. Jet Fuel Exacerbated Noise-Induced Hearing Loss: Focus on Prediction of Central Auditory Processing Dysfunction

    Science.gov (United States)

    2017-09-01

    standard deviation). 4.3.3 Lipid Class Determination for Partition Coefficient Prediction. Research was conducted on methods to quantify...Advancement of Military Medicine Aeromedical Research Department United States Air Force School of Aerospace Medicine Wright-Patterson AFB OH...Report for Oct 2015 to Mar 2017 Air Force Research Laboratory 711th Human Performance Wing Airman Systems Directorate Bioeffects Division

  14. Developing Reliable Telemedicine Platforms with Unreliable and Limited Communication Bandwidth

    Science.gov (United States)

    2017-10-01

    AFRL-SA-WP-TR-2017-0019 Developing Reliable Telemedicine Platforms with Unreliable and Limited Communication Bandwidth Peter F...Wright-Patterson AFB, OH 45433-7913 DISTRIBUTION STATEMENT A. Approved for public release. Distribution is unlimited. STINFO COPY NOTICE AND...invention that may relate to them. Qualified requestors may obtain copies of this report from the Defense Technical Information Center (DTIC) (http

  15. Assisting Defense Conversion Technology Transfer Efforts. A Case Study of Ohio’s Miami Valley.

    Science.gov (United States)

    1995-09-01

    Contracting Division, Wright-Patterson AFB OH. Official Letter Correspondence. 24 January 1994. Bryman , Alan. Research Methods and Organization Studies...Washington DC: 22 February 1993. Cooper, Donald R. and C. William Emory. Business Research Methods (Tifth Edition). Chicago: Richard D. Irwin, Inc...data was collected to address the research objective through a case study methodology. First, it describes and justifies the specific method used

  16. De-Dopplerization of Acoustic Measurements

    Science.gov (United States)

    2017-08-10

    accurate measurement of aircraft location to resample the time signal to account for the compression and expansion of acoustic wavefronts. Correcting...AFRL-RH-WP-TR-2017-0043 DE-DOPPLERIZATION OF ACOUSTIC MEASUREMENTS Frank Mobley, PhD 711 HPW/RHCB Wright-Patterson AFB OH...TITLE AND SUBTITLE DE-DOPPLERIZATION OF ACOUSTIC MEASUREMENTS 5a. CONTRACT NUMBER In-House 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER

  17. Information Analysis Centers in the Department of Defense. Revision

    Science.gov (United States)

    1987-07-01

    Combat Data Information Center (CDIC) and the Aircraft Survivability Model Repository ( ASMR ) into the Survivability/Vulnerability Information Analysis...Information Center (CDIC) and the Aircraft Survivability Model Respository ( ASMR ). The CDIC was a central repository for combat and test data related to...and ASMR were operated under the technical monitorship of the Flight Dynamics Laboratory at Wright-Patterson AFB, Ohio and were located in Flight

  18. Respiratory Highlights, 2016 - 2017 Influenza Season (2 October 2016 - 31 September 2017)

    Science.gov (United States)

    2018-02-21

    Medicine Public Health and Preventive Medicine Dept/PHR 2510 Fifth St., Bldg. 840 Wright-Patterson AFB, OH 45433-7913 8. PERFORMING ORGANIZATION...Surveillance Program Cleared, 88PA, Case # 2018-1051, 5 Mar 2018. 1 USAF School of Aerospace Medicine & Defense Health Agency...influenza from an infected child with a history of swine contact at an agricultural event in Texas. The HA gene from select influenza positives was

  19. Training Affects Variability in Training Performance both Within and Across Jobs

    Science.gov (United States)

    2016-03-01

    was measured by a verbal/ math composite derived from the US military enlistment test, the Armed Services Vocational Aptitude Battery. Training...performance was assessed by written tests of job-related knowledge content. Predictive validity of the verbal/ math composite ranged from .124 to .836...146, Room 122 Wright-Patterson AFB, OH 45433-7511 Malcolm James Ree Department of Leadership Studies School of Business and Leadership Our

  20. Molecular Verification of Cryptops hortensis (Scolopendromorpha: Cryptopidae) in theNearctic Region

    Science.gov (United States)

    2018-01-29

    Journal Article 3. DATES COVERED (From – To) March – April 2016 4. TITLE AND SUBTITLE Molecular Verification of Cryptops hortensis...PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7. PERFORMING ORGANIZATION NAME(S) AND ADDRESS(ES) USAF School of Aerospace Medicine ...Public Health and Preventive Medicine Dept/PHR 2510 Fifth St., Bldg. 840 Wright-Patterson AFB, OH 45433-7913 8. PERFORMING ORGANIZATION REPORT

  1. An Analysis of Measures Used to Evaluate the Air Force Critical Item Program

    Science.gov (United States)

    1991-09-01

    example of a histogram. Cause & Effect Diagram. The cause and effect diagram was introduced in 1953 by Dr. Kaoru Ishikawa in summarizing the opinions of...Personal Interview. Air Force Institute of Technology, School of Engineering, Wright-Patterson AFB OH, 24 April 1991. 31. Ishikawa , Dr. Kaoru . Guide to...collected. How the data are collected will determine which measurement techniques are appropriate. Ishikawa classifies data collection into five categories

  2. Evaluation of a Damage Accumulation Monitoring System as an Individual Aircraft Tracking Concept

    Science.gov (United States)

    1982-05-01

    series of cinematic picture frames. The real life situation is even more complicated because the aircraft experiences intermediate loading states as...Fighter Attack Spectrum on Crack Growth, Air Force Flight Dynamics Laboratory (FBE), Wright- Patterson AFB, Ohio, 45433, AFFDL-TR-76-112, March 1977...Pennsylvania, 19874, Report Number NADC-77194-60, March 29, 1980. 209 10. G. E. Lambert and D. F. Bryan, The Influence of Fleet Variability on Crack Growth

  3. The Impact of Goal Setting and Empowerment on Governmental Matrix Organizations

    Science.gov (United States)

    1993-09-01

    shared. In a study of matrix management, Eduardo Vasconcellos further describes various matrix structures in the Galbraith model. In a functional...Technology/LAR, Wright-Patterson AFB OH, 1992. Vasconcellos , Eduardo . "A Model For a Better Understanding of the Matrix Structure," IEEE Transactions on...project matrix, the project manager maintains more influence and the structure lies to the right-of center ( Vasconcellos , 1979:58). Different Types of

  4. C-130J Breathing Resistance Study

    Science.gov (United States)

    2016-05-01

    scientific and technical information exchange , and its publication does not constitute the Government’s approval or disapproval of its ideas or findings...regulator and MBU- 20/P oxygen mask, was supplied gaseous Aviators’ Breathing Oxygen (ABO). The regulator was operated in various operating modes, at...Generating System (OBOGS) Laboratory, Area B, Wright-Patterson AFB OH. The CRU-73 oxygen regulator was supplied with 50 pounds/square inch of gaseous

  5. An Assessment of the Uniform Funding Policy of DoD Directive 3200.11.

    Science.gov (United States)

    1980-09-01

    34 Unpublished master’s thesis. GSM/SM/73-10, AFIT/EN, Wright-Patterson AFB OH 45433, 7 January 1974. Horngren , Charles T. Cost Accounting : A Management...reverse side if noceeeary aid identify by block number) Uniform Funding Policy Test Facilities Test and Evaluation Cost Accounting Accounting 20...segregated from overhead as a cost accounting device in both Government and industry. Historically, this distinc- tion has merely aided distribution of total

  6. Life-Cycle Costs of Alternative ICBM Second Stage Designs

    Science.gov (United States)

    1992-09-01

    of Logistics, Air Force Institute of Technology (AU), Wright-Patterson AFB OH, 1992. 34. Horngren , Charles T. and George Foster. Cost Accounting ; A...Managerial Emphasis (Sixth Edition). Englewood Cliffs NJ: Prentice-Hall, Inc., 1987. 35. Horngren , Charles T. and George Foster. Cost Accounting ; A...our research. We would also like to thank those involved with the 1991 Small ICBM Operations and Support cost estimate. Your assistance with the O& S

  7. Formation of the U.S. Air Force Aviator Post Traumatic Stress Disorder Study Group

    Science.gov (United States)

    2016-11-15

    Wood, III, John Heaton, Mark Hubner, Nicole Rhodes 5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7. PERFORMING ORGANIZATION... Questionnaire -9 PIQ performance intelligence quotient PTSD post-traumatic stress disorder RPA remotely piloted aircraft USAF U.S. Air Force VIQ verbal intelligence quotient ...Human Performance Wing U.S. Air Force School of Aerospace Medicine Aerospace Medicine Department 2510 Fifth St., Bldg. 840 Wright-Patterson AFB, OH

  8. Multisensory Integration for Pilot Spatial Orientation

    Science.gov (United States)

    2006-09-01

    1993-0022). Wright-Patterson AFB, OH: Air Force Armstrong Laboratories. Gilson, R.D., Ventola, R.W., & Fenton , R.E. (1975). A kinesthetic...Ventola, R.W., & Fenton , R.E. (1975). A kinesthetic-tactual display for stall deterrence. In Eleventh Annual Conference on Manual Control (NASA TM...M., L) 2 = Impact (H, M, L) 3 = Persistence/Difficulty to work-around (H, M., L) 4 = Overall Severity: 1=Severe, 2=Major, 3=Minor, 4= Cosmetic

  9. Damage Tolerant Repair Techniques for Pressurized Aircraft Fuselages

    Science.gov (United States)

    1994-01-01

    RepoW Techniques for Prwurized Aircraft AXWdOg 4.9 Summary and Conclusions The basics of adhesive bonded repairs for aluminum aircraft fuselages have... of cruise altitude and bending stresses in the plate at the tip of one-sided reinforcements (chapter 5). The expanded Rose model was transformed into a...DEPARTMEN1 OF THE AIR FORCE AGENCY REPORT NUMBER AFIT/CI 2950 P STREET WRIGHT-PATTERSON AFB OH 45433-7765 11. SUPPLEMENTARY NOTES Usa. DISTRUISUIOII

  10. A Wind Tunnel Investigation of Joined Wing Scissor Morphing

    Science.gov (United States)

    2006-06-01

    would use the low sweep for carrier landing and subsonic cruise, and use the high sweep for 12 supersonic flight [13]. According to Raymer [19...Wright-Patterson AFB, Ohio: Air Force Institute of Technology, 2005. 12. Katz, Joseph, Shaun Byrne, and Robert Hahl. "Stall Resistance Features of...Lifting-Body Airplane Configurations." Journal of Aircraft 2nd ser. 36 (1999): 471-474. 13. Kress, Robert W. "Variable Sweep Wing Design." AIAA 83

  11. Consistency of the Relations of Cognitive Ability and Personality Traits to Pilot Training Performance

    Science.gov (United States)

    2014-08-22

    MAB-II scores. The univariate Case II correction ( Thorndike , 1949) was used for the NEO-PI-R scores due to a lack of sufficient data to apply the...pilot training, AFRL-RH-WP-TR-2013-0081. Wright-Patterson AFB, OH: Air Force Research Laboratory, Decision Making Division. Thorndike , R. L...NEO domain scores were corrected using the univariate Case 2 ( Thorndike , 1949) method. Correlations in the column labeled rfc were corrected for both

  12. Survey Analysis of Military Parishes: The Wright-Patterson AFB Catholic Parish Example.

    Science.gov (United States)

    1980-08-01

    had scores which varied from mass to mass--sense of prayerfulness, singing, sense of community, and performance of guitar group--shown in Table 14. 17...significance is that the 1980 ratings were better than the 1978 ratings for all liturgy planning areas. An overall average liturgy planning score was...calculated for each indi- vidual who rated any of the topics. Those scores indicate generally positive perceptions of the liturgies. Eighty-six

  13. Interaction of Jet Fuel Hydrocarbon Components with Red Blood Cells and Hemoglobin

    Science.gov (United States)

    2014-06-24

    Directorate (RHDJ), Wright-Patterson AFB, OH. The authors would like to thank Maj. Paul Eden, Nicole Schaeublin, Christin Grabinski, Dr. Jeff Gearhart...We would also like to thank LtCol. Norman Fox (Laboratory Flight Commander), Mrs. Nersa Loh (Supervisor, Transfusion Services), and Mr. Dan Fischer ...Approximately 7.8 mg of hemoglobin sample was concentrated into a total volume of 5 mL of Fischer PBS pH 7.5 buffer using an Amicon Centrifugal Filter Unit

  14. 5th International Conference on Cryocoolers

    CERN Document Server

    1989-01-01

    The Cryocoolers 5 proceedings archives the contributions of leading international experts at the 5th International Cryocooler Conference that was held in Monterey, California on August 18-19, 1988. The authors submitted twenty six papers describing advancements and applications of cryocoolers in the temperature range below 80K. This year's conference was hosted by the U.S. Naval Postgraduate School in Monterey, California, and the conference proceedings reproduced here were published by the Wright-Patterson AFB in Ohio.

  15. Very Low Cost Expendable Harassment System Design Study. Volume 3

    Science.gov (United States)

    1975-12-01

    testing involves placing a pitot tube rake in the plane of the propeller to determine C-22 iJi;.^*.^^^...--^ ;:„.-:■. ■ ■.■■.VI:-I ^v ?sammaa*mmwm i...ii^.Maj!iiiilH||^,J)||IHi|KI^ Henry , George 1975b Personal Interviews. Foreign Technology Divlsion/ETTS, Wright-Patterson AFB, OH, 15 June - 25...E-Systems, Inc., Melpar Division, Falls Church, VA: 8 July - 15 September 1975. Rose, Henry , Engineer 1975b Telephone Conversation. Sensenich

  16. Human Resources Data in Weapon System Design: An Initial Plan for Development of a Unified Data Base.

    Science.gov (United States)

    1980-11-01

    tems such as D-195, D-220, etc. 11. Provides a standardized methodology for the selection of systems, subsystems to be used as the historical baseline...Graham, R. S., & Hilgeman, .1. B. A methodological approach to the analysis and automatic handling of task information for systems in the conceptual phase...AMRL- TR-63-78 AD-419 018, Wright-Patterson AFB, Ohio: Aerospace Medical Reserach Laboratories, August 1963. 46. Reed, L. E., Snyder, M. T., Baran, H

  17. An Investigation of the Relationship between Stressful Life Events and Psychological, Behavioral and Physiological Outcomes

    Science.gov (United States)

    1984-09-01

    LOU DEcISIO LATITUDE *Figure 2-2. Demand vs Control Model. 4roa ’Jb Psychological Factors and Coronarý Heart Disease" by Karasek . Theorell . Schwartz...Wright-Patterson AFB OH, AD A134381- Karasek , R.A., Baker, D., Marxer, F., Ahebom, A., & Theorell , T. (1981). Job Decision Latitude, Job Demands, and...hormones have been shown to promote CHD in "* •animal experiments (Kornitzer et al., 1982). Applying this process to the job environment scenario, Karasek

  18. Information Processing and Collective Behavior in a Model Neuronal System

    Science.gov (United States)

    2014-03-28

    were invited to visit the 711th Human Performance Wing at Wright Patterson AFB to give a briefing on our work. We also presented our work at AFRL in...for an AFOSR project headed by Steve Reppert on Monarch Butterfly navigation. We visited the Reppert lab at the UMASS Medical School and have had many...the requested briefing at the 711th Human Performance Wing . It is a natural extension of the original aims of the grant, as the suprachiasmatic

  19. A Study of USN Aircrew Attitudes Regarding Technology’s Ability to Replace the Naval Flight Officer on Typical Combat Missions Carrier Based Aircraft are Tasked to Perform

    Science.gov (United States)

    1993-09-01

    Management, Air Force Institute of Technology (AU), Wright Patterson AFB CH, 1991. Horngren , Charles T. and George Foster. Cost Accounting : A Managerial...Submit - TotalCastOPnperewldSubmnit * s ((I eEnter this figure in column 13(c)(1) SHORE ESTAILISHMENT COST TO PREPARE AND SUBMIT PAY GRADE No OR ORYRT...W’IC QUALIT’Y TI15?SrTF-* AFIT/GSM/LAR/93S- 1 A SILMY OF USN AIRCREW ATTIU2 RECARDING TE*L ’ S ABILITY TO REPLACE THE NAVAL FLICHT OFFICER ON TYPICAL

  20. Space power technology for the twenty-first century (SPT21)

    International Nuclear Information System (INIS)

    Borger, W.U.; Massie, L.D.

    1988-01-01

    During the spring and summer months of 1987, the Aero Propulsion Laboratory of the Air Force Wright Aeronautical Laboratories, Wright-Patterson AFB, Ohio in cooperation with the Air Force Space Technology Center at Kirtland AFB, New Mexico, undertook an initiative to develop a Strategic Plan for Space Power Technology Development. The initiative was called SPT21, Space Power Technology for the Twenty-First Century. The planning process involved the participation of other Government organizations (U.S. Army, Navy, DOE and NASA) along with major aerospace companies and universities. Following an SPT21 kickoff meeting on 28 May 1987, detailed strategic planning was accomplished through seven (7) Space Power Technology Discipline Workshops commencing in June 1987 and concluding in August 1987. Technology Discipline Workshops were conducted in the following areas: (1) Solar Thermal Dynamic Power Systems (2) Solar Photovoltaic Cells and Arrays (3) Thermal Management Technology (4) Energy Storage Technology (5) Nuclear Power Systems Technology (6) Power Conditioning, Distribution and Control and (7) Systems Technology/Advanced Concepts. This technical paper summarizes the planning process and describes the salient findings and conclusions of the workshops

  1. Revisions to the PETROS 4 Shell Response Code.

    Science.gov (United States)

    1984-02-01

    change in the corresponding curvature tensor 𔃿’. DD(JLA) ftA cl &y()j * -. ,:"DD2(J,LA) DEL See ZETA 146,156 DELBAR 71 See ZETA 409 DELNOR See EQUIL2...Cleveland, OH 44135 1 AFML (LLN, Dr. Nicholas) 1 Bell Helicopter Textron Wright-Patterson AFB, OH 45433 ATTN: Mr. Nile Fischer P.O. Box 482 I ASD/ENFTV, Mr...2406A 1 Texas A&M University 1000 Western Avenue Dept Aerospace Eng West Lynn, MA 01905 ATTN: Dr. W. Haisler College Station, TX 77843 I Kaman Tempo

  2. Beamed Energy Propulsion by Means of Target Ablation

    International Nuclear Information System (INIS)

    Rosenberg, Benjamin A.

    2004-01-01

    This paper describes hundreds of pendulum tests examining the beamed energy conversion efficiency of different metal targets coated with multiple liquid enhancers. Preliminary testing used a local laser with photographic paper targets, with no liquid, water, canola oil, or methanol additives. Laboratory experimentation was completed at Wright-Patterson AFB using a high-powered laser, and ballistic pendulums of aluminum, titanium, or copper. Dry targets, and those coated with water, methanol and oil were repeatedly tested in laboratory conditions. Results were recorded on several high-speed digital video cameras, and the conversion efficiency was calculated. Paper airplanes successfully launched using BEP were likewise recorded

  3. Environmental Assessment for the AFIT Master Plan, Wright-Patterson Air Force Base, Ohio, 88th Air Base Wing

    Science.gov (United States)

    2011-05-20

    Creek during a base-wide mist net survey (BHE 2001). Radio tracking of these two bats confirmed the presence of a maternity colony in a dead slippery ... elm (Ulmus rubra) in a woodlot on the campus of Wright State University. No sightings of Indiana bats have been reported within the area of the

  4. Wright-Patterson AFB, Ohio Revised Uniform Summary of Surface Weather Observations (RUSSWO). Parts A-F.

    Science.gov (United States)

    1985-09-01

    LSTI: ISO -1700 ............. [..........................................NO" ........". OT5...................................................... I WIND...90.3 91.1 91.3 91.4 91.6 92.6 91.b 9i.T 91.7 91.7 91.7 E iso 51.i8 - 76.9 82.1 8b. 90.7 90.7 92.s 92.7 92.8 93.0 93.0 93.0 93.1 93.1 93.1 93.1 FE 2000...97.4 91.4 97.% 91.4 97.4 GE 27003 55.1 79.4 84.4 90.1 95.7 96.8 97.1 98.0 88.1 98.1 98.1 98.1 91.3 98.1 98.1 98.1 UE 18001 55.1 79.5 84.5 90.? 95.8 96.9

  5. AFRL Materials and Manufacturing Directorate

    Science.gov (United States)

    Flight Wright-Patterson Medical Clinic Contact Us Search Wright-Patterson Air Force Base: AFRL Logo AFRL follow in footsteps of legendary WWII flight nurse New satellite communication technology positioned for commanders with new decision making tools Public Health Education Division: Educating to Protect AFRL ENSPIRE

  6. Wright-Fisher diffusion bridges.

    Science.gov (United States)

    Griffiths, Robert C; Jenkins, Paul A; Spanò, Dario

    2017-10-06

    The trajectory of the frequency of an allele which begins at x at time 0 and is known to have frequency z at time T can be modelled by the bridge process of the Wright-Fisher diffusion. Bridges when x=z=0 are particularly interesting because they model the trajectory of the frequency of an allele which appears at a time, then is lost by random drift or mutation after a time T. The coalescent genealogy back in time of a population in a neutral Wright-Fisher diffusion process is well understood. In this paper we obtain a new interpretation of the coalescent genealogy of the population in a bridge from a time t∈(0,T). In a bridge with allele frequencies of 0 at times 0 and T the coalescence structure is that the population coalesces in two directions from t to 0 and t to T such that there is just one lineage of the allele under consideration at times 0 and T. The genealogy in Wright-Fisher diffusion bridges with selection is more complex than in the neutral model, but still with the property of the population branching and coalescing in two directions from time t∈(0,T). The density of the frequency of an allele at time t is expressed in a way that shows coalescence in the two directions. A new algorithm for exact simulation of a neutral Wright-Fisher bridge is derived. This follows from knowing the density of the frequency in a bridge and exact simulation from the Wright-Fisher diffusion. The genealogy of the neutral Wright-Fisher bridge is also modelled by branching Pólya urns, extending a representation in a Wright-Fisher diffusion. This is a new very interesting representation that relates Wright-Fisher bridges to classical urn models in a Bayesian setting. Copyright © 2017 Elsevier Inc. All rights reserved.

  7. Laser diagnostics of atomic hydrogen and oxygen production in rf and microwave plasma discharges

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1993-01-01

    The research for this thesis involved the application of two-photon allowed laser-induced fluorescence (TALIF) to the study of atomic hydrogen and oxygen production in industrial scale radio-frequency and microwave plasma discharge apparatus. Absolute atomic hydrogen concentration profiles were measured in a Gaseous Electronics Conference Reference Cell installed at Wright-Patterson AFB, Ohio operating with a simple H 2 discharge. Two-dimensional atomic hydrogen concentration profiles were also measured in an ASTEX HPMM microwave plasma diamond deposition reactor during actual diamond growth. In addition absolute atomic oxygen concentrations were measured in the ASTEX system. Particular attention as paid to refining the concentration calibration technique and in determining a correction to account for the collisional quenching of excited state fluorescence in high pressure gases

  8. An automated full-symmetry Patterson search method

    International Nuclear Information System (INIS)

    Rius, J.; Miravitlles, C.

    1987-01-01

    A full-symmetry Patterson search method is presented that performs a molecular coarse rotation search in vector space and orientation refinement using the σ function. The oriented molecule is positioned using the fast translation function τ 0 , which is based on the automated interpretation of τ projections using the sum function. This strategy reduces the number of Patterson-function values to be stored in the rotation search, and the use of the τ 0 function minimizes the required time for the development of all probable rotation search solutions. The application of this method to five representative test examples is shown. (orig.)

  9. Methylation profiling of SOCS1, SOCS2, SOCS3, CISH and SHP1 in Philadelphia-negative myeloproliferative neoplasm.

    Science.gov (United States)

    Zhang, Min Yue; Fung, Tsz Kin; Chen, Fang Yuan; Chim, Chor Sang

    2013-10-01

    Janus kinase-signal transducer and activator of transcription (JAK/STAT) signalling, pivotal in Philadelphia-negative (Ph-ve) myeloproliferative neoplasm (MPN), is negatively regulated by molecules including SOCSs, CISH and SHP1. SOCS1, SOCS2 and SOCS3 methylation have been studied in MPN with discordant results. Herein, we studied the methylation status of SOCS1, SOCS2 and SOCS3, CISH and SHP1 by methylation-specific polymerase chain reaction (MSP) in cell lines and 45 diagnostic marrow samples of Ph-ve MPN. Moreover, we attempted to explain the discordance of methylation frequency by mapping the studied MSP primers to the respective genes. Methylation was detected in normal controls using SOCS2 MSP primers in the 3'translated exonic sequence, but not primers around the transcription start site in the 5' untranslated regions (5'UTR). SOCS1, SOCS2, SOCS3 and CISH were completely unmethylated in primary MPN samples and cell lines. In contrast, methylation of SHP1 was detected in 8.9% primary marrow samples. Moreover, SHP1 was completely methylated in K562 cell line, leading to reversible SHP1 silencing. A review of methylation studies of SOCS1 and SOCS3 showed that spuriously high rates of SOCS methylation had been reported using MSP primers targeting CpG sites in the 3'translated exonic sequence, which is also methylated in normal controls. However, using MSP primers localized to the 5'UTR, methylation of SOCS1, SOCS2 and SOCS3 is infrequent across all studies. In summary, methylation of SOCS1, SOCS2, SOCS3 and CISH is infrequent in Ph-ve MPN. Appropriate MSP primers are important for accurate estimation of the methylation frequency. The role of SHP1 methylation in the pathogenesis of MPN warrants further investigation. © 2013 The Authors. Journal of Cellular and Molecular Medicine published by John Wiley & Sons Ltd and Foundation for Cellular and Molecular Medicine.

  10. IGF-1 induces SOCS-2 but not SOCS-1 and SOCS-3 transcription in juvenile Nile tilapia (Oreochromis niloticus).

    Science.gov (United States)

    Liu, Cai-Zhi; Luo, Yuan; Limbu, Samwel Mchele; Chen, Li-Qiao; Du, Zhen-Yu

    2018-05-20

    Insulin-like growth factor-1 (IGF-1) plays a crucial role in regulating growth in vertebrates whereas suppressors of cytokine signaling (SOCS) act as feedback inhibitors of the GH/IGF-1 axis. Although SOCS-2 binds the IGF-1 receptor and inhibits IGF-1-induced STAT3 activation, presently there is no clear evidence as to whether IGF-1 could induce SOCS gene expression. The current study aimed to determine whether IGF-1 could induce the transcription of SOCS in juvenile Nile tilapia ( Oreochromis niloticus ). We show that there is a common positive relationship between the mRNA expression of IGF-I and SOCS-2 under different nutritional statuses and stimulants, but not the mRNA expression of SOCS-1 and SOCS-3 Furthermore, rhIGF-1 treatment and transcriptional activity assay confirmed the hypothesis that IGF-1 could induce SOCS-2 expression, whereas it had no effect or even decreased the expression of SOCS-1 and SOCS-3 Overall, we obtained evidence that the transcription of SOCS-2, but not SOCS-1 or SOCS-3, could be induced by IGF signaling, suggesting that SOCS-2 serves as a feedback suppressor of the IGF-1 axis in juvenile Nile tilapia. © 2018. Published by The Company of Biologists Ltd.

  11. 77 FR 75503 - Wright Brothers Day, 2012

    Science.gov (United States)

    2012-12-20

    ... Wright pursued their lifelong dream. Like so many Americans before and after them, these two men achieved... stay ahead of the curve and keep America moving forward. With their game-changing feat, the Wright...

  12. Richrd Wright: a reluctant comrade

    OpenAIRE

    Torres, Stela

    1982-01-01

    Richard Wright's works have often been disregarded by scholars as a mere form of propaganda in which the writer pleads the cause of the Communist Party. We must admit, however, that although Wright poses questions in his novels and short stories which are political by nature (for instance, how can the black man as an oppressed, divided, unauthentic being participate effectively in his struggle for liberation from a racist society?), the alternatives he envisages seem quite unorthodox when con...

  13. Wright Flyer Project

    Science.gov (United States)

    2004-01-01

    The wind tunnel test results have been published in the literature as summarized at the end of this report. As part of the education program, an introduction to engineering course module was designed and tested on 80 freshman engineering students at Old Dominion University. The five-week module required that five-person teams design, build and fly a radio-controlled airplane using only the wind tunnel data developed by the Wright brothers in 1902. That module is described in Sparks and Ash (2001). The Principal Investigator has co-authored one dozen publications resulting from this research, as listed at the end of this report. The Principal Investigator has given fourteen lectures on the Wright brother testing program and has appeared in two documentary television programs (summarized at the end of this report). Speaking invitations have continued since the completion of the project.

  14. Immunobiological effects of AFB1 and AFB1-FB1 mixture in experimental subchronic mycotoxicoses in rats

    International Nuclear Information System (INIS)

    Theumer, M.G.; Lopez, A.G.; Masih, D.T.; Chulze, S.N.; Rubinstein, H.R.

    2003-01-01

    Maize co-contamination with aflatoxin B1 (AFB1) and fumonisin B1 (FB1) is frequently found in several countries. Although the alterations on nutritional and immunologic parameters induced by these mycotoxins, when administered individually, are partially characterised, little is known about the effects induced in animals by a subchronic administration of both toxins mixtures. We have studied the nutritional and immunological alterations induced in rats fed during 90 days with a diet without mycotoxins, containing 40 ppb AFB1, and with a diet containing a mixture of 40 ppb AFB1 and 100 ppm FB1. Animals fed with the mixture of toxins obtained lower body weight than the control ones. The mitogenic response of spleen mononuclear cells (SMC) in vivo was higher in animals fed with AFB1. In in vitro studies, lower proliferations of SMC pre-exposed to AFB1 and to the mixture of toxins were detected. The SMC of animals fed with AFB1 produced lower levels of IL-2, higher of IL-4 and equal levels of IL-10. The SMC of animals fed with both toxins produced higher levels of IL-4, lower of IL-10 and equal levels of IL-2. The SMC preincubated with an AFB1-FB1 mixture produced higher concentrations of IL-4, lower of IL-10 and equal levels of IL-2. The peritoneal macrophages of animals that consumed AFB1 released less H 2 O 2 , while animals fed with the mixture of toxins produced higher levels. In in vitro studies, macrophages pre-exposed to the mixture of toxins released less H 2 O 2 . These results show different immunobiological effects produced by a mixture of mycotoxins in comparison to the individual action of the same toxins

  15. Immunobiological effects of AFB1 and AFB1-FB1 mixture in experimental subchronic mycotoxicoses in rats

    Energy Technology Data Exchange (ETDEWEB)

    Theumer, M G; Lopez, A G; Masih, D T; Chulze, S N; Rubinstein, H R

    2003-04-15

    Maize co-contamination with aflatoxin B1 (AFB1) and fumonisin B1 (FB1) is frequently found in several countries. Although the alterations on nutritional and immunologic parameters induced by these mycotoxins, when administered individually, are partially characterised, little is known about the effects induced in animals by a subchronic administration of both toxins mixtures. We have studied the nutritional and immunological alterations induced in rats fed during 90 days with a diet without mycotoxins, containing 40 ppb AFB1, and with a diet containing a mixture of 40 ppb AFB1 and 100 ppm FB1. Animals fed with the mixture of toxins obtained lower body weight than the control ones. The mitogenic response of spleen mononuclear cells (SMC) in vivo was higher in animals fed with AFB1. In in vitro studies, lower proliferations of SMC pre-exposed to AFB1 and to the mixture of toxins were detected. The SMC of animals fed with AFB1 produced lower levels of IL-2, higher of IL-4 and equal levels of IL-10. The SMC of animals fed with both toxins produced higher levels of IL-4, lower of IL-10 and equal levels of IL-2. The SMC preincubated with an AFB1-FB1 mixture produced higher concentrations of IL-4, lower of IL-10 and equal levels of IL-2. The peritoneal macrophages of animals that consumed AFB1 released less H{sub 2}O{sub 2}, while animals fed with the mixture of toxins produced higher levels. In in vitro studies, macrophages pre-exposed to the mixture of toxins released less H{sub 2}O{sub 2}. These results show different immunobiological effects produced by a mixture of mycotoxins in comparison to the individual action of the same toxins.

  16. Application of Patterson-function direct methods to materials characterization.

    Science.gov (United States)

    Rius, Jordi

    2014-09-01

    The aim of this article is a general description of the so-called Patterson-function direct methods (PFDM), from their origin to their present state. It covers a 20-year period of methodological contributions to crystal structure solution, most of them published in Acta Crystallographica Section A. The common feature of these variants of direct methods is the introduction of the experimental intensities in the form of the Fourier coefficients of origin-free Patterson-type functions, which allows the active use of both strong and weak reflections. The different optimization algorithms are discussed and their performances compared. This review focuses not only on those PFDM applications related to powder diffraction data but also on some recent results obtained with electron diffraction tomography data.

  17. Application of Patterson-function direct methods to materials characterization

    Directory of Open Access Journals (Sweden)

    Jordi Rius

    2014-09-01

    Full Text Available The aim of this article is a general description of the so-called Patterson-function direct methods (PFDM, from their origin to their present state. It covers a 20-year period of methodological contributions to crystal structure solution, most of them published in Acta Crystallographica Section A. The common feature of these variants of direct methods is the introduction of the experimental intensities in the form of the Fourier coefficients of origin-free Patterson-type functions, which allows the active use of both strong and weak reflections. The different optimization algorithms are discussed and their performances compared. This review focuses not only on those PFDM applications related to powder diffraction data but also on some recent results obtained with electron diffraction tomography data.

  18. Frank Lloyd Wright in the Soviet Union

    Directory of Open Access Journals (Sweden)

    Brian A. Spencer

    2017-12-01

    Full Text Available In 1937 the First All-Union Congress of Soviet Architects was held in Moscow. The congress brought  architects from all areas of the  Soviet Union. Under the auspices of Vsesoiuvnoe Obshchestvo Kul'turnoi Sviazi s zagranitsei (VOKS it invited international architects from Europe and North and South America.  The Organizing Committee of the Union of Soviet Architects invited Frank Lloyd Wright from the United States. Frank Lloyd Wright presented his philosophy and exhibited his work, specifically his designs for the weekend home for E. J. Kaufmann "Fallingwater" and the drawings for the S.C. Johnson Administration. Frank Lloyd Wright's presentation did not focus heavily on the architecture but, rather the spirit of the Russian and Soviet vision.

  19. Sir Almroth Wright: pioneer immunologist.

    Science.gov (United States)

    Ellis, Harold

    2011-03-01

    This year marks the 150th anniversary of the birth of Almroth Edward Wright, whose pioneer work in immunology saved countless lives, especially in the First World War, but whose name and work are all but forgotten today. Wright was born in 1861 in Middleton Tyas, Yorkshire, where his father, an Irish protestant and considerable Hebrew scholar, was the minister. Almroth's Swedish mother, the daughter of NW Almroth, governor of the mint in Stockholm, was responsible for his unusual first name. She had the rare distinction of having served as a nurse with Florence Nightingale in the hospital at Scutari in the Crimean War.

  20. James Homer Wright: a biography of the enigmatic creator of the Wright stain on the occasion of its centennial.

    Science.gov (United States)

    Lee, Robert E; Young, Robert H; Castleman, Benjamin

    2002-01-01

    James Homer Wright (1869-1928), the eldest son of a Pittsburgh glass merchant, was educated in Baltimore and practiced pathology in Boston from 1893 until his death in 1928. In 1896, when not quite 27 years old, he assumed directorship of the newly founded Pathology Laboratory at the Massachusetts General Hospital, a post he held for the next 30 years. He is remembered eponymously by the blood cell stain that bears his name and the Homer Wright pseudorosettes of neuroblastoma, but he made many additional contributions to pathology. These include the following: determination of the cellular lineage of multiple myeloma, identification of the megakaryocyte as the cell of origin of blood platelets, recognition of the cell of origin of the neuroblastoma, demonstration of spirochetes in syphilitic aneurysms of the aorta, and clarification of misconceptions about actinomycosis. Additionally, Wright coauthored, with Dr. Frank B. Mallory, the book Pathological Technique, which was a staple of laboratories for >40 years and exemplifies Wright's wide-ranging interests in, and contributions to, practical aspects of pathology including staining, culture and frozen section techniques, photography, and development of the rotary microtome. He received Honorary Doctor of Science Degrees from Harvard University, the University of Maryland (his alma mater), and the University of Missouri. He was the recipient of the Gross prize in 1905 for his publication on actinomycosis and the Boylston Medical Prize in 1908 for his discovery of the origin of platelets, and he was inducted into the American Academy of Arts and Sciences in 1915. Although shy and somewhat austere in the workplace, a different side was shown by his anonymously sending flowers to a young Norwegian opera singer whom he subsequently married. The pathology laboratories of the Massachusetts General Hospital were named the "James Homer Wright Pathology Laboratories" in 1956. Today James Homer Wright is remembered and

  1. Because Everyone Has a Story to Tell: Interview with Andrew Wright

    Science.gov (United States)

    Floris, Flora Debora

    2016-01-01

    This article presents an interview with Andrew Wright, a widely recognized author, illustrator, storyteller, and teacher trainer. Wright has published many ELT books, authored six "Spellbinder" graded readers (1992-1994), and a collection of short stories. As a teacher trainer, Wright worked extensively with both teachers and students in…

  2. Utilizing a Rapid Prototyping Approach in the Building of a Hypermedia-Based Reference Station.

    Science.gov (United States)

    Sell, Dan

    This paper discusses the building of a hypermedia-based reference station at the Wright Laboratory Technical Library, Wright-Patterson Air Force Base, Ohio. Following this, the paper focuses on an electronic user survey from which data is collected and analysis is made. The survey data is used in a rapid prototyping approach, which is defined as…

  3. SUSTAINABLE ORIGINS IN ARCHITECTURE OF FRANK LLOYD WRIGHT

    Directory of Open Access Journals (Sweden)

    Martina Zbašnik-Senegačnik

    2012-10-01

    Full Text Available Frank Lloyd Wright is the greatest American architect and oneof the greatest architects the world. His career began at theend of the 19th century, during the great architectural boom inChicago, under the mentorship of Louis Henry Sullivan, fromwhom he adopted and then perfected the concepts of organicarchitecture and the Prairie house. During the Depressionyears, Wright developed a cheaper and simpler variant of thePrairie house: the Usonian house.Wright's architecture is characterised by an entirely newapproach to building design, particularly the design of houses.He reduced the number of rooms by combining their functionsin a large living space with a central fireplace. He used largeglazed areas to connect the external environment of the housewith the interior. The natural environment of the prairie wasthe inspiration for the horizontal lines that characterised hisarchitecture. His buildings are low in height, close to humanscale and with a great feeling for the natural setting in whichthey are built. He selected materials from the surroundingarea and the principal decoration of his architecture was thenatural structure of the material.The paper presents the ideas of organic architecture, thePrairie house, the Usonian house, along with the best examplesof Wright's architecture and the criteria he employed in theselection of materials and construction technologies. Theenvironmental aspect of his philosophy of the use of materialsis considered in the discussion section.Wright may be considered a pioneer of sustainable architecture.

  4. AFOSR/ONR (Air Force Office of Scientific Research/Office of Naval Research) Contractors’ Meeting - Combustion Rocket Propulsion Diagnostics of Reacting Flow Held in Ann Arbor, Michigan on June 19-23, 1989

    Science.gov (United States)

    1989-06-19

    since S and dm/dt can be determined from the combined light scattering aid velocity measure- ments. This product, kfC H ], is termed the specific surface...Patterson AFB OH 45433-6563 Cleveland OH 44135-3127 (513)255-7431 AV785-7431 Dr Bruce Masson Dr. James McDonald AFWL/ARDF Code 6110 Kirtland AFB NM

  5. Respiratory Syncytial Virus Nonstructural Proteins Upregulate SOCS1 and SOCS3 in the Different Manner from Endogenous IFN Signaling

    Directory of Open Access Journals (Sweden)

    Junwen Zheng

    2015-01-01

    Full Text Available Respiratory syncytial virus (RSV infection upregulates genes of the suppressor of cytokine signaling (SOCS family, which utilize a feedback loop to inhibit type I interferon dependent antiviral signaling pathway. Here, we reconstituted RSV nonstructural (NS protein expression plasmids (pNS1, pNS2, and pNS1/2 and tested whether NS1 or NS2 would trigger SOCS1 and SOCS3 protein expression. These NS proteins inhibited interferon- (IFN- α signaling through a mechanism involving the induction of SOCS1 and SOCS3, which appeared to be different from autocrine IFN dependent. NS1 induced both SOCS1 and SOCS3 upregulation, while NS2 only induced SOCS1 expression. The induced expression of SOCS1 and SOCS3 preceded endogenous IFN-signaling activation and inhibited the IFN-inducible antiviral response as well as chemokine induction. Treatments with INF-α and NS proteins both induced SOCS1 expression; however, they had opposing effects on IFN-α-dependent antiviral gene expression. Our results indicate that NS1 and NS2, which induce the expression of SOCS1 or SOCS3, might represent an independent pathway of stimulating endogenous IFN signaling.

  6. Women in History--Marian Wright Edelman: Crusader for Civil and Children's Rights

    Science.gov (United States)

    Mills, Shirley J.

    2006-01-01

    This article profiles Marian Wright Edelman, a crusader for civil and children's rights. She was born June 6, 1939, at a time when prejudice and segregation were the norm. The Wright family lived in a small, southern town of Bennetsville, South Carolina, where Marian was the youngest of five children. Her father, the Reverend Arthur Jerome Wright,…

  7. Proceedings of the Annual Conference on Manual Control (10th) held at Wright-Patterson AFB, Ohio on 9-11 April 1974

    Science.gov (United States)

    1974-04-01

    427 R. E. Fenton , R. D. Gilson, and R. W. Ventola Simulator Evaluation of Three Situation and Guidance Displays for V/STOL Zero-Zero Landings...prostheses is a factor that may lead some amputees to abandon their prostheses shortly after fitting, or to use them primarily for cosmetic purposes...PRESENTATION VIA DUAL KINESTHETIC-TACTUAL DISPLAYS lüTn w *rr ,-.-r Robert E. Fenton Richard D. 611 son Dept. of Electrical Engineering Dept. of

  8. Proceedings of the Government Neural Network Applications Workshop Held at Wright-Patterson AFB, Ohio on August 24-26, 1992. Volume 1

    Science.gov (United States)

    1992-08-01

    W. Hubbard and LD. lackel. Backpropagation applied to handwritm zip code recognitio Neral Comptaion. vol. I pages 541-551.1989 I LFh*udaima. Neual...vision system onto one face of the wheel as a 3 cm x 3 cm image. This face of the wheel is finished as a fine-grain ground-glass diffusing surface...sensor system described above actually computes 3 sets of features per wheel rotation as shown in Figure 5. A third of the wheel’s image input face is

  9. Integrated Life-Cycle Framework for Maintenance, Monitoring and Reliability of Naval Ship Structures

    Science.gov (United States)

    2012-08-15

    uncertainty in quantitative risk and policy analysis, Cambridge Univer- sity Press. NEVES, L. C, FRANGOPOL, D. M., AND CRUZ , P. J. 2006 Probabilistic lifetime...L. C, FRANGOPOL, D. M., AND CRUZ , P. J. 2006 Probabilistic life- time-oriented multiobjective optimization of bridge maintenance: single...Wright Aeronautical Laboratory. Wright- Patterson Air Force Base, Dayton, Ohio; 1981. [5| Chung H-Y, Manuel L, Frank KH. Optimal inspection

  10. Adaptive Kronrod-Patterson integration of non-linear finite-element matrices

    DEFF Research Database (Denmark)

    Janssen, Hans

    2010-01-01

    inappropriate discretization. In response, this article develops adaptive integration, based on nested Kronrod-Patterson-Gauss integration schemes: basically, the integration order is adapted to the locally observed grade of non-linearity. Adaptive integration is developed based on a standard infiltration...

  11. Survey of Wastewater Discharge, Eielson AFB, Alaska, EHL(K) 73-24

    National Research Council Canada - National Science Library

    Thomas, Jerry F; Pauls, Chester F

    1973-01-01

    This report contains the results of a wastewater survey at Eielson AFB, Alaska, conducted by the USAF Environmental Health Laboratories, Kelly AFB, Texas and McClellan AFB, CA, between 22 and 27 June 1973...

  12. The age of the earth: the approach of Clair Cameron Patterson

    International Nuclear Information System (INIS)

    Trouillet, F.

    2010-01-01

    This article is a practical physics course designed for high school students that illustrates the Patterson's approach to determine the age of the earth. In 1953, the result published by Patterson (4.55 ± 0.07)*10 9 y was the first correct estimation of the age of the earth. His approach is based on the idea that the isotopic composition of meteorites can give information on the origin of the solar system. He assumed that meteorites and earth were formed at the same time and from a common homogeneous material (in terms of lead and uranium isotopic compositions). Patterson determined the isotopic lead composition of meteorite samples and inferred the age of the earth. The first part of the article gives the kinetics equations of Pb 206 and Pb 207 , these 2 lead isotopes were present originally and have been created since through the decay of U 238 and U 235 respectively. The second part present the application to experimental data from 5 meteorite samples. A conclusion is drawn that highlights the limits of the method: the measurement of the lead composition of the samples is very delicate to do because the meteorites contain very low amounts of lead (about 1 ppm) and the crossing of the atmosphere could have contaminated them with lead from humane activities. (A.C.)

  13. Patterson-Stevenson-Fontaine syndrome: 30-year follow-up and clinical details of a further affected case

    Energy Technology Data Exchange (ETDEWEB)

    Wilkie, A.O.M. [John Radcliffe Hospital, Oxford (United Kingdom); Goodacre, T.E.E. [Radcliffe Infirmary NHS Trust, Oxford (United Kingdom)

    1997-04-14

    The nosology of the acrofacial dysostoses was reviewed extensively. The Patterson-Stevenson-Fontaine syndrome (MIM 183700) is a rare variant, characterized by variable oligosyndactyly of the feet, unusual ears, deafness, cleft palate and autosomal dominant inheritance. The original description by Patterson and Stevenson concerned an affected father and son; a second family with four affected individuals (some of whom also had learning difficulties) in three generations was described by Fontaine et al. Opitz et al. stated {open_quotes}A follow-up of these patients is strongly urged....{close_quotes} Recently we reviewed the son originally described by Patterson and Stevenson, who is now an adult (case JL). One of his three sons (case AL) has inherited the same condition. 6 refs., 3 figs.

  14. Fitting modular reconnaissance systems into modern high-performance aircraft

    Science.gov (United States)

    Stroot, Jacquelyn R.; Pingel, Leslie L.

    1990-11-01

    The installation of the Advanced Tactical Air Reconnaissance System (ATARS) in the F/A-18D(RC) presented a complex set of design challenges. At the time of the F/A-18D(RC) ATARS option exercise, the design and development of the ATARS subsystems and the parameters of the F/A-18D(RC) were essentially fixed. ATARS is to be installed in the gun bay of the F/A-18D(RC), taking up no additional room, nor adding any more weight than what was removed. The F/A-18D(RC) installation solution required innovations in mounting, cooling, and fit techniques, which made constant trade study essential. The successful installation in the F/A-18D(RC) is the result of coupling fundamental design engineering with brainstorming and nonstandard approaches to every situation. ATARS is sponsored by the Aeronautical Systems Division, Wright-Patterson AFB, Ohio. The F/A-18D(RC) installation is being funded to the Air Force by the Naval Air Systems Command, Washington, D.C.

  15. SOCS proteins in development and disease

    Science.gov (United States)

    Trengove, Monique C; Ward, Alister C

    2013-01-01

    Cytokine and growth factor signaling mediates essential roles in the differentiation, proliferation, survival and function of a number of cell lineages. This is achieved via specific receptors located on the surface of target cells, with ligand binding activating key intracellular signal transduction cascades to mediate the requisite cellular outcome. Effective resolution of receptor signaling is also essential, with excessive signaling having the potential for pathological consequences. The Suppressor of cytokine signaling (SOCS) family of proteins represent one important mechanism to extinguish cytokine and growth factor receptor signaling. There are 8 SOCS proteins in mammals; SOCS1-7 and the alternatively named Cytokine-inducible SH2-containing protein (CISH). SOCS1-3 and CISH are predominantly associated with the regulation of cytokine receptor signaling, while SOCS4-7 are more commonly involved in the control of Receptor tyrosine kinase (RTK) signaling. Individual SOCS proteins are typically induced by specific cytokines and growth factors, thereby generating a negative feedback loop. As a consequence of their regulatory properties, SOCS proteins have important functions in development and homeostasis, with increasing recognition of their role in disease, particularly their tumor suppressor and anti-inflammatory functions. This review provides a synthesis of our current understanding of the SOCS family, with an emphasis on their immune and hematopoietic roles. PMID:23885323

  16. La arquitectura tardía de Frank Lloyd Wright, el primer maestro moderno

    OpenAIRE

    González Capitel, Antón

    1996-01-01

    1. La arquitectura tardía de Frank Lloyd Wright, el primer maestro moderno l. l. Un Wright renovado. Talleres y residencias l. l. l. Nuevas casas de Wright en su segunda «edad de oro»: un neoplasticismo orgánico en la casa de la Cascada l. l. 2. Geometrías y disposiciones alternativas l. 2. Arquitectura y ciudad orgánicas 1. 2. 1. El desarrollo de la arquitectura orgánica 1. 3. Arquitecturas relacionadas con la de Frank Lloyd Wright entre los emigrados europeos: Schindler y...

  17. Management of Contracts for F110 Engine Procurements

    National Research Council Canada - National Science Library

    Granetto, Paul

    2001-01-01

    This audit was performed in response to an allegation to the Defense Hotline that the Wright-Patterson Air Force Base Procurement Contracting Office made poor procurement decisions on F110 aircraft engine contracts...

  18. A Dictionary of Acquisition and Contracting Terms

    National Research Council Canada - National Science Library

    Colyer, Timothy

    1997-01-01

    This thesis is part of a joint research project between stndents of the Naval Postgraduate School in Monterey, CA and the Air Force Institute of Technology, Wright- Patterson Air Force Base, Dayton, OH...

  19. Environmental Assessment, Minuteman III and Peacekeeper Silo Elimination, Malmstrom AFB, Montana; F. E. Warren AFB, Wyoming; and Vandenberg AFB, California

    Science.gov (United States)

    2013-05-01

    Baccharis pilularis), California sagebrush (Artemisia californica), and poison hemlock ( Conium maculatum ) are common species in the area (Vandenberg AFB...spotted bat (Euderma maculatum ) and Preble’s shrew (Sorex preblei). Habitat for the spotted bat is most often in rough, rocky, semiarid, and arid

  20. Expression of the SOCS family in human chronic wound tissues: Potential implications for SOCS in chronic wound healing

    Science.gov (United States)

    Feng, Yi; Sanders, Andrew J.; Ruge, Fiona; Morris, Ceri-Ann; Harding, Keith G.; Jiang, Wen G.

    2016-01-01

    Cytokines play important roles in the wound healing process through various signalling pathways. The JAK-STAT pathway is utilised by most cytokines for signal transduction and is regulated by a variety of molecules, including suppressor of cytokine signalling (SOCS) proteins. SOCS are associated with inflammatory diseases and have an impact on cytokines, growth factors and key cell types involved in the wound-healing process. SOCS, a negative regulator of cytokine signalling, may hold the potential to regulate cytokine-induced signalling in the chronic wound-healing process. Wound edge tissues were collected from chronic venous leg ulcer patients and classified as non-healing and healing wounds. The expression pattern of seven SOCSs members, at the transcript and protein level, were examined in these tissues using qPCR and immunohistochemistry. Significantly higher levels of SOCS3 (P=0.0284) and SOCS4 (P=0.0376) in non-healing chronic wounds compared to the healing/healed chronic wounds were observed at the transcript level. Relocalisation of SOCS3 protein in the non-healing wound environment was evident in the investigated chronic biopsies. Thus, the results show that the expression of SOCS transcript indicated that SOCS members may act as a prognostic biomarker of chronic wounds. PMID:27635428

  1. Structural disorder in the decagonal Al-Co-Ni. I. Patterson analysis of diffuse x-ray scattering data

    International Nuclear Information System (INIS)

    Kobas, Miroslav; Weber, Thomas; Steurer, Walter

    2005-01-01

    The three-dimensional (3D) difference Patterson (autocorrelation) function of a disordered quasicrystal (Edagawa phase) has been analyzed. 3D diffuse x-ray diffraction data were collected in situ at 300, 1070, and 1120 K. A method, the punch-and-fill technique, has been developed for separating diffuse scattering and Bragg reflections. Its potential and limits are discussed in detail. The different Patterson maps are interpreted in terms of intercluster correlations as a function of temperature. Both at high and low temperatures, the clusters decorate the vertices of the same quasiperiodic covering. At low temperatures, for the disordered part of the structure, short-range intercluster correlations are present, whereas at higher temperatures, medium-range intercluster correlations are formed. This indicates disorder mainly inside clusters at low temperatures, whereas at higher temperatures disorder takes place inside larger superclusters. Qualitatively, the Patterson maps may be interpreted by intercluster correlations mainly inside pentagonal superclusters below 1120 K, and inside the larger decagonal superclusters at 1120 K. The results of our diffraction study are published in two parts. Part I focuses on the 3D Patterson analysis based on experimental data, Part II reports modeling of structural disorder in decagonal Al-Co-Ni

  2. SOCS2 and SOCS3 expression in ulcerative colitis and their correlation with inflammatory response and immune response

    Directory of Open Access Journals (Sweden)

    Le Huang1

    2017-05-01

    Full Text Available Objective: To study the correlation of SOCS2 and SOCS3 expression in ulcerative colitis tissue with inflammatory response and immune response. Methods: Ulcerative colitis lesions and normal mucosa from colonoscopic biopsy in Central Hospital of Zibo Mining Refco Group Ltd between May 2014 and July 2016 were selected and enrolled in UC group and control group respectively. RNA was extracted to determine mRNA expression of SOCS2 and SOCS3 as well as inflammatory response JAKs/STATs pathway molecules; protein was extracted to determine the contents of immune response cytokines. Results: SOCS2 mRNA expression in intestinal mucosa of UC group was not significantly different from that of control group, and SOCS3 mRNA expression was significantly lower than that of control group; JAK1, JAK2, JAK3, STAT1, STAT3 and STAT5 mRNA expression as well as IFN-γ and IL-17 protein contents in intestinal mucosa of UC group were significantly higher than those of control group while IL-4 and IL-10 protein contents were significantly lower than those of control group; JAK1, JAK2, JAK3, STAT1, STAT3 and STAT5 mRNA expression as well as IFN-γ and IL-17 protein contents in UC group of intestinal mucosa with low SOCS3 expression were significantly higher than those of intestinal mucosa with high SOCS3 expression while IL-4 and IL-10 protein contents were significantly lower than those of intestinal mucosa with high SOCS3 expression. Conclusion: Low expression of SOCS3 in ulcerative colitis can aggravate the inflammatory reaction and cause the imbalance of Th1/Th2 and Th17/Treg immune response.

  3. The Seated Soldier Study: Posture and Body Shape in Vehicle Seats

    Science.gov (United States)

    2013-10-31

    lasers in four towers arranged in a square create a line on the subject as they move synchronously from top to bottom. Two cameras on each of the four...of the scanner being assembled and the scanner draped with curtains to control light and provide privacy. UNCLASSIFIED UNCLASSIFIED 22 Figure 16...with special reference to the limbs. WADC Technical Report No. 55-159. Wright-Patterson Air Force Base, OH: Wright Air Development Center

  4. Modern housing design: prefabricated and modular design in Frank Lloyd Wright's architecture

    Directory of Open Access Journals (Sweden)

    Ana Tagliari

    2011-12-01

    Full Text Available This paper investigates the residential architecture of Frank Lloyd Wright, especially the designs which were conceived from an idea of prefabricated, modular, low-cost, and high-scale construction. Wright's organic designs originated from a material-based grid, which at the same time organized and provided freedom to create spaces and forms. This study reviews Wright's work, from his first Midwest designs that relied on brick, through an intermediary phase in California when he made intense use of concrete blocks, until his last phase, the usonian houses, which featured wood paneling. During his early career, the concept and the methodology of Wright's ideas greatly contributed to a better understanding of his architecture, his apprentices and his followers. The economy and rationalization found in the projects reviewed are of great importance as the analysis of historical proposals helps us understand the topic in question.

  5. EXPRESSION OF SOCS3 AND SOCS5 MRNAS IN PERIPHERAL BLOOD MONONUCLEARS FROM THE PATIENTS WITH BRONCHIAL ASTHMA

    Directory of Open Access Journals (Sweden)

    V. V. Lim

    2014-01-01

    Full Text Available We observed sixty patients with allergic bronchial asthma (ABA and 54 with non-allergic bronchial asthma (NABA. Quantitative SOCS3 and SOCS5 mRNA expression was evaluated by means of real-time PCR. Eighteen healthy persons served as a control group. In patients with bronchial asthma (irrespectively of pathogenetic form, a significant increase of SOCS3 transcription factor expression was detected in peripheral blood mononuclears, as compared with control group. This increase was more pronounced in NABA group. The mRNA SOCS5 level was significantly decreased in bronchial asthma patients, as compared to control group, especially, in ABA subgroup rather than in NABA patients. Thus, an increased expression of SOCS3 mRNA in BA patients could be regarded as a protective antiinflammatory response Decrease of SOCS5 mRNA expression in patients with bronchial asthma (being more pronounced in ABA, may be indicative for a deficiency in negative feedback regulation of gene transcription in allergic bronchial asthma.

  6. 75 FR 80669 - Wright Brothers Day, 2010

    Science.gov (United States)

    2010-12-22

    ... curious minds eager to transform and advance the world around them. Just as the Wright brothers... making great progress in ushering in a new commercial space industry that can help boost our economy...

  7. Looking and Learning: The Solomon R. Guggenheim Museum, Frank Lloyd Wright

    Science.gov (United States)

    Vatsky, Sharon

    2007-01-01

    Frank Lloyd Wright was born and raised on the farmlands of Wisconsin. His mother had a vision that her son would become a great architect. Wright was raised with strong guiding principles, a love of nature, a belief in the unity of all things, and a respect for discipline and hard work. He created the philosophy of "organic architecture," which…

  8. AFB/open cycle gas turbine conceptual design study

    Science.gov (United States)

    Dickinson, T. W.; Tashjian, R.

    1983-09-01

    Applications of coal fired atmospheric fluidized bed gas turbine systems in industrial cogeneration are identified. Based on site-specific conceptual designs, the potential benefits of the AFB/gas turbine system were compared with an atmospheric fluidized design steam boiler/steam turbine system. The application of these cogeneration systems at four industrial plant sites is reviewed. A performance and benefit analysis was made along with a study of the representativeness of the sites both in regard to their own industry and compared to industry as a whole. A site was selected for the conceptual design, which included detailed site definition, AFB/gas turbine and AFB/steam turbine cogeneration system designs, detailed cost estimates, and comparative performance and benefit analysis. Market and benefit analyses identified the potential market penetration for the cogeneration technologies and quantified the potential benefits.

  9. Rehabilitation R@D Progress Reports, 1992-1993. Volume 30-31

    Science.gov (United States)

    1993-01-01

    Armstrong Laboratory AL/CFBS, Wright Patterson Air Force Base, Dayton, OH 45433; School of Biomedical and Human Factors Engineering, Wright State...Ruth Gannon, MS; Herbert Andrew Leeper, PhD; Nancy Thomas-Stonell, BSc, DSP; Philip Doyle, PhD; Morris Milner, PhD; Ava-Lee Kotler , MSc Hugh MacMillan...Korres E 281 Kotler A 289 Kozak K 213 Kraft MR 371 Krag MH 216 Krajbich I 35 Kralj A 86 Krick H 8 481 Author Index Krouskop T 176 Kula J 215

  10. Air Force Research Laboratory Sensors Directorate Leadership Legacy, 1960-2011

    Science.gov (United States)

    2011-03-01

    Area, Tinker AFB, Okla- homa . The mission of this 200 engineer organization was providing engineering sup- port to the current operational fleet...advanced development of ESM, ELINT, IR warning receiver technology. 1980-1984 Avionics Directorate, Air Force Wright Laboratory, WPAFB, OH - Chief...Wright Laboratory, WPAFB, OH - Deputy Chief of Electronic Warfare Division. Major technology areas included RF/ IR /EO/ COM countermeasures, stealth

  11. Intertwining of the Wright-Fisher diffusion

    Czech Academy of Sciences Publication Activity Database

    Hudec, Tobiáš

    2017-01-01

    Roč. 53, č. 4 (2017), s. 730-746 ISSN 0023-5954 Institutional support: RVO:67985556 Keywords : Intertwining of Markov processes * Wright-Fisher diffusion * pure birth process Subject RIV: BA - General Mathematics OBOR OECD: Statistics and probability Impact factor: 0.379, year: 2016 http://library.utia.cas.cz/separaty/2017/SI/hudec-0481495.pdf

  12. Ergonomic and anthropometric issues of the forward Apache crew station

    NARCIS (Netherlands)

    Oudenhuijzen, A.J.K.

    1999-01-01

    This paper describes the anthropometric accommodation in the Apache crew systems. These activities are part of a comprehensive project, in a cooperative effort from the Armstrong Laboratory at Wright Patterson Air Force Base (Dayton, Ohio, USA) and TNO Human Factors Research Institute (TNO HFRI) in

  13. An engineering code to analyze hypersonic thermal management systems

    Science.gov (United States)

    Vangriethuysen, Valerie J.; Wallace, Clark E.

    1993-01-01

    Thermal loads on current and future aircraft are increasing and as a result are stressing the energy collection, control, and dissipation capabilities of current thermal management systems and technology. The thermal loads for hypersonic vehicles will be no exception. In fact, with their projected high heat loads and fluxes, hypersonic vehicles are a prime example of systems that will require thermal management systems (TMS) that have been optimized and integrated with the entire vehicle to the maximum extent possible during the initial design stages. This will not only be to meet operational requirements, but also to fulfill weight and performance constraints in order for the vehicle to takeoff and complete its mission successfully. To meet this challenge, the TMS can no longer be two or more entirely independent systems, nor can thermal management be an after thought in the design process, the typical pervasive approach in the past. Instead, a TMS that was integrated throughout the entire vehicle and subsequently optimized will be required. To accomplish this, a method that iteratively optimizes the TMS throughout the vehicle will not only be highly desirable, but advantageous in order to reduce the manhours normally required to conduct the necessary tradeoff studies and comparisons. A thermal management engineering computer code that is under development and being managed at Wright Laboratory, Wright-Patterson AFB, is discussed. The primary goal of the code is to aid in the development of a hypersonic vehicle TMS that has been optimized and integrated on a total vehicle basis.

  14. Demographic monitoring of Wright fishhook cactus

    Science.gov (United States)

    Ronald J. Kass

    2001-01-01

    Wright fishhook cactus (Sclerocactus wrightiae Benson) is a small barrel cactus endemic to the San Rafael Swell in south-central Utah. It was listed as an endangered species in 1979 due to its small population size, threats of over-collecting, and development associated with oil and gas. Demographic monitoring was initiated in 1993 with the following objectives: to...

  15. Exact simulation of conditioned Wright-Fisher models.

    Science.gov (United States)

    Zhao, Lei; Lascoux, Martin; Waxman, David

    2014-12-21

    Forward and backward simulations play an increasing role in population genetics, in particular when inferring the relative importance of evolutionary forces. It is therefore important to develop fast and accurate simulation methods for general population genetics models. Here we present an exact simulation method that generates trajectories of an allele׳s frequency in a finite population, as described by a general Wright-Fisher model. The method generates conditioned trajectories that start from a known frequency at a known time, and which achieve a specific final frequency at a known final time. The simulation method applies irrespective of the smallness of the probability of the transition between the initial and final states, because it is not based on rejection of trajectories. We illustrate the method on several different populations where a Wright-Fisher model (or related) applies, namely (i) a locus with 2 alleles, that is subject to selection and mutation; (ii) a locus with 3 alleles, that is subject to selection; (iii) a locus in a metapopulation consisting of two subpopulations of finite size, that are subject to selection and migration. The simulation method allows the generation of conditioned trajectories that can be used for the purposes of visualisation, the estimation of summary statistics, and the development/testing of new inferential methods. The simulated trajectories provide a very simple approach to estimating quantities that cannot easily be expressed in terms of the transition matrix, and can be applied to finite Markov chains other than the Wright-Fisher model. Copyright © 2014 Elsevier Ltd. All rights reserved.

  16. Award for Distinguished Contributions to Research in Public Policy: Charlotte J. Patterson

    Science.gov (United States)

    American Psychologist, 2009

    2009-01-01

    Charlotte J. Patterson, winner of the Award for Distinguished Contributions to Research in Public Policy, is cited as the world's expert on psychological research on children and youths raised by lesbian and gay parents. Her early analytic syntheses of the literature on the subject greatly influenced other researchers in child and family…

  17. Wilbur and Orville Wright: A Bibliography Commemorating the One-Hundredth Anniversary of the First Powered Flight, December 17, 1903. Revised

    Science.gov (United States)

    Renstrom, Arthur G. (Compiler); Goldblatt, Roberta W.; Minkus, Carl; Berube, Karen L.; Launius, Roger (Technical Monitor)

    2002-01-01

    This annotated bibliography of material about Wilbur and Orville Wright and the first powered flight, commemorates the one hundredth anniversary of the event. This publication represents an updated version of the classic, "Wilbur and Orville Wright: A Bibliography Commemorating the Hundredth Anniversary of the Birth of Wilbur Wright, April 16, 1867" which was originally published in 1968. Aspects of the Wright brothers' lives covered include: their published writings, biographical references, airplanes used and flight records, airplane components, patents, court records, Wright companies and schools, the Wright-Smithsonian controversy, monuments and museums, memorials, medals and honors, memorabilia, art, poetry, music, motion pictures and juvenile publications. An index is included.

  18. A Generalized SOC-OCV Model for Lithium-Ion Batteries and the SOC Estimation for LNMCO Battery

    Directory of Open Access Journals (Sweden)

    Caiping Zhang

    2016-11-01

    Full Text Available A state-of-charge (SOC versus open-circuit-voltage (OCV model developed for batteries should preferably be simple, especially for real-time SOC estimation. It should also be capable of representing different types of lithium-ion batteries (LIBs, regardless of temperature change and battery degradation. It must therefore be generic, robust and adaptive, in addition to being accurate. These challenges have now been addressed by proposing a generalized SOC-OCV model for representing a few most widely used LIBs. The model is developed from analyzing electrochemical processes of the LIBs, before arriving at the sum of a logarithmic, a linear and an exponential function with six parameters. Values for these parameters are determined by a nonlinear estimation algorithm, which progressively shows that only four parameters need to be updated in real time. The remaining two parameters can be kept constant, regardless of temperature change and aging. Fitting errors demonstrated with different types of LIBs have been found to be within 0.5%. The proposed model is thus accurate, and can be flexibly applied to different LIBs, as verified by hardware-in-the-loop simulation designed for real-time SOC estimation.

  19. Single and simultaneous binary mergers in Wright-Fisher genealogies.

    Science.gov (United States)

    Melfi, Andrew; Viswanath, Divakar

    2018-05-01

    The Kingman coalescent is a commonly used model in genetics, which is often justified with reference to the Wright-Fisher (WF) model. Current proofs of convergence of WF and other models to the Kingman coalescent assume a constant sample size. However, sample sizes have become quite large in human genetics. Therefore, we develop a convergence theory that allows the sample size to increase with population size. If the haploid population size is N and the sample size is N 1∕3-ϵ , ϵ>0, we prove that Wright-Fisher genealogies involve at most a single binary merger in each generation with probability converging to 1 in the limit of large N. Single binary merger or no merger in each generation of the genealogy implies that the Kingman partition distribution is obtained exactly. If the sample size is N 1∕2-ϵ , Wright-Fisher genealogies may involve simultaneous binary mergers in a single generation but do not involve triple mergers in the large N limit. The asymptotic theory is verified using numerical calculations. Variable population sizes are handled algorithmically. It is found that even distant bottlenecks can increase the probability of triple mergers as well as simultaneous binary mergers in WF genealogies. Copyright © 2018 Elsevier Inc. All rights reserved.

  20. SOCS-1 deficiency does not prevent diet-induced insulin resistance

    DEFF Research Database (Denmark)

    Emanuelli, Brice; Macotela, Yazmin; Boucher, Jérémie

    2008-01-01

    Obesity is associated with inflammation and increased expression of suppressor of cytokine signaling (SOCS) proteins, which inhibit cytokine and insulin signaling. Thus, reducing SOCS expression could prevent the development of obesity-induced insulin resistance. Using SOCS-1 knockout mice, we...... investigated the contribution of SOCS-1 in the development of insulin resistance induced by a high-fat diet (HFD). SOCS-1 knockout mice on HFD gained 70% more weight, displayed a 2.3-fold increase in epididymal fat pads mass and increased hepatic lipid content. This was accompanied by increased mRNA expression...... of leptin and the macrophage marker CD68 in white adipose tissue and of SREBP1c and FAS in liver. HFD also induced hyperglycemia in SOCS-1 deficient mice with impairment of glucose and insulin tolerance tests. Thus, despite the role of SOCS proteins in obesity-related insulin resistance, SOCS-1 deficiency...

  1. Particulate Characterization and Control Evaluation for Carbon Fiber Composite Aircraft Crash Recovery Operations

    Science.gov (United States)

    2010-03-01

    Advanced Composite Office, Wright-Patterson BEE Flight, and USAFSAM for their help procuring the materials and supplies needed to perform this study...that would occur during an aircraft crash. The JP-8 was then 26 ignited with a butane lighter and allowed to burn to extinction . A burning ACM

  2. Toxic Hazards Research Unit Annual Technical Report: 1985

    Science.gov (United States)

    1985-09-01

    varnish makers’ and painters’ naphtha, Toxicol. Appl. Pharmacol., 32:263-281. Carpenter, C. P.. E. R. Kinkead, D. L. Geary, L. J. Sullivan, Jr., and J...and Pharmacology of Inorganic and Fluorine Contairnin Compounds, AMRL-TR-67-224, Aerospace Medical Research Laboiatory, Wright-Patterson Air Force Base

  3. TARGETED ANALYSIS OF JAK-STAT-SOCS GENES IN DAIRY CATTLE

    Directory of Open Access Journals (Sweden)

    Arun Sondur Jayappa

    2015-12-01

    Full Text Available The Janus kinase and signal transducer and activator of transcription (JAK-STAT pathway genes along with suppressors of cytokine signalling (SOCS family genes play a crucial role in controlling cytokine signals in the mammary gland and thus mammary gland development. Mammary gene expression studies showed differential expression patterns for all the JAK-STAT pathway genes. Gene expression studies using qRT-PCR revealed differential expression of SOCS2, SOCS4 and SOCS5 genes across the lactation cycle in dairy cows. Using genotypes from 1,546 Australian Holstein- Friesian bulls, a statistical model based on SNPs within 500kb of JAK-STAT pathway genes, and SOCS genes alone was carried out. The analysis suggested that these genes and pathways make a significant contribution to the Australian milk production traits. Selection of 24 SNPs close to SOCS1, SOCS3, SOCS5, SOCS7 and CISH genes were significantly associated with, Australian Profit Ranking (APR, Australian Selection Index (ASI and protein yield (PY. This study supports the view that there may be some merit in choosing SNPs around functionally relevant genes for the selection and genetic improvement schemes for dairy production traits.

  4. 76 FR 79019 - Wright Brothers Day, 2011

    Science.gov (United States)

    2011-12-20

    ... with earnings from their bicycle shop, gathering data on wing shape using a home-built wind tunnel and... the Wright brothers over a century ago. To reaffirm our role as the engine that drives science and..., must we press onward, exploring new frontiers of science, technology, and imagination in pursuit of a...

  5. 78 FR 76969 - Wright Brothers Day, 2013

    Science.gov (United States)

    2013-12-19

    ... champion STEM education in their communities. As we remember the Wright brothers, let us not forget another... results. That is why my Administration is dedicated to improving education in the vital fields of science... underrepresented groups, and through Race to the Top, we are raising standards and making STEM education a priority...

  6. Settling-velocity specific SOC distribution on hillslopes

    DEFF Research Database (Denmark)

    Hu, Yaxian; Berhe, Asmeret Asefaw; Fogel, Marilyn L.

    The net effect of soil erosion by water, as a sink or source of atmospheric CO2, is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC). The depositional position of eroded SOC is a function of the transport distances of soil fractions where the SOC...... fractions. Eroding sandy soils and sediment were sampled after a series of rainfall events along a slope on a freshly seeded cropland in Jutland, Denmark. All the soil samples were fractionated into five settling classes using a settling tube apparatus. The spatial distribution of soil settling classes...... shows a coarsening effect immediately below the eroding slope, followed by a fining trend at the slope tail. The 13C values of soil fractions were more positive at the footslope than on the slope shoulder or at the slope tail, suggesting enhanced decomposition rate of fresh SOC input at the footslope...

  7. Correlation between the model accuracy and model-based SOC estimation

    International Nuclear Information System (INIS)

    Wang, Qianqian; Wang, Jiao; Zhao, Pengju; Kang, Jianqiang; Yan, Few; Du, Changqing

    2017-01-01

    State-of-charge (SOC) estimation is a core technology for battery management systems. Considerable progress has been achieved in the study of SOC estimation algorithms, especially the algorithm on the basis of Kalman filter to meet the increasing demand of model-based battery management systems. The Kalman filter weakens the influence of white noise and initial error during SOC estimation but cannot eliminate the existing error of the battery model itself. As such, the accuracy of SOC estimation is directly related to the accuracy of the battery model. Thus far, the quantitative relationship between model accuracy and model-based SOC estimation remains unknown. This study summarizes three equivalent circuit lithium-ion battery models, namely, Thevenin, PNGV, and DP models. The model parameters are identified through hybrid pulse power characterization test. The three models are evaluated, and SOC estimation conducted by EKF-Ah method under three operating conditions are quantitatively studied. The regression and correlation of the standard deviation and normalized RMSE are studied and compared between the model error and the SOC estimation error. These parameters exhibit a strong linear relationship. Results indicate that the model accuracy affects the SOC estimation accuracy mainly in two ways: dispersion of the frequency distribution of the error and the overall level of the error. On the basis of the relationship between model error and SOC estimation error, our study provides a strategy for selecting a suitable cell model to meet the requirements of SOC precision using Kalman filter.

  8. Aircraft Derived Low Level Winds and Upwelling Off the Peruvian Cost during March, April, and May 1977.

    Science.gov (United States)

    1979-08-01

    Force Institute of Technology (ATC) ~ vih -Patterson AFB,. OH 45433 19. KEY WORDS (Continue on reverse side if necessary and identify by block number) 20...per- manent high located west of Chile drives the consistent southerly winds, while the more variable ocean currents pro- vide the colder subsurface

  9. SOCS-1 localizes to the microtubule organizing complex-associated 20S proteasome.

    Science.gov (United States)

    Vuong, Bao Q; Arenzana, Teresita L; Showalter, Brian M; Losman, Julie; Chen, X Peter; Mostecki, Justin; Banks, Alexander S; Limnander, Andre; Fernandez, Neil; Rothman, Paul B

    2004-10-01

    The regulation of cytokine signaling is critical for controlling cellular proliferation and activation during an immune response. SOCS-1 is a potent inhibitor of Jak kinase activity and of signaling initiated by several cytokines. SOCS-1 protein levels are tightly regulated, and recent data suggest that SOCS-1 may regulate the protein levels of some signaling proteins by the ubiquitin proteasome pathway; however, the cellular mechanism by which SOCS-1 directs proteins for degradation is unknown. In this report, SOCS-1 is found to colocalize and biochemically copurify with the microtubule organizing complex (MTOC) and its associated 20S proteasome. The SOCS-1 SH2 domain is required for the localization of SOCS-1 to the MTOC. Overexpression of SOCS-1 targets Jak1 in an SH2-dependent manner to a perinuclear distribution resembling the MTOC-associated 20S proteasome. Analysis of MTOCs fractionated from SOCS-1-deficient cells demonstrates that SOCS-1 may function redundantly to regulate the localization of Jak1 to the MTOC. Nocodazole inhibits the protein turnover of SOCS-1, demonstrating that the minus-end transport of SOCS-1 to the MTOC-associated 20S proteasome is required to regulate SOCS-1 protein levels. These data link SOCS-1 directly with the proteasome pathway and suggest another function for the SH2 domain of SOCS-1 in the regulation of Jak/STAT signaling.

  10. Interleaved Subtask Scheduling on Multi Processor SOC

    NARCIS (Netherlands)

    Zhe, M.

    2006-01-01

    The ever-progressing semiconductor processing technique has integrated more and more embedded processors on a single system-on-achip (SoC). With such powerful SoC platforms, and also due to the stringent time-to-market deadlines, many functionalities which used to be implemented in ASICs are

  11. The Wright Brothers and their First Flight

    Indian Academy of Sciences (India)

    Home; Journals; Resonance – Journal of Science Education; Volume 8; Issue 12. The Wright Brothers and their First Flight. O N Ramesh. Article-in-a-Box Volume 8 Issue 12 December 2003 pp 3-4. Fulltext. Click here to view fulltext PDF. Permanent link: https://www.ias.ac.in/article/fulltext/reso/008/12/0003-0004 ...

  12. Renormalization analysis of catalytic Wright-Fisher diffusions

    Czech Academy of Sciences Publication Activity Database

    Swart, Jan M.; Fleischmann, K.

    2006-01-01

    Roč. 2006, č. 11 (2006), s. 585-654 ISSN 1083-6489 R&D Projects: GA ČR GA201/06/1323 Institutional research plan: CEZ:AV0Z10750506 Keywords : renormalization * catalytic Wright-Fisher diffusion * embedded particle system * extinction * unbounded growth * interacting diffusions * universality Subject RIV: BA - General Mathematics Impact factor: 0.676, year: 2006

  13. The Wright Science Colloquia Entering the Nano-World

    CERN Multimedia

    2002-01-01

    In 1965, Intel co-founder Gordon Moore made an astonishing prediction - that every two years processing power would double. This prediction has always proved accurate. Accordingly, the first Intel processor produced in 1975 was equipped with 2,300 transistors whereas the latest edition has 55 million. Today we have this extraordinary process of miniaturisation to thank for cell phones, computers and other, ever more compact electronic marvels. But where will the miniaturisation race end? What will happen when electronic etchings reach the size of an atom? These questions are on the programme of the 10th Wright Science Colloquia from 18 to 22 November. Five world-renowned specialists will explain to the general public the saga of miniaturisation. The Wright Colloquia take place every two years in Geneva and aim to inform the general public about the latest advances in science.   Monday 18 November: 'Shaping the World at the Atomic Scale', by Donald Eigler, IBM, Almaden, USA.   Tuesday 19...

  14. An Analysis of the Predicted Benefits of Multi-Year Procurement

    Science.gov (United States)

    1982-09-01

    concerned with these issues. The researchers were especially concerned with model sensitivity to parameters used in the financial sector. Model validation is...TECHNOLOGY (ATC) WRIGHT-PATTERSON AIR FORCE BASE, OH 45433 qEK•Y TO RrNov O i 5 April 1982 suata Survey of Educacion With Industry Students To LS I fully

  15. Defective interleukin-4/Stat6 activity correlates with increased constitutive expression of negative regulators SOCS-3, SOCS-7, and CISH in colon cancer cells.

    Science.gov (United States)

    Liu, Xiao Hong; Xu, Shuang Bing; Yuan, Jia; Li, Ben Hui; Zhang, Yan; Yuan, Qin; Li, Pin Dong; Li, Feng; Zhang, Wen Jie

    2009-12-01

    Interleukin-4 (IL-4)-induced Stat6 activities (phenotypes) vary among human cancer cells, of which the HT-29 cell line carries an active Stat6(high) phenotype, while Caco-2 carries a defective Stat6(null) phenotype, respectively. Cancer cells with Stat6(high) show resistance to apoptosis and exaggerated metastasis, suggesting the clinical significance of Stat6 phenotypes. We previously showed that Stat6(high) HT-29 cells exhibited low constitutive expression of Stat6-negative regulators SOCS-1 and SHP-1 because of gene hypermethylation. This study further examined the constitutive expression of other closely related SOCS family numbers including SOCS-3, SOCS-5, SOCS-7, and CISH using RT-PCR. Similar to SOCS-1 and SHP-1, Stat6(high) HT-29 cells expressed low constitutive mRNA of SOCS-3, SOCS-7, and CISH than Stat6(null) Caco-2 cells. Interestingly, DNA demethylation using 5-aza-2'-deoxycytidine in HT-29 cells up-regulated mRNA expression of the above genes, indicating a hypermethylation status, which was confirmed by methylation-specific sequencing in selected SOCS-3 gene. Furthermore, defective Stat6(null) Caco-2 exhibited impaired phosphorylation of Stat6 after IL-4 stimulation by flow cytometry, in keeping with the notion of an over-performed negative regulation. The findings that IL-4/Stat6 phenotypes show differential expression of multiple negative regulators suggest a model that a collective force of powerful negative regulators, directly and indirectly, acts on Stat6 activation, which may result in differential Stat6 phenotypes.

  16. Artificial Intelligence in Space Platforms.

    Science.gov (United States)

    1984-12-01

    computer algorithms, there still appears to be a need for Artificial Inteligence techniques in the navigation area. The reason is that navigaion, in...RD-RI32 679 ARTIFICIAL INTELLIGENCE IN SPACE PLRTFORNSMU AIR FORCE 1/𔃼 INST OF TECH WRIGHT-PRTTERSON AFB OH SCHOOL OF ENGINEERING M A WRIGHT DEC 94...i4 Preface The purpose of this study was to analyze the feasibility of implementing Artificial Intelligence techniques to increase autonomy for

  17. Speech Understanding in Air Intercept Controller Training System Design.

    Science.gov (United States)

    1979-01-01

    Street MD 700 Utica, NY 13503chief MI Field Unit Mr. J. Michael Nyc, Pres identP.O. Box 476 Marketing Consultants Interna tional , Inc.Fort Rucker, AL... Researc h Lab Systems and Information Sciences Lab ~aman Engi neering Division Texas Instruments ~fright-Patterson AFB P. 0. Box 5936 Dayton, OH

  18. Man and his contribution to radiological protection -- a tribute to Wade Patterson

    Energy Technology Data Exchange (ETDEWEB)

    Thomas, R., LLNL

    1998-07-01

    Henry Wade Patterson died in Lakeview, Oregon, on 7 October 1997. With his passing, we lost not only one of the most significant figures of the health physics profession but a most personable colleague and friend. His career at the University of California, both at Berkeley and Livermore, spanned five decades and he was generally regarded to be the first professional accelerator health physicist.

  19. SPAD array based TOF SoC design for unmanned vehicle

    Science.gov (United States)

    Pan, An; Xu, Yuan; Xie, Gang; Huang, Zhiyu; Zheng, Yanghao; Shi, Weiwei

    2018-03-01

    As for the requirement of unmanned-vehicle mobile Lidar system, this paper presents a SoC design based on pulsed TOF depth image sensor. This SoC has a detection range of 300m and detecting resolution of 1.5cm. Pixels are made of SPAD. Meanwhile, SoC adopts a structure of multi-pixel sharing TDC, which significantly reduces chip area and improve the fill factor of light-sensing surface area. SoC integrates a TCSPC module to achieve the functionality of receiving each photon, measuring photon flight time and processing depth information in one chip. The SOC is designed in the SMIC 0.13μm CIS CMOS technology

  20. Research on SOC Calibration of Large Capacity Lead Acid Battery

    Science.gov (United States)

    Ye, W. Q.; Guo, Y. X.

    2018-05-01

    Large capacity lead-acid battery is used in track electric locomotive, and State of Charge (SOC) is an important quantitative parameter of locomotive power output and operating mileage of power emergency recovery vehicle. But State of Charge estimation has been a difficult part in the battery management system. In order to reduce the SOC estimation error better, this paper uses the linear relationship of Open Circuit Voltage (OCV) and State of Charge to fit the SOC-OCV curve equation by MATLAB. The method proposed in this paper is small, easy to implement and can be used in the battery non-working state SOC estimation correction, improve the estimation accuracy of SOC.

  1. Passion play: Will Wright and games for science learning

    Science.gov (United States)

    Ching, Dixie

    2012-12-01

    Researchers and instructional designers are exploring the possibilities of using video games to support STEM education in the U.S., not only because they are a popular media form among youth, but also because well-designed games often leverage the best features of inquiry learning. Those interested in using games in an educational capacity may benefit from an examination of the work of video game designer Will Wright. Wright designs through a constructivist lens and his open-ended, sandbox games ( SimCity, The Sims, Spore) present wide "possibility spaces" that allow players to exercise their critical thinking and problem solving skills. His games invoke a delight in discovery that inspire creative acts and interest-driven learning both during and outside of the game. Finally, he reminds us that failure-based learning is a viable strategy for building expertise and understanding.

  2. Favorable prognostic value of SOCS2 and IGF-I in breast cancer

    International Nuclear Information System (INIS)

    Haffner, Michael C; Petridou, Barbara; Peyrat, Jean Phillipe; Révillion, Françoise; Müller-Holzner, Elisabeth; Daxenbichler, Günter; Marth, Christian; Doppler, Wolfgang

    2007-01-01

    Suppressor of cytokine signaling (SOCS) proteins comprise a protein family, which has initially been described as STAT induced inhibitors of the Jak/Stat pathway. Recent in vivo and in vitro studies suggest that SOCS proteins are also implicated in cancer. The STAT5 induced IGF-I acts as an endocrine and para/autocrine growth and differentiation factor in mammary gland development. Whereas high levels of circulating IGF-I have been associated with increased cancer risk, the role of autocrine acting IGF-I is less clear. The present study is aimed to elucidate the clinicopathological features associated with SOCS1, SOCS2, SOCS3, CIS and IGF-I expression in breast cancer. We determined the mRNA expression levels of SOCS1, SOCS2, SOCS3, CIS and IGF-I in 89 primary breast cancers by reverse transcriptase PCR. SOCS2 protein expression was further evaluated by immuno-blot and immunohistochemistry. SOCS2 expression inversely correlated with histopathological grade and ER positive tumors exhibited higher SOCS2 levels. Patients with high SOCS2 expression lived significantly longer (108.7 vs. 77.7 months; P = 0.015) and high SOCS2 expression proved to be an independent predictor for good prognosis (HR = 0.45, 95% CI 0.23 – 0.91, P = 0.026). In analogy to SOCS2, high IGF-I expression was an independent predictor for good prognosis in the entire patient cohort. In the subgroup of patients with lymph-node negative disease, high IGF-I was a strong predictor for favorable outcome in terms of overall survival and relapse free survival (HR = 0.075, 95% CI 0.014 – 0.388, P = 0.002). This is the first report on the favorable prognostic value of high SOCS2 expression in primary mammary carcinomas. Furthermore a strong association of high IGF-I expression levels with good prognosis was observed especially in lymph-node negative patients. Our results suggest that high expression of the STAT5 target genes SOCS2 and IGF-I is a feature of differentiated and less malignant tumors

  3. Identification of suppressor of cytokine signalling (SOCS) 6, 7, 9 and CISH in rainbow trout Oncorhynchus mykiss and analysis of their expression in relation to other known trout SOCS.

    Science.gov (United States)

    Wang, Tiehui; Gao, Qian; Nie, Pin; Secombes, Christopher J

    2010-10-01

    Four new members of the SOCS family of molecules in rainbow trout (Oncorhynchus mykiss), CISH and SOCS6, 7 and 9, are described for the first time in this species. The genes had a wide tissue distribution in trout, and were detected in gills, skin, muscle, liver, spleen, head kidney, intestine and brain, with brain having the highest expression levels. Stimulation of a rainbow trout leucocyte cell line, RTS-11, (mononuclear/macrophage-like cells) with LPS or Poly I:C had no effect on the expression of these genes, although in both cases the previously identified SOCS1-3 genes were up-regulated. Similarly, stimulation of RTS-11 or RTG-2 (fibroblasts) cells with the trout recombinant cytokines IFN-gamma or IL-1beta had no effect on CISH or SOCS6, 7 and 9 expression. However, PMA stimulation did impact on SOCS6 and SOCS9 expression, and LPS stimulation of primary cultures or bacterial infection (Yersinia ruckeri) increased significantly CISH expression (as well as SOCS1 and SOCS2 or SOCS3 respectively). It is apparent that the type II SOCS genes (CISH, SOCS1-3) are particularly relevant to immune regulation in fish, although the intriguing expansion of the SOCS4/5 subgroup in fish requires further investigation as to their role and functional divergence. Copyright 2010 Elsevier Ltd. All rights reserved.

  4. 33 CFR 334.1130 - Pacific Ocean, Western Space and Missile Center (WSMC), Vandenberg AFB, Calif.; danger zones.

    Science.gov (United States)

    2010-07-01

    ... Missile Center (WSMC), Vandenberg AFB, Calif.; danger zones. 334.1130 Section 334.1130 Navigation and... RESTRICTED AREA REGULATIONS § 334.1130 Pacific Ocean, Western Space and Missile Center (WSMC), Vandenberg AFB... (WSMC) at Vandenberg AFB, California. (3) The impacting of missile debris from launch operations will...

  5. Scientific Research Program for Power, Energy, and Thermal Technologies. Task Order 0001: Power, Thermal and Control Technologies and Processes Experimental Research

    Science.gov (United States)

    2015-08-01

    a variable-speed screw compressor (Fairchild Controls Corporation) controlled by a Yaskawa A-1000 motor drive. Screw compressors are appropriate...public release; distribution unlimited. See additional restrictions described on inside pages STINFO COPY AIR FORCE...RESEARCH LABORATORY AEROSPACE SYSTEMS DIRECTORATE WRIGHT-PATTERSON AIR FORCE BASE, OH 45433-7541 AIR FORCE MATERIEL COMMAND UNITED STATES AIR FORCE

  6. Electrically Reconfigurable Liquid Crystalline Mirrors (Postprint)

    Science.gov (United States)

    2018-04-24

    focus on dynamic reconfiguration of the reflection band gap of polymer-stabilized cholesteric liquid crystals (PSCLCs). Recent research has reported the...public release: distribution unlimited. © 2018 AMERICAN CHEMICAL SOCIETY (STINFO COPY) AIR FORCE RESEARCH LABORATORY MATERIALS AND MANUFACTURING...MONITORING AGENCY ACRONYM(S) Air Force Research Laboratory Materials and Manufacturing Directorate Wright-Patterson Air Force Base, OH

  7. Favorable prognostic value of SOCS2 and IGF-I in breast cancer

    Directory of Open Access Journals (Sweden)

    Daxenbichler Günter

    2007-07-01

    Full Text Available Abstract Background Suppressor of cytokine signaling (SOCS proteins comprise a protein family, which has initially been described as STAT induced inhibitors of the Jak/Stat pathway. Recent in vivo and in vitro studies suggest that SOCS proteins are also implicated in cancer. The STAT5 induced IGF-I acts as an endocrine and para/autocrine growth and differentiation factor in mammary gland development. Whereas high levels of circulating IGF-I have been associated with increased cancer risk, the role of autocrine acting IGF-I is less clear. The present study is aimed to elucidate the clinicopathological features associated with SOCS1, SOCS2, SOCS3, CIS and IGF-I expression in breast cancer. Methods We determined the mRNA expression levels of SOCS1, SOCS2, SOCS3, CIS and IGF-I in 89 primary breast cancers by reverse transcriptase PCR. SOCS2 protein expression was further evaluated by immuno-blot and immunohistochemistry. Results SOCS2 expression inversely correlated with histopathological grade and ER positive tumors exhibited higher SOCS2 levels. Patients with high SOCS2 expression lived significantly longer (108.7 vs. 77.7 months; P = 0.015 and high SOCS2 expression proved to be an independent predictor for good prognosis (HR = 0.45, 95% CI 0.23 – 0.91, P = 0.026. In analogy to SOCS2, high IGF-I expression was an independent predictor for good prognosis in the entire patient cohort. In the subgroup of patients with lymph-node negative disease, high IGF-I was a strong predictor for favorable outcome in terms of overall survival and relapse free survival (HR = 0.075, 95% CI 0.014 – 0.388, P = 0.002. Conclusion This is the first report on the favorable prognostic value of high SOCS2 expression in primary mammary carcinomas. Furthermore a strong association of high IGF-I expression levels with good prognosis was observed especially in lymph-node negative patients. Our results suggest that high expression of the STAT5 target genes SOCS2 and IGF

  8. The Holiversity. A Perspective on the Wright Report.

    Science.gov (United States)

    Adelman, Howard

    After a decade of almost unlimited funds, the universities in Ontario are faced not only with declining enrollments but with an attack on their very function and role. The Wright Report, the final version of the Report of the Commission on Post-Secondary Education in Ontario, appeared early in 1973. It has engendered major controversy, and its…

  9. Process modeling of a reversible solid oxide cell (r-SOC) energy storage system utilizing commercially available SOC reactor

    International Nuclear Information System (INIS)

    Mottaghizadeh, Pegah; Santhanam, Srikanth; Heddrich, Marc P.; Friedrich, K. Andreas; Rinaldi, Fabio

    2017-01-01

    Highlights: • An electric energy storage system was developed based on a commercially available SOC reactor. • Heat generated in SOFC mode of r-SOC is utilized in SOEC operation of r-SOC using latent heat storage. • A round trip efficiency of 54.3% was reached for the reference system at atmospheric pressure. • An improved process system design achieved a round-trip efficiency of 60.4% at 25 bar. - Abstract: The increase of intermittent renewable energy contribution in power grids has urged us to seek means for temporal decoupling of electricity production and consumption. A reversible solid oxide cell (r-SOC) enables storage of surplus electricity through electrochemical reactions when it is in electrolysis mode. The reserved energy in form of chemical compounds is then converted to electricity when the cell operates as a fuel cell. A process system model was implemented using Aspen Plus® V8.8 based on a commercially available r-SOC reactor experimentally characterized at DLR. In this study a complete self-sustaining system configuration is designed by optimal thermal integration and balance of plant. Under reference conditions a round trip efficiency of 54.3% was achieved. Generated heat in fuel cell mode is exploited by latent heat storage tanks to enable endothermic operation of reactor in its electrolysis mode. In total, out of 100 units of thermal energy stored in heat storage tanks during fuel cell mode, 90% was utilized to offset heat demand of system in its electrolysis mode. Parametric analysis revealed the significance of heat storage tanks in thermal management even when reactor entered its exothermic mode of electrolysis. An improved process system design demonstrates a system round-trip efficiency of 60.4% at 25 bar.

  10. IL-10 and socs3 Are Predictive Biomarkers of Dengue Hemorrhagic Fever

    Directory of Open Access Journals (Sweden)

    Lilian Karem Flores-Mendoza

    2017-01-01

    Full Text Available Background. Cytokines play important roles in the physiopathology of dengue infection; therefore, the suppressors of cytokine signaling (socs that control the type and timing of cytokine functions could be involved in the origin of immune alterations in dengue. Objective. To explore the association of cytokine and socs levels with disease severity in dengue patients. Methods. Blood samples of 48 patients with confirmed dengue infection were analyzed. Amounts of interleukins IL-2, IL-4, IL-6, and IL-10, interferon- (IFN- γ, and tumor necrosis factor- (TNF- α were quantified by flow cytometry, and the relative expression of socs1 and socs3 mRNA was quantified by real-time RT-PCR. Results. Increased levels of IL-10 and socs3 and lower expression of socs1 were found in patients with dengue hemorrhagic fever (DHF with respect to those with dengue fever (DF (p199.8-fold, socs1 (134 pg/ml have the highest sensitivity and specificity to discriminate between DF and DHF. Conclusion. Simultaneous changes in IL-10 and socs1/socs3 could be used as prognostic biomarkers of dengue severity.

  11. Modulation of SOCS protein expression influences the interferon responsiveness of human melanoma cells

    International Nuclear Information System (INIS)

    Lesinski, Gregory B; Zimmerer, Jason M; Kreiner, Melanie; Trefry, John; Bill, Matthew A; Young, Gregory S; Becknell, Brian; Carson, William E III

    2010-01-01

    Endogenously produced interferons can regulate the growth of melanoma cells and are administered exogenously as therapeutic agents to patients with advanced cancer. We investigated the role of negative regulators of interferon signaling known as suppressors of cytokine signaling (SOCS) in mediating interferon-resistance in human melanoma cells. Basal and interferon-alpha (IFN-α) or interferon-gamma (IFN-γ)-induced expression of SOCS1 and SOCS3 proteins was evaluated by immunoblot analysis in a panel of n = 10 metastatic human melanoma cell lines, in human embryonic melanocytes (HEM), and radial or vertical growth phase melanoma cells. Over-expression of SOCS1 and SOCS3 proteins in melanoma cells was achieved using the PINCO retroviral vector, while siRNA were used to inhibit SOCS1 and SOCS3 expression. Tyr 701 -phosphorylated STAT1 (P-STAT1) was measured by intracellular flow cytometry and IFN-stimulated gene expression was measured by Real Time PCR. SOCS1 and SOCS3 proteins were expressed at basal levels in melanocytes and in all melanoma cell lines examined. Expression of the SOCS1 and SOCS3 proteins was also enhanced following stimulation of a subset of cell lines with IFN-α or IFN-γ. Over-expression of SOCS proteins in melanoma cell lines led to significant inhibition of Tyr 701 -phosphorylated STAT1 (P-STAT1) and gene expression following stimulation with IFN-α (IFIT2, OAS-1, ISG-15) or IFN-γ (IRF1). Conversely, siRNA inhibition of SOCS1 and SOCS3 expression in melanoma cells enhanced their responsiveness to interferon stimulation. These data demonstrate that SOCS proteins are expressed in human melanoma cell lines and their modulation can influence the responsiveness of melanoma cells to IFN-α and IFN-γ

  12. Proceedings of the Conference on Toxicology: Applications of Advances in Toxicology to Risk Assessment. Held at Wright-Patterson AFB, Ohio on 19-21 May 1992

    Science.gov (United States)

    1993-01-01

    assay is cellular inhibition. Cellular proliferation is essential to normal development and its interruption could lead to depressed growth and possibly...such as the FOB. One major conclusion from motor activity is that the acute effect of many chemicals is a depression of motor activity. Some... Acetylcysteine of cigarrette smoke- induced "bronchitis" in the rat. Exp. Lung Res. 10:267-283. 262 15. Snider, G.L., E.C. Lucey, T.G. Christensen, P.J. Stone

  13. Applying transport-distance specific SOC distribution to calibrate soil erosion model WaTEM

    Science.gov (United States)

    Hu, Yaxian; Heckrath, Goswin J.; Kuhn, Nikolaus J.

    2016-04-01

    Slope-scale soil erosion, transport and deposition fundamentally decide the spatial redistribution of eroded sediments in terrestrial and aquatic systems, which further affect the burial and decomposition of eroded SOC. However, comparisons of SOC contents between upper eroding slope and lower depositional site cannot fully reflect the movement of eroded SOC in-transit along hillslopes. The actual transport distance of eroded SOC is decided by its settling velocity. So far, the settling velocity distribution of eroded SOC is mostly calculated from mineral particle specific SOC distribution. Yet, soil is mostly eroded in form of aggregates, and the movement of aggregates differs significantly from individual mineral particles. This urges a SOC erodibility parameter based on actual transport distance distribution of eroded fractions to better calibrate soil erosion models. Previous field investigation on a freshly seeded cropland in Denmark has shown immediate deposition of fast settling soil fractions and the associated SOC at footslopes, followed by a fining trend at the slope tail. To further quantify the long-term effects of topography on erosional redistribution of eroded SOC, the actual transport-distance specific SOC distribution observed on the field was applied to a soil erosion model WaTEM (based on USLE). After integrating with local DEM, our calibrated model succeeded in locating the hotspots of enrichment/depletion of eroded SOC on different topographic positions, much better corresponding to the real-world field observation. By extrapolating into repeated erosion events, our projected results on the spatial distribution of eroded SOC are also adequately consistent with the SOC properties in the consecutive sample profiles along the slope.

  14. The simple art of SoC design

    CERN Document Server

    Keating, Michael

    2011-01-01

    This book tackles head-on the challenges of digital design in the era of billion-transistor SoCs. It discusses fundamental design concepts in design and coding required to produce robust, functionally correct designs. It also provides specific techniques for measuring and minimizing complexity in RTL code. Finally, it discusses the tradeoff between RTL and high-level (C-based) design and how tools and languages must progress to address the needs of tomorrow's SoC designs.

  15. Engineered Surfaces to Control Secondary Electron Yield for Multipactor Suppression

    Science.gov (United States)

    2017-09-14

    Air Force Institute of Technology AFIT Scholar Theses and Dissertations 9-14-2017 Engineered Surfaces to Control Secondary Electron Yield for...Multipactor Suppression James M. Sattler Follow this and additional works at: https://scholar.afit.edu/etd Part of the Electrical and Electronics Commons... TECHNOLOGY Wright-Patterson Air Force Base, Ohio DISTRIBUTION STATEMENT A. APPROVED FOR PUBLIC RELEASE; DISTRIBUTION UNLIMITED

  16. Cholesteric Liquid Crystal Glass Platinum Acetylides

    Science.gov (United States)

    2014-06-01

    M. Krein AFRL/RXAP Ronald F. Ziolo, Eduardo Arias, and Ivana Moggio 2Centro de Investigacion en Quimica Aplicada(CIQA) Albert Fratini... Quimica Aplicada(CIQA), Boulevard Enrique Reyna Albert Fratini - Department of Chemistry, University of Dayton Yuriy Garbovskiy and Anatoliy...Force Research Laboratory Materials and Manufacturing Directorate Wright-Patterson Air Force Base, OH 45433-7750 Centro de Investigacion en Quimica

  17. Air Force Officer Qualifying Test Form T: Initial Item-, Test-, Factor-, and Composite-Level Analyses

    Science.gov (United States)

    2016-12-01

    used to qualify applicants for ROTC and OTS officer commissioning programs. The Pilot, Combat Systems Officer (CSO), and Air Battle Manager ( ABM ...AIR FORCE RESEARCH LABORATORY 711 HUMAN PERFORMANCE WING, AIRMAN SYSTEMS DIRECTORATE, WRIGHT-PATTERSON AIR FORCE BASE, OH 45433 AIR FORCE MATERIEL...Warfighter Interface Division Airman Systems Directorate This report is published in the interest of scientific and technical information exchange, and

  18. Comprehensive Clinical Phenotyping & Genetic Mapping for the Discovery of Autism Susceptibility Genes

    Science.gov (United States)

    2012-12-05

    teaching students with autism spectrum disorders 4.52 Learn strategies for incorporating IEP goals and district standard into daily teaching...W403 Columbus, OH 43205 Final Report Comprehensive Clinical Phenotyping & Genetic Mapping for the Discovery of Autism Susceptibility Genes...QFOXGHDUHDFRGH 1.0 Summary In 2006, the Central Ohio Registry for Autism (CORA) was initiated as a collaboration between Wright-Patterson Air

  19. Application Development for Optimizing Patient Placement on Aeromedical Evacuation Flights: Proof-of-Concept

    Science.gov (United States)

    2018-01-12

    PROGRAM ELEMENT NUMBER 6. AUTHOR(S) Brittany Fouts, Jennifer Serres, Ray Hill, Frank Ciarallo 5d. PROJECT NUMBER 5e. TASK NUMBER 5f...APPENDIX A – Flowchart for Graphical Prototype ...................................................................... 9 APPENDIX B – Proof-of-Concept App...would like to thank the programming team at the Department of Operational Analysis at the Air Force Institute of Technology at Wright-Patterson Air

  20. Fish Suppressors of Cytokine Signaling (SOCS): Gene Discovery, Modulation of Expression and Function

    Science.gov (United States)

    Wang, Tiehui; Gorgoglione, Bartolomeo; Maehr, Tanja; Holland, Jason W.; Vecino, Jose L. González; Wadsworth, Simon; Secombes, Christopher J.

    2011-01-01

    The intracellular suppressors of cytokine signaling (SOCS) family members, including CISH and SOCS1 to 7 in mammals, are important regulators of cytokine signaling pathways. So far, the orthologues of all the eight mammalian SOCS members have been identified in fish, with several of them having multiple copies. Whilst fish CISH, SOCS3, and SOCS5 paralogues are possibly the result of the fish-specific whole genome duplication event, gene duplication or lineage-specific genome duplication may also contribute to some paralogues, as with the three trout SOCS2s and three zebrafish SOCS5s. Fish SOCS genes are broadly expressed and also show species-specific expression patterns. They can be upregulated by cytokines, such as IFN-γ, TNF-α, IL-1β, IL-6, and IL-21, by immune stimulants such as LPS, poly I:C, and PMA, as well as by viral, bacterial, and parasitic infections in member- and species-dependent manners. Initial functional studies demonstrate conserved mechanisms of fish SOCS action via JAK/STAT pathways. PMID:22203897

  1. "Ingraham v. Wright" and the Decline of Due Process.

    Science.gov (United States)

    Clark, Gerard J.

    1978-01-01

    Suggests that the constitutional questions in "Ingraham vs Wright" lend credence to a concern that the Court is seeking to eliminate all due process intervention outside of the incorporation and privacy cases and to limit even these cases to defenses of a criminal prosecution. Available from Suffolk University Law Review Office, 41…

  2. Effect of SOCS1 overexpression on RPE cell activation by proinflammatory cytokines.

    Science.gov (United States)

    Bazewicz, Magdalena; Draganova, Dafina; Makhoul, Maya; Chtarto, Abdel; Elmaleh, Valerie; Tenenbaum, Liliane; Caspers, Laure; Bruyns, Catherine; Willermain, François

    2016-09-06

    The purpose of this study was to investigate the in vitro effect of Suppressor Of Cytokine Signaling 1 (SOCS1) overexpression in retinal pigment epithelium (RPE) cells on their activation by pro-inflammatory cytokines IFNγ, TNFα and IL-17. Retinal pigment epithelium cells (ARPE-19) were stably transfected with the control plasmid pIRES2-AcGFP1 or the plasmid pSOCS1-IRES2-AcGFP1. They were stimulated by IFNγ (150ng/ml), TNFα (30ng/ml) or IL-17 (100ng/ml). The levels of SOCS1 mRNA were measured by real-time PCR. Signal Transducer and Activator of Transcription 1 (STAT1) phosphorylation and IκBα expression were analysed by western Blot (WB). IL-8 secretion was analysed by ELISA and expression of MHCII molecules and ICAM-1/CD54 by flow cytometry. Our data show that SOCS1 mRNA overexpression in RPE cells prevents IFNγ-induced SOCS1 mRNA increase and IFNγ-mediated STAT1 phosphorylation. Moreover, SOCS1 overexpression in RPE cells inhibits IFNγ-induced decrease of IL-8 secretion and prevents IFNγ-induced MHC II and ICAM1/CD54 upregulation. However, SOCS1 overexpression does not affect TNFα-induced IκBα degradation nor block TNFα-induced or IL-17-induced IL-8 secretion. On the contrary, IL-17-induced secretion is increased by SOCS1 overexpression. In conclusion, SOCS1 overexpression in RPE cells inhibits some IFNγ-mediated responses that lead to uveitis development. This notion raises the possibility that SOCS1 overexpression could be a novel target for treating non-infectious uveitis. However, some proinflammatory effects of TNFα and IL-17 stimulation on RPE are not blocked by SOCS1 overexpression. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  3. Religious Literacy or Spiritual Awareness? Comparative Critique of Andrew Wright's and David Hay's Approaches to Spiritual Education

    Science.gov (United States)

    Filipsone, Anta

    2009-01-01

    On the basis of a comparison of the educational approaches of Andrew Wright and David Hay this paper illustrates the persisting problem of dichotomising cognitive and trans-cognitive aspects of spiritual development and education. Even though both Wright and Hay speak of the same topic--spirituality and spiritual education--they define these terms…

  4. Constitutive expression of the K-domain of a Vaccinium corymbosum SOC1-like (VcSOC1-K) MADS-box gene is sufficient to promote flowering in tobacco.

    Science.gov (United States)

    Song, Guo-qing; Walworth, Aaron; Zhao, Dongyan; Hildebrandt, Britton; Leasia, Michael

    2013-11-01

    The K-domain of a blueberry-derived SOC1 -like gene promotes flowering in tobacco without negatively impacting yield, demonstrating potential for manipulation of flowering time in horticultural crops. The SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (SOC1) and SOC1-likes, belonging to the MIKC(c) (type II) MADS-box gene subfamily, are major floral activators and integrators of plant flowering. Both MADS-domains and K (Keratin)-domains are highly conserved in MIKC(c)-type MADS proteins. While there are many reports on overexpression of intact MIKC(c)-type MADS-box genes, few studies have been conducted to investigate the effects of the K-domains. In this report, a 474-bp K-domain of Vaccinium SOC1-like (VcSOC1-K) was cloned from the cDNA library of the northern highbush blueberry (Vaccinium corymbosum L.). Functional analysis of the VcSOC1-K was conducted by ectopically expressing of 35S:VcSOC1-K in tobacco. Reverse transcription PCR confirmed expression of the VcSOC1-K in T0 plants. Phenotypically, T1 transgenic plants (10 T1 plants/event) flowered sooner after seeding, and were shorter with fewer leaves at the time of flowering, than nontransgenic plants; but seed pod production of transgenic plants was not significantly affected. These results demonstrate that overexpression of the K-domain of a MIKC(c)-type MADS-box gene alone is sufficient to promote early flowering and more importantly without affecting seed production.

  5. Lessons from cognitive neuropsychology for cognitive science: a reply to Patterson and Plaut (2009).

    Science.gov (United States)

    Coltheart, Max

    2010-01-01

    A recent article in this journal (Patterson & Plaut, 2009) argued that cognitive neuropsychology has told us very little over the past 30 or 40 years about "how the brain accomplishes its cognitive business." This may well be true, but it is not important, because the principal aim of cognitive neuropsychology is not to learn about the brain. Its principal aim is instead to learn about the mind, that is, to elucidate the functional architecture of cognition. I show that this is so (a) via extensive quotations from leading figures in this field and (b) by analysis of the subject matter of articles in the leading journal in the field, Cognitive Neuropsychology. Recent reviews of the past 25 years of work in this field (Coltheart & Caramazza, 2006) have concluded that cognitive neuropsychology has told us much about the functional architecture of cognition in a variety of cognitive domains. Patterson and Plaut (2009) did not consider this aim of cognitive neuropsychology. Therefore, their conclusions that cognitive neuropsychology has not been successful, and that this is because the particular methods it uses are flawed, are not justified. Copyright © 2009 Cognitive Science Society, Inc.

  6. Installation Restoration Program. Phase 1. Records Search, Hazardous Materials Disposal Sites, Eglin AFB, Florida

    Science.gov (United States)

    1981-10-01

    Okaloosa Co. 3-12 Crestview South Quadrangle, Florida-Okaloosa Co. -13 Mossy ead Quadrangle, Florida-Walton Co 3-14 E-1 EGUN AFB 4,h aa V, WRAMATIO...I) Um AYPM hIN1DS SP * So". AM T 0 Tou A is a O I. h E-2 ES ENGINEERING -SCIENCE V%777-7NN7 .7-7 EGUN AFB 0.4 .m ... (1) WMg LAN DOSAMU *Y* CO u...r Oumm *p S(S Ann~ Il~~O 0*aAMa 2-3 ES ENGINEER ING - SCIENCE EGUN AFB %Tt MAR ESTHER * : . . - . - ~ - ~ r W R G H T L A N D F I L L 3 SITE 0296

  7. Monoclonal antibody anti-AFB1: scale-up in vitro for biotools development Anticorpo monoclonal antiAFB1: produção in vitro visando desenvolvimento de bioferramentas

    Directory of Open Access Journals (Sweden)

    Eiko Nakagawa Itano

    2010-12-01

    Full Text Available Aflatoxin B1 (AFB1 is a mycotoxin classified as group 1 (human carcinogen by International Agency for Research on Cancer - IARC, causing hazardous contamination in a wide variety of food and feed, where the monitoring depends on precision and accuracy of analytical method. The culture of AFB1 specific monoclonal antibody (mAb secreting hybridoma was performed for further development of immunochemical methods. The growth of hybridoma AF2 was carried out in RPMI medium + 15 % fetal bovine serum (FBS, as well as the same medium gradually amended with H-SFM medium (25, 50, 75 and 100 % H-SFM. The protein concentration in the culture supernatant ranged from 1.80 to 10.88 mg/mL. The culture amended with FBS-free synthetic H-SFM medium reached production of reagent with higher degree of purity and lower risk, in addition to lower protein content (2.29 mg/mL reached with 100 % H-SFM, which approaches the real content of pure mAb. The indirect competitive enzyme-linked immunosorbent assay (ic-ELISA and SDS-polyacrylamide gel electrophoresis (SDS-PAGE showed anti-AFB1 activity and IgG corresponding bands, respectively, indicating feasible application of mAb produced in 100, 75 and 50 % H-SFM for further use in the development of AFB1 detecting biotools. This mAb production can be an initial step that can supply the self-sufficient immune-reagent in the rapid diagnosis at national condition, which is essential in the food quality and safety.Aflatoxina B1 (AFB1 é uma micotoxina classificada pela International Agency for Research on Cancer - IARC no Grupo 1 (carcinógeno ao humano, responsável pelo perigo de contaminação em ampla variedade de alimento e ração, cujo monitoramento depende de metodologia analítica precisa e exata. O trabalho visou cultivo do hibridoma secretor de anticorpo monoclonal (AcM específico para AFB1 visando desenvolvimento de métodos imunoquímicos. Hibridoma AF2 foi cultivado em meio RPMI + 15 % de soro fetal bovino (SFB

  8. A Cost Model for Air Force Institute of Technology Programs.

    Science.gov (United States)

    1979-09-01

    Patterson AFB OH, September 1977. ADA 047662. 16. Horngren , Charles T. Cost Accounting , A Managerial Emphasis. Englewood Cliffs NJ: Prentice Hall, Inc...25 S . Categorical Breakdown of AFIT Cost Matrix ....... .................. . 26 6. Elemental Breakdown of AFIT Direct Cost Category...maximum use of existing data sources such as the Air Force Accounting System for Operations. Justification for Research In past years, cost studies

  9. SOCS-1 Localizes to the Microtubule Organizing Complex-Associated 20S Proteasome

    OpenAIRE

    Vuong, Bao Q.; Arenzana, Teresita L.; Showalter, Brian M.; Losman, Julie; Chen, X. Peter; Mostecki, Justin; Banks, Alexander S.; Limnander, Andre; Fernandes, Neil; Rothman, Paul B.

    2005-01-01

    The regulation of cytokine signaling is critical for controlling cellular proliferation and activation during an immune response. SOCS-1 is a potent inhibitor of Jak kinase activity and of signaling initiated by several cytokines. SOCS-1 protein levels are tightly regulated, and recent data suggest that SOCS-1 may regulate the protein levels of some signaling proteins by the ubiquitin proteasome pathway; however, the cellular mechanism by which SOCS-1 directs proteins for degradation is unkno...

  10. Trichostatin A, a histone deacetylase inhibitor, suppresses JAK2/STAT3 signaling via inducing the promoter-associated histone acetylation of SOCS1 and SOCS3 in human colorectal cancer cells.

    Science.gov (United States)

    Xiong, Hua; Du, Wan; Zhang, Yan-Jie; Hong, Jie; Su, Wen-Yu; Tang, Jie-Ting; Wang, Ying-Chao; Lu, Rong; Fang, Jing-Yuan

    2012-02-01

    Aberrant janus kinase/signal transducers and activators of transcription (JAK/STAT) signaling is involved in the oncogenesis of several cancers. Suppressors of cytokine signaling (SOCS) genes and SH2-containing protein tyrosine phosphatase 1 (SHP1) proteins, which are negative regulators of JAK/STAT signaling, have been reported to have tumor suppressor functions. However, in colorectal cancer (CRC) cells, the mechanisms that regulate SOCS and SHP1 genes, and the cause of abnormalities in the JAK/STAT signaling pathway, remain largely unknown. The present study shows that trichostatin A (TSA), a histone deacetylase (HDAC) inhibitor, leads to the hyperacetylation of histones associated with the SOCS1 and SOCS3 promoters, but not the SHP1 promoter in CRC cells. This indicates that histone modifications are involved in the regulation of SOCS1 and SOCS3. Moreover, upregulation of SOCS1 and SOCS3 expression was achieved using TSA, which also significantly downregulated JAK2/STAT3 signaling in CRC cells. We also demonstrate that TSA suppresses the growth of CRC cells, and induces G1 cell cycle arrest and apoptosis through the regulation of downstream targets of JAK2/STAT3 signaling, including Bcl-2, survivin and p16(ink4a) . Therefore, our data demonstrate that TSA may induce SOCS1 and SOCS3 expression by inducing histone modifications and consequently inhibits JAK2/STAT3 signaling in CRC cells. These results also establish a mechanistic link between the inhibition of JAK2/STAT3 signaling and the anticancer action of TSA in CRC cells. Copyright © 2011 Wiley Periodicals, Inc.

  11. STAT3-mediated constitutive expression of SOCS-3 in cutaneous T-cell lymphoma

    DEFF Research Database (Denmark)

    Brender, C; Nielsen, M; Kaltoft, K

    2001-01-01

    ) obtained from affected skin from a patient with mycosis fungoides (MF) and from peripheral blood from a patient with Sezary syndrome (SS). In contrast, constitutive SOCS-3 expression is not found in the leukemic Jurkat T-cell line, the MOLT-4 acute lymphoblastic leukemia cell line, and the monocytic......, it has been hypothesized that an aberrant SOCS expression plays a role in neoplastic transformation. This study reports on a constitutive SOCS-3 expression in cutaneous T-cell lymphoma (CTCL) cell lines. SOCS-3 protein is constitutively expressed in tumor cell lines (but not in nonmalignant T cells...... leukemic cell line U937. Expression of SOCS-3 coincides with a constitutive activation of STAT3 in CTCL tumor cells, and stable transfection of CTCL tumor cells with a dominant negative STAT3 strongly inhibits SOCS-3 expression, whereas transfection with wild-type STAT3 does not. Moreover, the reduced SOCS...

  12. Essential issues in SOC design designing complex systems-on-chip

    CERN Document Server

    Lin, Youn-long Steve

    2007-01-01

    Covers issues related to system-on-chip (SoC) design. This book covers IP development, verification, integration, chip implementation, testing and software. It contains valuable academic and industrial examples for those involved with the design of complex SOCs.

  13. Pressure Scalings and Influence Region Research

    Science.gov (United States)

    2018-01-01

    AFRL-RQ-WP-TP-2018-0015 PRESSURE SCALINGS AND INFLUENCE REGION RESEARCH James H. Miller High Speed Systems Division...inside pages STINFO COPY AIR FORCE RESEARCH LABORATORY AEROSPACE SYSTEMS DIRECTORATE WRIGHT-PATTERSON AIR FORCE BASE, OH... RESEARCH 5a. CONTRACT NUMBER In-house 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 62201F 6. AUTHOR(S) James H. Miller 5d. PROJECT NUMBER

  14. Examining U.S. Irregular Warfare Doctrine

    Science.gov (United States)

    2008-06-01

    Muslim leaders, this manual also provides inspiration to the Al-Qaeda undercover member. These are especially useful in convincing the young...A “Air Power: An Enduring Illusion,” The Economist (24 August 2006). Accessed via: http://www.economist.com/world/displaystory.cfm?story_id...USA AFIT/ILM/ENS/08-04 DEPARTMENT OF THE AIR FORCE AIR UNIVERSITY AIR FORCE INSTITUTE OF TECHNOLOGY Wright-Patterson

  15. Design and Characterization of a Secure Automatic Dependent Surveillance-Broadcast Prototype

    Science.gov (United States)

    2015-03-26

    M-041 DEPARTMENT OF THE AIR FORCE AIR UNIVERSITY AIR FORCE INSTITUTE OF TECHNOLOGY Wright-Patterson Air Force Base, Ohio DISTRIBUTION STATEMENT A...of Engineering and Management Air Force Institute of Technology Air University Air Education and Training Command in Partial Fulfillment of the...Cyclic Redundancy Check CSV comma separated value CUT component under test DDC digital down conversion DF Downlink Format DUC digital up conversion

  16. Medicago truncatula SOC1 Genes Are Up-regulated by Environmental Cues That Promote Flowering

    Directory of Open Access Journals (Sweden)

    Jared B. Fudge

    2018-04-01

    Full Text Available Like Arabidopsis thaliana, the flowering of the legume Medicago truncatula is promoted by long day (LD photoperiod and vernalization. However, there are differences in the molecular mechanisms involved, with orthologs of two key Arabidopsis thaliana regulators, FLOWERING LOCUS C (FLC and CONSTANS (CO, being absent or not having a role in flowering time function in Medicago. In Arabidopsis, the MADS-box transcription factor gene, SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (AtSOC1, plays a key role in integrating the photoperiodic and vernalization pathways. In this study, we set out to investigate whether the Medicago SOC1 genes play a role in regulating flowering time. Three Medicago SOC1 genes were identified and characterized (MtSOC1a–MtSOC1c. All three MtSOC1 genes, when heterologously expressed, were able to promote earlier flowering of the late-flowering Arabidopsis soc1-2 mutant. The three MtSOC1 genes have different patterns of expression. However, consistent with a potential role in flowering time regulation, all three MtSOC1 genes are expressed in the shoot apex and are up-regulated in the shoot apex of plants in response to LD photoperiods and vernalization. The up-regulation of MtSOC1 genes was reduced in Medicago fta1-1 mutants, indicating that they are downstream of MtFTa1. Insertion mutant alleles of Medicago soc1b do not flower late, suggestive of functional redundancy among Medicago SOC1 genes in promoting flowering.

  17. Femtosecond index change mechanisms and morphology of SiC crystalline materials

    International Nuclear Information System (INIS)

    DesAutels, Logan; Brewer, Christopher; Powers, Peter; Walker, Mark; Tomlin, David; Fratini, Albert; Juhl, Shane; Chen Weibin

    2009-01-01

    Femtosecond lasers have a unique ability of processing bulk transparent materials for various applications such as micromachining, waveguide manufacturing, and photonic bandgap structures just to name a few. These applications depend on the formation of micron or submicron size features that are known to be index modifications to the bulk substrate [H. Guo, H. Jiang, Y. Fang, C. Peng, H. Yang, Y. Li, Q. Gong, J. Opt. A: Pure Appl. Opt. 6 (2004) 787]. To the best of our knowledge the physical understanding of how these index-modified features are formed is still unknown, but many good theories exist such as Petite et al. [G. Petite, P. Daguzan, S. Guizard, P. Martin, in: IEEE Annual Report Conference on Electrical Insulation and Dielectric Phenomena, vol. 15, IEEE, 1995, pp. 40-44] or Tien et al. [A. Tien, S. Backus, H. Kapteyn, M. Murnane, G. Mourou, Phys. Rev. Lett. 82 (1999) 3883]. In this Letter the question on the physical cause for index changes is investigated by the combined efforts between Wright-Patterson AFB (WPAFB) and the University of Dayton (UD) using numerous imaging equipment such as TEM, AFM, NSOM, Nomarski microscopy, X-ray crystallography, Raman spectroscopy, and even diffraction efficiency experiments. With all the combined imaging equipment this research is able to present valuable data and deduce plausible theories of the physics of the index modification mechanism

  18. CloudSat Safety Operations at Vandenberg AFB

    Science.gov (United States)

    Greenberg, Steve

    2006-01-01

    CloudSat safety operations at Vendenberg AFB is given. The topics include: 1) CloudSat Project Overview; 2) Vandenberg Ground Operations; 3) Delta II Launch Vehicle; 4) The A-Train; 5) System Safety Management; 6) CALIPSO Hazards Assessment; 7) CALIPSO Supplemental Safeguards; 8) Joint System Safety Operations; 9) Extended Stand-down; 10) Launch Delay Safety Concerns; and 11) Lessons Learned.

  19. Over-expression of KdSOC1 gene affected plantlet morphogenesis in Kalanchoe daigremontiana.

    Science.gov (United States)

    Zhu, Chen; Wang, Li; Chen, Jinhua; Liu, Chenglan; Zeng, Huiming; Wang, Huafang

    2017-07-17

    Kalanchoe daigremontiana reproduces asexually by producing plantlets along the leaf margin. The aim of this study was to identify the function of the SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 gene in Kalanchoe daigremontiana (KdSOC1) during plantlet morphogenesis. In this study, KdSOC1 gene expression was detected at stem cell niche during in vitro somatic embryogenesis and plantlet morphogenesis. Disrupting endogenous auxin transportation suppressed the KdSOC1 gene response. Knockdown of the KdSOC1 gene caused a defect in cotyledon formation during the early heart stage of somatic embryogenesis. Over-expression (OE) of the KdSOC1 gene resulted in asymmetric plantlet distribution, a reduced number of plantlets, thicker leaves, and thicker vascular fibers. Higher KdPIN1 gene expression and auxin content were found in OE plant compared to those of wild-type plant leaves, which indicated possible KdSOC1 gene role in affecting auxin distribution and accumulation. KdSOC1 gene OE in DR5-GUS Arabidopsis reporting lines resulted in an abnormal auxin response pattern during different stages of somatic embryogenesis. In summary, the KdSOC1 gene OE might alter auxin distribution and accumulation along leaf margin to initiate plantlet formation and distribution, which is crucial for plasticity during plantlet formation under various environmental conditions.

  20. Richard Wright, Toni Morrison, and United States book clubs

    Directory of Open Access Journals (Sweden)

    Mark Madigan

    2004-12-01

    Full Text Available This essay focuses on the influence of commercial book clubs in the United States. It will examine the country's oldest commercial book club, the Book-of-the-Month Club (BOMC, Oprah's Book Club (OBC, which bears the name of its founder, television personality Oprah Winfrey, and their roles in the careers of two African-American authors, Richard Wright and Toni Morrison.

  1. Deletion of skeletal muscle SOCS3 prevents insulin resistance in obesity

    DEFF Research Database (Denmark)

    Beck Jørgensen, Sebastian; O'Neill, Hayley M; Sylow, Lykke

    2013-01-01

    Obesity is associated with chronic low-grade inflammation that contributes to defects in energy metabolism and insulin resistance. Suppressor of cytokine signaling (SOCS)-3 expression is increased in skeletal muscle of obese humans. SOCS3 inhibits leptin signaling in the hypothalamus and insulin...... of hyperinsulinemia and insulin resistance because of enhanced skeletal muscle insulin receptor substrate 1 (IRS1) and Akt phosphorylation that resulted in increased skeletal muscle glucose uptake. These data indicate that skeletal muscle SOCS3 does not play a critical role in regulating muscle development or energy...... expenditure, but it is an important contributing factor for inhibiting insulin sensitivity in obesity. Therapies aimed at inhibiting SOCS3 in skeletal muscle may be effective in reversing obesity-related glucose intolerance and insulin resistance....

  2. Sting Dynamics of Wind Tunnel Models

    Science.gov (United States)

    1976-05-01

    Patterson AFB, AFFDL, Ohio, October 1964. 17. Brunk, James E. "Users Manual: Extended Capability Magnus Rotor and Ballistic Body 6-DOF Trajectory...measure "second-order" aerodynamic effects resulting, for example, from Reynolds number in- fluence. Consequently, all wind tunnel data systems are...sting-model interference effects , sting configurations normally consist of one or more linearly tapered sections combined with one or more untapered

  3. Pervasiveness of Dominant General Factors in Organizational Measurement

    Science.gov (United States)

    2015-09-01

    evaluation of one construct influences (covarieswith) the eval- uation of another. This has been evident in ratings since Thorndike (1920). The halo effect...The abilities of man: Their nature and measurement. New York, NY: Macmillan. Stanley, J. C. (1971). Reliability. In R. L. Thorndike (Ed...Patterson AFB, OH: Air Force Research Laboratory, Decision Making Division. Thorndike , E. L. (1920). A constant error in psychological ratings. Journal of

  4. The wounded male persona and the mysterious feminine in the poetry of James Wright: a study in the transformation of the self.

    Science.gov (United States)

    Graves, M; Schermer, V L

    1998-12-01

    James Wright's work is multilayered. Taken as a whole, which Annie Wright's beautiful compilation, Above the River, allows one to do, Wright's poems (as well as his masterful "prose poems") have a pattern akin to a mythic cycle. "Mythemes" (Lévi-Strauss, 1979) recur as dialectic opposites from one poem to another, whether the abandoned male and mysterious woman, humankind and nature, blindness and seeing, secrets and revelation. Suggestive and symbolic forms such as birds, horses, earth, sky, the destitute, rivers, and adolescence weave themselves throughout in inner and outer "landscapes" of images and experiential moments. There is a frequent shift of "gestalts" between the inner world and external reality. Such a "blooming, buzzing confusion" of internal and external referents might appear to be psychotic and imply a loss of "reality testing." Chassaguet-Smirgel (1988), citing Oscar Wilde's The Picture of Dorian Gray as an example, contended that the artist narrowly averts psychosis by transforming it into a work of art. Surrealists, for whom the psychoanalyst Jacques Lacan was an important figure (cf. Sarup, 1992, pp. 17-27), elevated psychosis to the status of art, and Wright has been considered to be a surrealistic poet, although he himself denied such an affiliation. Hall (Wright, 1990, Introduction, pp. xxiii-xxxvii) suggests that Wright, in addition to an extensive history of alcoholism, from which he seemed to recover towards the end of his life, suffered from a major mental illness, which included episodes of severe depression, hospitalizations, and at least one suicide attempt. The severity of the asceticism and the extreme damage to the self in some Wright's work suggests their roots in early traumatic experiences. (A terribly damaged yet heroic personage, whose disfigurement perhaps symbolizes the poet's trauma, is "Hook" [ATR, pp. 315-316], about a man who gives his last few cents to the poet with a hook replacing his amputated hand.) Not enough is

  5. The dangers of eating away from home: review | Wright | South ...

    African Journals Online (AJOL)

    The dangers of eating away from home: review. JP Wright. Full Text: EMAIL FULL TEXT EMAIL FULL TEXT · DOWNLOAD FULL TEXT DOWNLOAD FULL TEXT · AJOL African Journals Online. HOW TO USE AJOL... for Researchers · for Librarians · for Authors · FAQ's · More about AJOL · AJOL's Partners · Terms and ...

  6. SOCS3 inhibiting migration of A549 cells correlates with PYK2 signaling in vitro

    Directory of Open Access Journals (Sweden)

    Zhang Qingfu

    2008-05-01

    Full Text Available Abstract Background Suppressor of cytokine signaling 3 (SOCS3 is considered to inhibit cytokine responses and play a negative role in migration of various cells. Proline-rich tyrosine kinase 2 (PYK2 is a non-receptor kinase and has been found crucial to cell motility. However, little is known about whether SOCS3 could regulate PYK2 pro-migratory function in lung cancer. Methods The methylation status of SOCS3 was investigated in HBE and A549 cell lines by methylation-specific PCR. A549 cells were either treated with a demethylation agent 5-aza-2'-deoxycytidine or transfected with three SOCS3 mutants with various functional domains deleted. Besides, cells were pretreated with a proteasome inhibitor β-lactacystin where indicated. The effects of SOCS3 up-regulation on PYK2 expression, PYK2 and ERK1/2 phosphorylations were assessed by western blot using indicated antibodies. RT-PCR was used to estimate PYK2 mRNA levels. Transwell experiments were performed to evaluate cell migration. Results SOCS3 expression was found impaired in A549 cells and higher PYK2 activity was correlated with enhanced cell migration. We identified that SOCS3 was aberrantly methylated in the exon 2, and 5-aza-2'-deoxycytidine restored SOCS3 expression. Reactivation of SOCS3 attenuated PYK2 expression and phosphorylation, cell migration was inhibited as well. Transfection studies indicated that exogenous SOCS3 interacted with PYK2, and both the Src homology 2 (SH2 and the kinase inhibitory region (KIR domains of SOCS3 contributed to PYK2 binding. Furthermore, SOCS3 was found to inhibit PYK2-associated ERK1/2 activity in A549 cells. SOCS3 possibly promoted degradation of PYK2 in a SOCS-box-dependent manner and interfered with PYK2-related signaling events, such as cell migration. Conclusion These data indicate that SOCS3 negatively regulates cell motility and decreased SOCS3 induced by methylation may confer a migration advantage to A549 cells. These results also suggest a

  7. Effects of the Ordering of Natural Selection and Population Regulation Mechanisms on Wright-Fisher Models.

    Science.gov (United States)

    He, Zhangyi; Beaumont, Mark; Yu, Feng

    2017-07-05

    We explore the effect of different mechanisms of natural selection on the evolution of populations for one- and two-locus systems. We compare the effect of viability and fecundity selection in the context of the Wright-Fisher model with selection under the assumption of multiplicative fitness. We show that these two modes of natural selection correspond to different orderings of the processes of population regulation and natural selection in the Wright-Fisher model. We find that under the Wright-Fisher model these two different orderings can affect the distribution of trajectories of haplotype frequencies evolving with genetic recombination. However, the difference in the distribution of trajectories is only appreciable when the population is in significant linkage disequilibrium. We find that as linkage disequilibrium decays the trajectories for the two different models rapidly become indistinguishable. We discuss the significance of these findings in terms of biological examples of viability and fecundity selection, and speculate that the effect may be significant when factors such as gene migration maintain a degree of linkage disequilibrium. Copyright © 2017 He et al.

  8. Quantification of Forecasting and Change-Point Detection Methods for Predictive Maintenance

    Science.gov (United States)

    2015-08-19

    University of Tokyo 7-3-1 Hongo , Bunkyo-ku, Ttokyo 113-8645, Japan 3 Air Force Research Laboratory, 2977 Hobson Way, Bldg 653 Rm 427 Wright...AFB OH 45433-7734 *** University of Tokyo 7-3-1 Hongo , Bunkyo-ku, Tokyo 113-8645, Japan Abstract This work investigates the application of the

  9. Learning to Fly: The Wright Brothers' Adventure. A Guide for Educators and Students with Activities in Aeronautics

    Science.gov (United States)

    Storm, R.; Benson, T.; Galica, C.; McCredie, P.

    2003-01-01

    This guide was produced by the NASA Glenn Research Center Office of Educational Programs in Cleveland, OH, and the NASA Aerospace Educational Coordinating Committee. It includes activity modules for students, including the history of the Wright Brothers and their family in Dayton, Ohio and flight experimentation in Kitty Hawk, North Carolina. Student activities such as building models of the Wright Brothers glider and writing press releases of the initial flight are included.

  10. Treatability Study in Support of the Intrinsic Remediation Option at the Current Fire Training Area, Westover Air Reserves Base, Chicopee, Massachusetts

    Science.gov (United States)

    1997-02-01

    Winter, 1987, p. 64-71. Bartha , R., 1986, Biotechnology of petroleum pollutant biodegradation: Microbial Ecology , vol. 12, p. 155-172. Bauman, B., 1991...Prepared for Air Force Systems Command, Wright-Patterson Air Force Base, Ohio, June. Atlas , R.M., 1981, Microbial degradation of petroleum hydrocarbons...of jet fuel and gasoline, including the BTEX compounds (e.g., lamison et at., 1975; Atlas , 1981, 1984, 1988; Gibson and Subramanian, 1984; Reinhard et

  11. Challenges of Enterprise Wide AM for Air Force Sustainment

    Science.gov (United States)

    2016-12-01

    processes for AM across the Air Force enterprise. The opportunity for cost-effective readiness is important, and using a value chain approach that takes...December 2016 Naguy is chief of the Air Force Life Cycle Management Center’s Product Support Engineering Division at Wright Patterson Air Force Base in...produc- tion that can address many current Air Force supply chain challenges. It also can reduce weight through lightweight design while potentially

  12. Toxic Hazards Research Unit Annual Technical Report: 1984

    Science.gov (United States)

    1984-09-01

    exposed to TOCP exhibited the classic lesions of delayed neuropathy (Smith and Lillie, 1931; Barnes and Denz, 1953; (avanagh, 1954; and Fenton , 1955...Safety of *.i Chemicals in Food, Drugs, and Cosmetics , The staff of the Divi- sion of Pharmacology of the Federal Food and Drug Administration, Austin...Annual Technical Report: 1967, AMRL-TR-67-137 (AD 834723), Aerospace Medical Research Laboratory, Wright-Patterson Air Force Base, Ohio. Fenton , J. C

  13. B-1 Systems Approach to Training. Volume 3. Appendix B. Bibliography and Data Collection Trips

    Science.gov (United States)

    1975-07-01

    the Fourth Annual Symposium on Psychology in the Air Force, 1974, ~ •—- ~ - --- - Creelman , J.A., Evaluation of Approach Training Procedures...of Engineering Psychology , American Psychologist, 1972, 27 (7), 615-622. Adams, J.A., and Hufford, I.E., Effects of Programmed Perceptual Training on...Control, Wright-Patterson Air Force Base, Ohio, April 7-9, 1970. Aldrich, T.B., Proceedings of the Annual Symposium on Psychology in the Air Force (2nd

  14. The Reliability of Clinical Measurements of Forward Bending Obtained by the Use of the Modified Fingertip-to-Floor Method

    Science.gov (United States)

    1989-08-18

    Calabro, 1982; Macrae & Wright, 1969) and other forms of arthritis (Schober, 1937). Clinicians also use measurements of lumbar flexion to help determine...Clinical Biomechanics, 1, 20-26. Buswell, J. (1982). Low back pain: A comparison of two treatment programmes. New Zealand Journal of Physiotherapy ...Gilles, J., Haldeman, J. & Patterson, C. (1975). Low back pain: A study of 50 patients on a group exercise program. Physiotherapy Canada, 27. 71-77

  15. The Digital Thread as the Key Enabler

    Science.gov (United States)

    2016-11-01

    Inject pivot points into acquisition allowing for programs to change direction based upon advances in technology, changes in threat environment...engineering and technical management for the Air Force Materiel Command at Wright-Patterson Air Force Base in Ohio. Y ou are down in the trenches trying to...workforce requires the right training, data, physics- based tools and facilities. Owning the technical baseline is one of the five priorities of the

  16. A Comparison of the Usefulness of the Facilities Management Program in the Graduate School of Systems and Logistics and Similar Programs in Civilian Institutions as Perceived by Former Students

    Science.gov (United States)

    1980-06-01

    8217 043FCCBCAAACCEGEFFEEEDGGFFEEGFFFFEGEECFFED6OGFEAFE’FIHBI 0441CC AABC ABA AA AA AA A AFEEAA AA AA A A AOF A A A AAG AA A A AAA FHAL’ 045 F C FAA A CAGOGGOA FF00 FOB El’C...thesis. SLSR 13-69, A"FT/SL, Wright-Patterson AFE Ofil, August, 1968. AD 846434. Hale, Captain Jerry W., and Captain Basil E. Rooney. "A Deter

  17. Evaluation of SOC for the presumptive identification of Candida albicans and Cryptococcus neoformans.

    Science.gov (United States)

    Fleming, W H; Knezek, K L; Dorn, G L

    1987-01-01

    SOC, a fungal growth medium composed of Solryth, oxgall, and caffeic acid, was evaluated as a medium to provide rapid, differential identification of Candida albicans and Cryptococcus neoformans. Using a variety of common isolation media to produce the yeast inocula, the germ tube methods tested ranked in the following order of decreasing sensitivity: SOC (97% +/- 1), serum (92% +/- 5), rabbit coagulase plasma with EDTA in combination with tryptic soy broth (89% +/- 5), TOC (89% +/- 6), and rabbit coagulase plasma with EDTA (83% +/- 4). In chlamydospore production, SOC also proved to be the most sensitive after 24 h incubation: SOC (96% +/- 2), TOC (80% +/- 2), and cornmeal-Tween 80 agar (14% +/- 3). Other medically important yeasts showed normal patterns of growth within 24 h on SOC, thus assisting in their identification. Eighty strains of Cryptococcus neoformans showed characteristic brown pigmentation on SOC and TOC within 18 h, while all other species of the genus Cryptococcus and 229 Candida isolates did not show a change in pigmentation.

  18. Estimation of power lithium-ion battery SOC based on fuzzy optimal decision

    Science.gov (United States)

    He, Dongmei; Hou, Enguang; Qiao, Xin; Liu, Guangmin

    2018-06-01

    In order to improve vehicle performance and safety, need to accurately estimate the power lithium battery state of charge (SOC), analyzing the common SOC estimation methods, according to the characteristics open circuit voltage and Kalman filter algorithm, using T - S fuzzy model, established a lithium battery SOC estimation method based on the fuzzy optimal decision. Simulation results show that the battery model accuracy can be improved.

  19. What are the effects of agricultural management on soil organic carbon (SOC) stocks?

    DEFF Research Database (Denmark)

    Söderström, Bo; Hedlund, Katarina; Jackson, Louise E.

    2014-01-01

    the physical and biological properties of the soil. Intensification of agriculture and land-use change from grasslands to croplands are generally known to deplete SOC stocks. The depletion is exacerbated through agricultural practices with low return of organic material and various mechanisms......Changes in soil organic carbon (SOC) stocks significantly influence the atmospheric C concentration. Agricultural management practices that increase SOC stocks thus may have profound effects on climate mitigation. Additional benefits include higher soil fertility since increased SOC stocks improve......, such as oxidation/mineralization, leaching and erosion. However, a systematic review comparing the efficacy of different agricultural management practices to increase SOC stocks has not yet been produced. Since there are diverging views on this matter, a systematic review would be timely for framing policies...

  20. Environmental Assessment: Conversion of the 820th Security Forces Group at Moody AFB, Georgia to a Contingency Response Group

    Science.gov (United States)

    2003-08-01

    Contingency Response Group (CRG) at Moody Air Force Base (AFB), GA . DESCRIPTION OF THE PROPOSED ACTION AND ALTERNATIVES. The United States Air Force...sinkhole formation. 3.5.3.3 Soils Moody AFB Moody AFB is located in the Tifton Upland District of the Lower Coastal Plain. In general, soils on...base. Arsenic, barium, chromium, copper, iron, selenium, and zinc have been found to be naturally occurring in the area. Predominant soils are Tifton

  1. Theories that narrate the world: Ronald A. Fisher's mass selection and Sewall Wright's shifting balance.

    Science.gov (United States)

    Rosales, Alirio

    2017-04-01

    Theories are composed of multiple interacting components. I argue that some theories have narratives as essential components, and that narratives function as integrative devices of the mathematical components of theories. Narratives represent complex processes unfolding in time as a sequence of stages, and hold the mathematical elements together as pieces in the investigation of a given process. I present two case studies from population genetics: R. A. Fisher's "mas selection" theory, and Sewall Wright's shifting balance theory. I apply my analysis to an early episode of the "R. A. Fisher - Sewall Wright controversy." Copyright © 2017 Elsevier Ltd. All rights reserved.

  2. Transcriptome, antioxidant enzyme activity and histopathology analysis of hepatopancreas from the white shrimp Litopenaeus vannamei fed with aflatoxin B1(AFB1).

    Science.gov (United States)

    Zhao, Wei; Wang, Lei; Liu, Mei; Jiang, Keyong; Wang, Mengqiang; Yang, Guang; Qi, Cancan; Wang, Baojie

    2017-09-01

    Aflatoxin produced by Aspergillus flavus or Aspergillus parasiticus fungi during grain and feed processing and storage. Aflatoxins cause severe health problems reducing the yield and profitability of shrimp cultures. We sought to understand the interaction between shrimp immunity and aflatoxin B1 (AFB1), analyzing transcriptome expression, antioxidant enzyme activity, and histological features of the hepatopancreas of shrimp fed with AFB1. From over 4 million high-quality reads, de novo unigene assembly produced 103,644 fully annotated genes. A total of 1024 genes were differentially expressed in shrimp fed with AFB1, being involved in functions, such as peroxidase metabolism, signal transduction, transcriptional control, apoptosis, proteolysis, endocytosis, and cell adhesion and cell junction. Upon AFB1 challenge, there were severe histological alterations in shrimp hepatopancreas. AFB1 challenge increased the activity of several antioxidant enzymes. Our data contribute to improve the current understanding of host-AFB1 interaction, providing an abundant source for identification of novel genes. Copyright © 2017 Elsevier Ltd. All rights reserved.

  3. The impact of soil redistribution on SOC pools in a Mediterranean agroforestry catchment

    Science.gov (United States)

    Quijano, Laura; Gaspar, Leticia; Lizaga, Iván; Navas, Ana

    2017-04-01

    Soil redistribution processes play an important role influencing the spatial distribution patterns of soil and associated soil organic carbon (SOC) at landscape scale. Information on drivers of SOC dynamics is key for evaluating both soil degradation and SOC stability that can affect soil quality and sustainability. 137Cs measurements provide a very effective tool to infer spatial patterns of soil redistribution and quantify soil redistribution rates in different landscapes, but to date these data are scarce in mountain Mediterranean agroecosystems. We evaluate the effect of soil redistribution on SOC and SOC pools in relation to land use in a Mediterranean mountain catchment (246 ha). To this purpose, two hundred and four soil bulk cores were collected on a 100 m grid in the Estaña lakes catchment located in the central sector of the Spanish Pyrenees (31T 4656250N 295152E). The study area is an agroforestry and endorheic catchment characterized by the presence of evaporite dissolution induced dolines, some of which host permanent lakes. The selected landscape is representative of rainfed areas of Mediterranean continental climate with erodible lithology and shallow soils, and characterized by an intense anthropogenic activity through cultivation and water management. The cultivated and uncultivated areas are heterogeneously distributed. SOC and SOC pools (the active and decomposable fraction, ACF and the stable carbon fraction SCF) were measured by the dry combustion method and soil redistribution rates were derived from 137Cs measurements. The results showed that erosion predominated in the catchment, most of soil samples were identified as eroded sites (n=114) with an average erosion rate of 26.9±51.4 Mg ha-1 y-1 whereas the mean deposition rate was 13.0±24.2 Mg ha-1 y-1. In cultivated soils (n=54) the average of soil erosion rate was significantly higher (78.5±74.4 Mg ha-1 y-1) than in uncultivated soils (6.8±10.4 Mg ha-1 y-1). Similarly, the mean of soil

  4. Wright Science Colloquium 2004

    CERN Document Server

    2004-01-01

    "Stem cells and regenerative medicine. Living a longer life?" For the first time in history, human beings are in a position to modify their own biological fate. This is due to the past 20 years of basic research in life sciences, which have led to discoveries of great impact, not only on our scientific knowledge, but also on a variety of domains outside science itself. Our society is disconcerted by this biological revolution and the underlying instrumentalisation of human beings. Therefore, it is the right time to think about these extraordinary developments, to know where we stand and to try to imagine the future, in order to foresee both the benefits and the dangers of what will unavoidably occur. From 15 to 19 November, the XIth edition of the Colloquia Wright that are held every two years in Geneva with the aim of presenting the latest scientific findings to the general public, will deal with this new and difficult question for the society. Five world-famous scientists will present lectures every da...

  5. Wright Science Colloquium 2004

    CERN Multimedia

    2004-01-01

    "Stem cells and regenerative medicine. Living a longer life?" For the first time in history, human beings are in a position to modify their own biological fate. This is due to the past 20 years of basic research in life sciences, which have led to discoveries of great impact, not only on our scientific knowledge, but also on a variety of domains outside science itself. Our society is disconcerted by this biological revolution and the underlying instrumentalisation of human beings. Therefore, it is the right time to think about these extraordinary developments, to know where we stand and to try to imagine the future, in order to foresee both the benefits and the dangers of what will unavoidably occur. From 15 to 19 November, the XIth edition of the Colloquia Wright that are held every two years in Geneva with the aim of presenting the latest scientific findings to the general public, will deal with this new and difficult question for the society. Five world-famous scientists will present lectures every...

  6. Untitled

    Indian Academy of Sciences (India)

    Smith and Carson ... Z. Physikal. Chenn., 1908, 6, 200. 13. Ephraim ... Z. anord. Chen., 190S, 58, 33S. 14. Wright ... J. Chen. Soc, 1915, 107, 1527. 15. Amadori ... Gazetta, 1922, 1, 52, 387. 16. Mori ... J. Chen. Soc., Japa), 1923, 44, 730. 17. Waradachari and Subra- Proc. Ind. Acad, Sci., 1936, 3 A, 428. Ilala. 18. Hervey and ...

  7. Digital Documentation of Frank Lloyd Wright's Masterpiece, Fallingwater

    Science.gov (United States)

    Jerome, P.; Emilio, D.

    2017-08-01

    Since 1988, the professional staff of Architectural Preservation Studio (APS) has been involved with the conservation of Frank Lloyd Wright's Fallingwater in Mill Run, PA. Designed and erected from 1935 to 1939 as a weekend home for the Kauffman family, the complex consists of the main house and guest house. After five years of reports and prototype repairs, we produced a two-volume master plan. Using original Frank Lloyd Wright drawings from Avery Library as background drawings, we measured every surface and reproduced the drawings in CAD, also developing elevations of every room. Stone-by-stone drawings of every flagstone floor and terrace scheduled to be lifted were also created using overlapping film photography that was assembled into a photo mosaic. By 2005, we designed, administered and completed a four-phase exterior restoration, with the paint-stripping and repainting of interior rooms being performed during the brief winter period when the building is closed to the public on an ongoing basis. In 2016, we were invited back to the site to review conditions and advise on routine maintenance. At that time we proposed to re-document the buildings, this time using laser-scanning. Laser-scanning of the exterior was performed in May of 2016, and of the interior in March 2017, each over the course of four days. This paper will make a comparison between manual and digital techniques in terms of Fallingwater's documentation.

  8. Targeted Killing: Managing American Perceptions On Unmanned Aerial Vehicle Operations

    Science.gov (United States)

    2016-02-01

    Requirements Proposal Advisor: Dr. Patricia Williams Lessane Project Advisor: Dr. Andrew Niesiobedzki Maxwell AFB, AL February 2016...epistemology of remote fighting." Ethics and Information Technology 15. no. 2. 87-98. Cullen , Peter. 2008. "The Role of Targeted Killing in the...in the Sky." New Statesman 19-25. June. 48. Patterson, Margot. 2015. "Are We Safer." America 212. no. 204. 12. Raven-Hansen, William C. Banks and

  9. Surface Observation Climatic Summaries (SOCS) For Mather AFB, California

    Science.gov (United States)

    1992-02-01

    1100 SPEND (RTS) CE14 GEIS GE25 OBS GE14 GEl8 GE25 OBS GE14 GEl8 GE25 OBS GE14 GEl8 GE25 OBS CATEGORY A 1.7 .5 .1 930 1.6 .6 .1 930 1.6 .4 930 3.4 1.0...ITS) GE14 0E18 GE25 ORS GE14 GEIS GE25 OS GE14 GEl8 GE25 OBS GE14 GE18 GE25 OBS CATEGORY A 5.7 2.4 930 5.2 1.3 .2 930 1.7 .5 .2 930 1.5 .5 .1 930...TIME (LST) 0600 - 2000 ALL HOURS SPEED K75 GE14 GEl8 GE25 OBS GE14 GEl8 UE25 OS CAT Y A 3.5 1.1 .1 4650 2.8 .9

  10. Selection of key terrain attributes for SOC model

    DEFF Research Database (Denmark)

    Greve, Mogens Humlekrog; Adhikari, Kabindra; Chellasamy, Menaka

    As an important component of the global carbon pool, soil organic carbon (SOC) plays an important role in the global carbon cycle. SOC pool is the basic information to carry out global warming research, and needs to sustainable use of land resources. Digital terrain attributes are often use...... was selected, total 2,514,820 data mining models were constructed by 71 differences grid from 12m to 2304m and 22 attributes, 21 attributes derived by DTM and the original elevation. Relative importance and usage of each attributes in every model were calculated. Comprehensive impact rates of each attribute...

  11. Effect of Ethylene Inhibitors on Quality Attributes of Apricot cv. Modesto and Patterson during Storage Efecto de los Inhibidores de Etileno sobre Atributos de Calidad de Damascos, Variedades Modesto y Patterson durante Almacenamiento

    Directory of Open Access Journals (Sweden)

    Héctor Valdés

    2009-06-01

    Full Text Available Apricot (Prunus armeniaca L. fruit are highly susceptible to flesh softening, loss of flavor and fruit decay, particularly during postharvest storage. Most of these quality changes observed during fruit ripening are under ethylene regulation. We performed a study with the objective of determining the effect of 1-methylcyclopropene (1-MCP and aminoethoxyvinylglycine (AVG applications on quality attributes of Modesto and Patterson apricot cultivars. 1-MCP was applied at a rate of 1000 and 10 000 nL L-1 of SmartFresh™, and AVG at a rate 100 and 1000 mg L-1 of Retain®. Quality evaluations were performed after 20 and 30 days of cold storage and after a shelf-life period of 3-4 days at 20 °C. In general, both ethylene inhibitors were effective in reducing the ethylene production rate, especially in Patterson. Fruit softening and color development showed ethylene-dependent behavior, with significant reductions for both varieties in fruit treated with 1-MCP and AVG. On the other hand, soluble solids concentration and titratable acidity showed an ethylene-independent pattern, i.e. they were not affected by ethylene inhibitors applications. Among volatile compounds identified, esters and aldehydes showed ethylene-dependent behavior in both varieties. On the other hand, alcohols and terpenes were not affected by ethylene inhibition, suggesting ethylene-independent behavior.El damasco (Prunus armeniaca L. es muy susceptible al ablandamiento de la pulpa, pérdida de sabor y pudriciones, especialmente durante postcosecha. Muchos de estos cambios que ocurren durante maduración son regulados por etileno. El objetivo del presente trabajo fue determinar el cambio de la calidad de damascos var. Modesto y Patterson tratados con 1-metilciclopropeno (1-MCP y aminoetoxivinilglicina (AVG. Las dosis utilizadas fueron de 1000 y 10 000 nL L-1 de 1-MCP (SmartFresh™, 100 y 1000 mg L-1 de AVG (Retain® 15% p/p y su respectivo testigo. Las evaluaciones se realizaron

  12. An Online SOC and SOH Estimation Model for Lithium-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Shyh-Chin Huang

    2017-04-01

    Full Text Available The monitoring and prognosis of cell degradation in lithium-ion (Li-ion batteries are essential for assuring the reliability and safety of electric and hybrid vehicles. This paper aims to develop a reliable and accurate model for online, simultaneous state-of-charge (SOC and state-of-health (SOH estimations of Li-ion batteries. Through the analysis of battery cycle-life test data, the instantaneous discharging voltage (V and its unit time voltage drop, V′, are proposed as the model parameters for the SOC equation. The SOH equation is found to have a linear relationship with 1/V′ times the modification factor, which is a function of SOC. Four batteries are tested in the laboratory, and the data are regressed for the model coefficients. The results show that the model built upon the data from one single cell is able to estimate the SOC and SOH of the three other cells within a 5% error bound. The derived model is also proven to be robust. A random sampling test to simulate the online real-time SOC and SOH estimation proves that this model is accurate and can be potentially used in an electric vehicle battery management system (BMS.

  13. NEW EPICS/RTEMS IOC BASED ON ALTERA SOC AT JEFFERSON LAB

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Jianxun [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Seaton, Chad [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Allison, Trent L. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Bevins, Brian S. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Cuffe, Anthony W. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States)

    2018-02-01

    A new EPICS/RTEMS IOC based on the Altera System-on-Chip (SoC) FPGA is being designed at Jefferson Lab. The Altera SoC FPGA integrates a dual ARM Cortex-A9 Hard Processor System (HPS) consisting of processor, peripherals and memory interfaces tied seamlessly with the FPGA fabric using a high-bandwidth interconnect backbone. The embedded Altera SoC IOC has features of remote network boot via U-Boot from SD card or QSPI Flash, 1Gig Ethernet, 1GB DDR3 SDRAM on HPS, UART serial ports, and ISA bus interface. RTEMS for the ARM processor BSP were built with CEXP shell, which will dynamically load the EPICS applications at runtime. U-Boot is the primary bootloader to remotely load the kernel image into local memory from a DHCP/TFTP server over Ethernet, and automatically run RTEMS and EPICS. The first design of the SoC IOC will be compatible with Jefferson Lab’s current PC104 IOCs, which have been running in CEBAF 10 years. The next design would be mounting in a chassis and connected to a daughter card via standard HSMC connectors. This standard SoC IOC will become the next generation of low-level IOC for the accelerator controls at Jefferson Lab.

  14. Suppressor of cytokine signaling (SOCS)5 ameliorates influenza infection via inhibition of EGFR signaling.

    Science.gov (United States)

    Kedzierski, Lukasz; Tate, Michelle D; Hsu, Alan C; Kolesnik, Tatiana B; Linossi, Edmond M; Dagley, Laura; Dong, Zhaoguang; Freeman, Sarah; Infusini, Giuseppe; Starkey, Malcolm R; Bird, Nicola L; Chatfield, Simon M; Babon, Jeffrey J; Huntington, Nicholas; Belz, Gabrielle; Webb, Andrew; Wark, Peter Ab; Nicola, Nicos A; Xu, Jianqing; Kedzierska, Katherine; Hansbro, Philip M; Nicholson, Sandra E

    2017-02-14

    Influenza virus infections have a significant impact on global human health. Individuals with suppressed immunity, or suffering from chronic inflammatory conditions such as COPD, are particularly susceptible to influenza. Here we show that suppressor of cytokine signaling (SOCS) five has a pivotal role in restricting influenza A virus in the airway epithelium, through the regulation of epidermal growth factor receptor (EGFR). Socs5 -deficient mice exhibit heightened disease severity, with increased viral titres and weight loss. Socs5 levels were differentially regulated in response to distinct influenza viruses (H1N1, H3N2, H5N1 and H11N9) and were reduced in primary epithelial cells from COPD patients, again correlating with increased susceptibility to influenza. Importantly, restoration of SOCS5 levels restricted influenza virus infection, suggesting that manipulating SOCS5 expression and/or SOCS5 targets might be a novel therapeutic approach to influenza.

  15. NIRS as an alternative to conventional soil analysis for Greenland soils (focus on SOC)

    DEFF Research Database (Denmark)

    Knadel, Maria; Ogric, Mateja; Adhikari, Kabindra

    Soil organic carbon (SOC) is an important soil property. It is the main constituents of soil organic matter and a good indicator of soil quality. The estimation and mapping of SOC content could be used to select potential agricultural areas in the Arctic areas. However, conventional analysis of SOC...... are time consuming and expensive. They involve a lot of sample preparation, and chemicals and are destructive. Near infrared spectroscopy (NIRS) in the range between 400 and 2500 nm is an alternative method for SOC analysis. It is fast and non-destructive. The aims of this study where to test...... the feasibility of using NIRS to estimate SOC content on a landscape and field scale in Greenland. Partial Least squares regression models were built to correlated soil spectra and their reference SOC data to develop calibration models. Very good predictive ability for both landscape and field scale were obtained...

  16. Environmental Assessment of Beale AFB Grazing Lease Program

    Science.gov (United States)

    2012-12-01

    Beale AFB will use livestock (cattle, sheep and goats ) on its properties throughout the year as needed for the control of noxious weeds, reduction...initiating a wildfire. California Farm Bureau Federation policy recognizes that grazing is the most practical and environmentally acceptable way to...Site Monitoring Well Installation and Annual Targeted Goat Grazing Project, Placer County, California. 21 September 2011.  

  17. Transformación de la aflatoxina B1 de alimentos, en el cancerígeno humano, aducto AFB1-ADN

    Directory of Open Access Journals (Sweden)

    Magda Carvajal

    2013-01-01

    Full Text Available Las aflatoxinas (AF son metabolitos secundarios tóxicos principalmente de los hongos Aspergillus flavus y A. parasiticus, son potentes mutágenos y cancerígenos de alimentos y la exposición del hombre a ellas es continuo. Las AF se ligan al ADN, ARN y proteínas formando aductos AFB1-ADN que se acumulan por años y dañan desde los virus hasta el hombre. Es importante identificar y cuantificar estos aductos como biomarcadores de largo tiempo de riesgo de enfermedad, ya que originan mutaciones y cáncer, y están validados en animales y humanos. Las AF se activan en presencia del citocromo P450 como una molécula inestable 8,9 epóxido AFB1, que se liga al N7 de la guanina, y se transforma en los cancerígenos activos o aductos AFB1-Gua y, finalmente, en los aductos AFB1-FAPY y son una medida objetiva de la exposición humana a cancerígenos ambientales. Los aductos representan la exposición, absorción, distribución, metabolismo, reparación del ADN y cambio celular. Los temas tratados aquí son la formación de aductos AFB1-ADN, estudios in vitro e in vivo, exposición a una dieta con AFB1, efectos, desarrollo de cáncer y mutaciones en el gen supresor p53, rutas de exposición, vitaminas, tipos de aducto AFB1-ADN, metodología y su control.

  18. KC-46A Tanker Modernization (KC-46A)

    Science.gov (United States)

    2015-12-01

    Program Startup Workshop with the assistance from Defense Acquisition University at Wright-Patterson Air Force Base, Ohio. July 8 - 10, 2013: The KC-46A...Selected Acquisition Report (SAR) RCS: DD-A&T(Q&A)823-387 KC-46A Tanker Modernization (KC-46A) As of FY 2017 President’s Budget Defense Acquisition ...Deliveries and Expenditures 48 Operating and Support Cost 49 Common Acronyms and Abbreviations for MDAP Programs Acq O&M - Acquisition

  19. Airplane crash

    International Nuclear Information System (INIS)

    Brunner, P.

    1975-01-01

    In May, 1974, a severe airplane crash occurred near Springfield, llinois; the crew of three and a courier were killed. The plane was carrying a large container of controlled water with a slight amount of 60 Co. A survey of the crash site by Air Force detectives and the radiological assistance team from Wright--Patterson Air Force Base indicated no radioactivity. Experiences of the incident were used to develop guidelines for future emergency preparedness

  20. Fatigue Crack Growth and Fracture Behavior of As-Cast Ti-43.5Al-4Nb-1Mo-0.1B (TNM) Compared to Ti-48Al-2Nb-2Cr (4822) (Postprint)

    Science.gov (United States)

    2017-11-22

    unlimited. © ELSEVIER LTD (STINFO COPY) AIR FORCE RESEARCH LABORATORY MATERIALS AND MANUFACTURING DIRECTORATE WRIGHT-PATTERSON AIR FORCE BASE, OH...published in Intermetallics, Vol. 91, 17 Sep 2017. © 2017 Elsevier Ltd. The U.S. Government is joint author of the work and has the right to use, modify...M.S. Dahar). Intermetallics 91 (2017) 158–168 Available online 17 September 2017 0966-9795/ © 2017 Elsevier Ltd. All rights reserved. MARK 1

  1. Synergy of SOCS-1 Inhibition and Microbial-Based Cancer Vaccines

    Science.gov (United States)

    2013-09-01

    SH2 domain; a docking motif for interaction with tyrosine...IL‐ 4, IL‐7, IL‐15), IFN‐α, IFN‐γ, and IL‐12. While the SH2 domain targets the SOCS proteins to specific molecules within the JAK‐ STAT pathway, the...SOCS‐box functions as an E3 ubiquitin ligase, promoting degradation of the cytokine receptor complex. SOCS1 also contains a kinase inhibitory

  2. Sewall Wright's equation Deltaq=(q(1-q) partial differentialw/ partial differentialq)/2w.

    Science.gov (United States)

    Edwards, A W

    2000-02-01

    An equation of Sewall Wright's expresses the change in the frequency of an allele under selection at a multiallelic locus as a function of the gradient of the mean fitness "surface" in the direction in which the relative proportions of the other alleles do not change. An attempt to derive this equation using conventional vector calculus shows that this description leads to a different equation and that the purported gradient in Wright's equation is not a gradient of the mean fitness surface except in the diallelic case, where the two equations are the same. It is further shown that if Fisher's angular transformation is applied to the diallelic case the genic variance is exactly equal to one-eighth of the square of the gradient of the mean fitness with respect to the transformed gene frequency. Copyright 2000 Academic Press.

  3. Impact of vegetation types on soil organic carbon stocks SOC-S in Mediterranean natural areas

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Cantudo-Pérez, Marta

    2015-04-01

    Soils play a key role in the carbon geochemical cycle because they can either emit large quantities of CO2 or on the contrary they can act as a store for carbon. Agriculture and forestry are the only activities that can achieve this effect through photosynthesis and the carbon incorporation into carbohydrates (Parras-Alcántara et al., 2013). The Mediterranean evergreen oak Woodland (MEOW - dehesa) is a type of pasture with scattered evergreen and deciduous oak stands in which cereals are often grown under the tree cover. It is a system dedicated to the combined production of Iberian swine, sheep, fuel wood, coal and cork as well as to hunting. These semi-natural areas still preserve some of the primitive vegetation of the Mediterranean oak forests. The dehesa is a pasture where the herbaceous layer is comprised of either cultivated cereals such as oat, barley and wheat or native vegetation dominated by annual species, which are used as grazing resources. These Iberian open woodland rangelands (dehesas) have been studied from different points of view: hydrologically, with respect to soil organic matter content, as well as in relation to gully erosion, topographical thresholds, soil erosion and runoff production, soil degradation and management practices…etc, among others. The soil organic carbon stock capacity depends not only on abiotic factors such as the mineralogical composition and the climate, but also on soil use and management (Parras et al., 2014 and 2015). In Spanish soils, climate, use and management strongly affect the carbon variability, mainly in soils in dry Mediterranean climates characterized by low organic carbon content, weak structure and readily degradable soils. Hontoria et al. (2004) emphasized that the climate and soil use are two factors that greatly influence carbon content in the Mediterranean climate. This research sought to analyze the SOC stock (SOCS) variability in MEOW - dehesa with cereals, olive grove and Mediterranean oak forest

  4. DMPD: Regulation of cytokine signaling by SOCS family molecules. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 14644140 Regulation of cytokine signaling by SOCS family molecules. Fujimoto M, Nak...a T. Trends Immunol. 2003 Dec;24(12):659-66. (.png) (.svg) (.html) (.csml) Show Regulation of cytokine signaling by SOCS family... molecules. PubmedID 14644140 Title Regulation of cytokine signaling by SOCS family molec

  5. Level II Ergonomic Analyses, Dover AFB, DE

    Science.gov (United States)

    1999-02-01

    IERA-RS-BR-TR-1999-0002 UNITED STATES AIR FORCE IERA Level II Ergonomie Analyses, Dover AFB, DE Andrew Marcotte Marilyn Joyce The Joyce...Project (070401881, Washington, DC 20503. 1. AGENCY USE ONLY (Leave blank) 2. REPORT DATE 4. TITLE AND SUBTITLE Level II Ergonomie Analyses, Dover...1.0 INTRODUCTION 1-1 1.1 Purpose Of The Level II Ergonomie Analyses : 1-1 1.2 Approach 1-1 1.2.1 Initial Shop Selection and Administration of the

  6. An Overview and Comparison of Online Implementable SOC Estimation Methods for Lithium-ion Battery

    DEFF Research Database (Denmark)

    Meng, Jinhao; Ricco, Mattia; Luo, Guangzhao

    2018-01-01

    . Many SOC estimation methods have been proposed in the literature. However, only a few of them consider the real-time applicability. This paper reviews recently proposed online SOC estimation methods and classifies them into five categories. Their principal features are illustrated, and the main pros...... and cons are provided. The SOC estimation methods are compared and discussed in terms of accuracy, robustness, and computation burden. Afterward, as the most popular type of model based SOC estimation algorithms, seven nonlinear filters existing in literature are compared in terms of their accuracy...

  7. Ecopoetic Encounters: Amnesia and Nostalgia in Alexis Wright's Environmental Fiction

    OpenAIRE

    Barras Arnaud

    2015-01-01

    In Carpentaria (2006) and The Swan Book (2013), Alexis Wright establishes an allegorical mode where she reimagines Europeans' first encounters with Australia from an Aboriginal environmental perspective. In this narrative system, the discovery of Australia is not realised by exploring colonisers, but by vulnerable strangers who apprehend the continent both experientially and linguistically. In Carpentaria, the Stranger-figure of Elias Smith is left amnesic after surviving a shipwreck during a...

  8. Key KdSOC1 gene expression profiles during plantlet morphogenesis under hormone, photoperiod, and drought treatments.

    Science.gov (United States)

    Liu, C; Zhu, C; Zeng, H M

    2016-02-11

    Kalanchoe daigremontiana utilizes plantlet formation between its zigzag leaf margins as its method of asexual reproduction. In this study, K. daigremontiana SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (KdSOC1), a key intermediate in the transition from vegetative to asexual growth, was cloned. Furthermore, its expression profiles during plantlet formation under different environmental and hormone induction conditions were analyzed. The full-KdSOC1 cDNA sequence length was 1410 bp with 70% shared homology with Carya cathayensis SOC1. The conserved domain search of KdSOC1 showed the absence of I and C domains, which might indicate novel biological functions in K. daigremontiana. The full-KdSOC1 promoter sequence was 1401 bp long and contained multiple-hormone-responsive cis-acting elements. Hormone induction assays showed that gibberellins and salicylic acid mainly regulated KdSOC1 expression. The swift change from low to high KdSOC1 expression levels during long-day induction was accompanied by the rapid emergence of plantlets. Drought stress stimulated KdSOC1 expression in leaves both with and without plantlet formation. Together, the results suggested that KdSOC1 was closely involved in environmental stimulation signal perception and the transduction of K. daigremontiana plantlet formation. Therefore, future identification of KdSOC1 functions might reveal key information that will help elucidate the transition network between embryogenesis and organogenesis during plantlet formation.

  9. The portrait of a prophet – why is Wright not right about Jesus?

    African Journals Online (AJOL)

    p1243322

    suited to describe the portrait of Jesus emanating from the sources at hand. He furthermore .... Wright maintains that Jesus retold Israel's story, both explicitly and implicitly, as ..... of which were engraved in the palms of their hands. To reduce ...

  10. Multiobjective Optimal Control Methodology for the Analysis of Certain Sociodynamic Problems

    Science.gov (United States)

    2009-03-01

    but less expensive in both time and memory. 137 References [1] R. Albert and A-L Barabasi. Statistical mechanics of complex networks. Reviews of Modern...Review, E(51):4282–4286, 1995. [24] D. Helbing, P. Molnar, and F. Schweitzer . Computer simulation of pedestrian dynamics and trail formation. May 1998...Patterson AFB, OH, 2001. [49] F. Schweitzer . Brownian Agents and Active Particles. Springer, Santa Fe, NM, 2003. [50] P. Sen. Complexities of social

  11. LA ESTRUCTURA ORGÁNICA EN LOS RASCACIELOS DE FRANK LLOYD WRIGHT / The organic structure in the skyscrapers of Frank Lloyd Wright

    Directory of Open Access Journals (Sweden)

    Alfonso Diaz Segura, Ricardo Meri de la Maza, Bartolomé Serra Soriano

    2013-05-01

    Full Text Available RESUMEN La estructura de la modernidad, en general, está al servicio de una nueva concepción espacial fluida y continua, condensada en torno al concepto de “planta libre”. Sin embargo, la riqueza y complejidad de articulación que adquieren las plantas, no se observa en la sección de los edificios, especialmente si se trata de rascacielos. El principio de crecimiento por superposición de plantas iguales y el carácter utilitario de la estructura en esta tipología, anularon su carácter iconográfico y su integración espacial. Frank Lloyd Wright, de modo natural, desarrolla una estructura para sus escasos rascacielos que integra espacio y forma, superando así tanto la simplificación funcional de la Escuela de Chicago, como el valor iconográfico de las experiencias europeas.SUMMARY In general, the structure of modernity serves a new, fluid and continuous, spatial conception, condensed around the “free floor” concept. However, the wealth and complexity of articulation that these floors acquire are not seen in the section of the buildings, especially in the case of skyscrapers. The principle of growth by superimposition of equal floors and the utilitarian character of the structure in this type of building, nullify the iconographic character of the structure and its spatial integration. Frank Lloyd Wright develops a structure for his few skyscrapers that integrates space and form in a natural way, thus surpassing both the functional simplification of the Chicago School, and the iconographic value of the European experiences.

  12. Invertendo a “Passagem Atlântica”: O “regresso” de Richard Wright a África

    Directory of Open Access Journals (Sweden)

    Ana Luísa Saraiva

    2012-10-01

    Full Text Available O texto discute o sentido polémico do “regresso” a África que Richard Wright inscreve em Black Power e a forma como a narrativa da viagem subverte a “Middle Passage”. O sujeito narrativo orienta o leitor através de uma incursão pessoal nos muitos sentidos da modernidade e desdobra a noção de “destino comum”, já apontada em 12 Million Black Voices. Contudo, qualquer sentido de comunidade é aqui necessariamente ambíguo, por estar sempre relacionado com questões de raça e identidade. Black Power é uma narrativa importante para o conceito de modernidade e assinala uma mudança significativa na produção literária de Wright para uma vertente não-ficcional. Esta segunda fase da sua obra contém, no entanto, um paradoxo crucial: enquanto se volta para o exterior, para o mundo mais global, Wright tenta, simultaneamente, inscrever-se como referência sobre o locus do qual nunca poderia demarcar‑se: África. Em Black Power, a duboisiana “color line” desdobra‑se em múltiplas dimensões.

  13. Burrowing Owl and Other Migratory Bird Mitigation for a Runway Construction Project at Edwards AFB

    OpenAIRE

    Hoehn, Amber L.; Hagan, Mark; Bratton, Mark

    2009-01-01

    Edwards Air Force Base (AFB) scheduled the construction of a runway in the spring of 2007. The runway would be in an area that contained migratory birds and their habitat. The construction project would be near Edwards AFB main runway and had the potential not only to impact species protected under the Migratory Bird Treaty Act (MBTA), including the burrowing owl (Athene cunicularia), but also to increase bird and wildlife–aircraft strike hazards in the active flightline areas. To discourage ...

  14. Final Environmental Assessment: Replace Hanscom AFB Middle School

    Science.gov (United States)

    2012-04-01

    2012 iv RFTA Reserve Forces Training Area SAPS Satellite Accumulation Points SF Square feet SIP State Implementation Plan SO2 Sulfur dioxide...Restoration Program (IRP), now called the Environmental Restoration Program ( ERP ). Subsequent discoveries increased the number of sites to 22. Each...EPA under CERCLA or by the Commonwealth of Massachusetts. No sites listed in the ERP for Hanscom AFB are located on or near the existing Middle

  15. Effects of SOC-dependent electrolyte viscosity on performance of vanadium redox flow batteries

    International Nuclear Information System (INIS)

    Xu, Q.; Zhao, T.S.; Zhang, C.

    2014-01-01

    Highlights: • The correlations of electrolyte viscosity and SOC are obtained. • Effect of SOC-dependent electrolyte viscosity is considered in this model. • This model enables a more realistic simulation of variable distributions. • It provides accurate estimations of pumping work and system efficiency. - Abstract: The viscosity of the electrolyte in vanadium redox flow batteries (VRFBs) varies during charge and discharge as the concentrations of acid and vanadium ions in the electrolyte continuously change with the state of charge (SOC). In previous VRFB models, however, the electrolyte has been treated as a constant-viscosity solution. In this work, a mass-transport and electrochemical model taking account of the effect of SOC-dependent electrolyte viscosity is developed. The comparison between the present model and the model with the constant-viscosity simplification indicates that the consideration of the SOC-dependent electrolyte viscosity enables (i) a more realistic simulation of the distributions of overpotential and current density in the electrodes, and (ii) more accurate estimations of pumping work and the system efficiency of VRFBs

  16. Characterization and Modeling of a Control Moment Gyroscope

    Science.gov (United States)

    2015-03-26

    pre- conditioner for the input state before passing into the inner loop, as shown in Figure 30. In Figure 30, m is the motor angle and x is the state...Characterization and Modeling of a Control Moment Gyroscope THESIS 2d Lt, Dylan Penn, B.S. AFIT-ENY-MS-15-M-235 DEPARTMENT OF THE AIR FORCE AIR ...UNIVERSITY AIR FORCE INSTITUTE OF TECHNOLOGY Wright-Patterson Air Force Base, Ohio DISTRIBUTION STATEMENT A APPROVED FOR PUBLIC RELEASE; DISTRIBUTION

  17. Combustion chemical vapor deposition (CCVD) of LaPO4 monazite and beta-alumina on alumina fibers for ceramic matrix composites

    International Nuclear Information System (INIS)

    Hwang, T.J.; Hendrick, M.R.; Shao, H.; Hornis, H.G.; Hunt, A.T.

    1998-01-01

    This research used the low cost, open atmosphere combustion chemical vapor deposition (CCVD SM ) method to efficiently deposit protective coatings onto alumina fibers (3M Nextel TM 610) for use in ceramic matrix composites (CMCs). La-monazite (LaPO 4 ) and beta-alumina were the primary candidate debonding coating materials investigated. The coated fibers provide thermochemical stability, as well as desired debonding/sliding interface characteristics to the CMC. Dense and uniform La-phosphate coatings were obtained at deposition temperatures as low as 900-1000 C with minimal degradation of fibers. However, all of the β-alumina phases required high deposition temperatures and, thus, could not be applied onto the Nextel TM 610 alumina fibers. The fibers appeared to have complete and relatively uniform coatings around individual filaments when 420 and 1260 filament tows were coated via the CCVD process. Fibers up to 3 feet long were fed through the deposition flame in the laboratory of MicroCoating Technologies (MCT). TEM analyses performed at Wright-Patterson AFB on the CCVD coated fibers showed a 10-30 nm thick La-rich layer at the fiber/coating interface, and a layer of columnar monazite 0.1-1 μm thick covered with sooty carbon of <50 nm thick on the outside. A single strength test on CCVD coated fibers performed by 3M showed that the strength value fell in the higher end of data from other CVD coated samples. (orig.)

  18. Robustness of SOC Estimation Algorithms for EV Lithium-Ion Batteries against Modeling Errors and Measurement Noise

    Directory of Open Access Journals (Sweden)

    Xue Li

    2015-01-01

    Full Text Available State of charge (SOC is one of the most important parameters in battery management system (BMS. There are numerous algorithms for SOC estimation, mostly of model-based observer/filter types such as Kalman filters, closed-loop observers, and robust observers. Modeling errors and measurement noises have critical impact on accuracy of SOC estimation in these algorithms. This paper is a comparative study of robustness of SOC estimation algorithms against modeling errors and measurement noises. By using a typical battery platform for vehicle applications with sensor noise and battery aging characterization, three popular and representative SOC estimation methods (extended Kalman filter, PI-controlled observer, and H∞ observer are compared on such robustness. The simulation and experimental results demonstrate that deterioration of SOC estimation accuracy under modeling errors resulted from aging and larger measurement noise, which is quantitatively characterized. The findings of this paper provide useful information on the following aspects: (1 how SOC estimation accuracy depends on modeling reliability and voltage measurement accuracy; (2 pros and cons of typical SOC estimators in their robustness and reliability; (3 guidelines for requirements on battery system identification and sensor selections.

  19. Enhancement of antiproliferative activity of interferons by RNA interference-mediated silencing of SOCS gene expression in tumor cells.

    Science.gov (United States)

    Takahashi, Yuki; Kaneda, Haruka; Takasuka, Nana; Hattori, Kayoko; Nishikawa, Makiya; Watanabe, Yoshihiko; Takakura, Yoshinobu

    2008-08-01

    The suppressor of cytokine signaling (SOCS) proteins, negative regulators of interferon (IFN)-induced signaling pathways, is involved in IFN resistance of tumor cells. To improve the growth inhibitory effect of IFN-beta and IFN-gamma on a murine melanoma cell line, B16-BL6, and a murine colon carcinoma cell line, Colon26 cells, SOCS-1 and SOCS-3 gene expression in tumor cells was downregulated by transfection of plasmid DNA expressing short hairpin RNA targeting one of these genes (pshSOCS-1 and pshSOCS-3, respectively). Transfection of pshSOCS-1 significantly increased the antiproliferative effect of IFN-gamma on B16-BL6 cells. However, any other combinations of plasmids and IFN had little effect on the growth of B16-BL6 cells. In addition, transfection of pshSOCS-1 and pshSOCS-3 produced little improvement in the effect of IFN on Colon26 cells. To understand the mechanism underlining these findings, the level of SOCS gene expression was measured by real time polymerase chain reaction. Addition of IFN-gamma greatly increased the SOCS-1 mRNA expression in B16-BL6 cells. Taking into account the synergistic effect of pshSOCS-1 and IFN-gamma on the growth of B16-BL6 cells, these findings suggest that IFN-gamma-induced high SOCS-1 gene expression in B16-BL6 cells significantly interferes with the antiproliferative effect of IFN-gamma. These results indicate that silencing SOCS gene expression can be an effective strategy to enhance the antitumor effect of IFN under conditions in which the SOCS gene expression is upregulated by IFN.

  20. 76 FR 1211 - Union Pacific Railroad Company-Abandonment Exemption-In Wright County, IA

    Science.gov (United States)

    2011-01-07

    ... DEPARTMENT OF TRANSPORTATION Surface Transportation Board [Docket No. AB 33 (Sub-No. 293X)] Union Pacific Railroad Company--Abandonment Exemption--In Wright County, IA Union Pacific Railroad Company (UP) filed a verified notice of exemption under 49 CFR 1152 subpart F--Exempt Abandonments to abandon a line...

  1. Neuroblastoma - remembering the three physicians who described it a century ago: James Homer Wright, William Pepper, and Robert Hutchison

    International Nuclear Information System (INIS)

    Rothenberg, Alexis B.; Berdon, Walter E.; D'Angio, Giulio J.; Yamashiro, Darrell J.; Cowles, Robert A.

    2009-01-01

    Neuroblastoma is often widespread at the time of diagnosis. Three physicians between 1900 and 1910 played an important role in the pathologic definition of neuroblastoma and its route of spread in relation to the age of the patient. These findings eventually led to the advances in treatment and decreased morbidity of today. In 1910 James Homer Wright was the first to recognize the tumor as being of primitive neural cell origin, calling it neuroblastoma and emphasizing the bundle of cells termed rosettes. While Wright recognized the neural nature of the tumor, the authors of previous reports had described its two distinct patterns of spread. In 1901 William Pepper published a series of infants with massive hepatic infiltration associated with adrenal tumors without spread to bone, and in 1907 Robert Grieve Hutchison reported his experience with a similar pathologic process in older infants and children who had orbital and skull metastases. Wright's valuable unifying concept served to tie together the descriptions of Pepper and Hutchison. A century later the names of these physicians should be remembered - Wright, who defined the adrenal tumor as of primitive neural origin, Pepper for his clinically accurate report of massive liver involvement in the infant, and Hutchison for describing the propensity of the tumor to spread to bone in older children. (orig.)

  2. Building Energy Audit Report, for Hickam AFB, HI

    Energy Technology Data Exchange (ETDEWEB)

    Chvala, William D.; De La Rosa, Marcus I.; Brown, Daryl R.; Dixon, Douglas R.

    2010-09-30

    A building energy assessment was performed by a team of engineers from Pacific Northwest National Laboratory (PNNL) under contract to the Department of Energy/Federal Energy Management program (FEMP). The effort used the Facility Energy Decision System (FEDS) model to determine how energy is consumed at Hickam AFB, identify the most cost-effective energy retrofit measures, and calculate the potential energy and cost savings. This documents reports the results of that assessment.

  3. Kitchenette: Hell or Home? Different"Kitchenette"Images Created by Richard Wright and Gwendolyn Brooks%Kitchenette:Hell or Home?Different"Kitchenette"Images Created by Richard Wright and Gwendolyn Brooks

    Institute of Scientific and Technical Information of China (English)

    肖志宏

    2017-01-01

    How the southern black migrants navigate and survive the northern urban space is a question profoundly explored and realistically documented in many migration narratives in African American literature. This paper concentrates on the image of Chicago"kitchenette"in the works of Richard Wright and Gwendolyn Brooks, in an attempt to demonstrate the different inter-pretations of migrant spaces on the urban landscape.

  4. A multiscale framework with extended Kalman filter for lithium-ion battery SOC and capacity estimation

    International Nuclear Information System (INIS)

    Hu, Chao; Youn, Byeng D.; Chung, Jaesik

    2012-01-01

    Highlights: ► We develop a mutiscale framework with EKF to estimate SOC and capacity. ► The framework is a hybrid of coulomb counting and adaptive filtering techniques. ► It decouples SOC and capacity estimation in terms of measurement and time-scale. ► Results verify the framework achieves higher accuracy and efficiency than dual EKF. -- Abstract: State-of-charge (SOC) and capacity estimation plays an essential role in many battery-powered applications, such as electric vehicle (EV) and hybrid electric vehicle (HEV). However, commonly used joint/dual extended Kalman filter (EKF) suffers from the lack of accuracy in the capacity estimation since (i) the cell voltage is the only measurable data for the SOC and capacity estimation and updates and (ii) the capacity is very weakly linked to the cell voltage. The lack of accuracy in the capacity estimation may further reduce the accuracy in the SOC estimation due to the strong dependency of the SOC on the capacity. Furthermore, although the capacity is a slowly time-varying quantity that indicates cell state-of-health (SOH), the capacity estimation is generally performed on the same time-scale as the quickly time-varying SOC, resulting in high computational complexity. To resolve these difficulties, this paper proposes a multiscale framework with EKF for SOC and capacity estimation. The proposed framework comprises two ideas: (i) a multiscale framework to estimate SOC and capacity that exhibit time-scale separation and (ii) a state projection scheme for accurate and stable capacity estimation. Simulation results with synthetic data based on a valid cell dynamic model suggest that the proposed framework, as a hybrid of coulomb counting and adaptive filtering techniques, achieves higher accuracy and efficiency than joint/dual EKF. Results of the cycle test on Lithium-ion prismatic cells further verify the effectiveness of our framework.

  5. Defense of Defense Human Factors Engineering Technical Advisory Group Meeting Summary

    Science.gov (United States)

    2012-07-01

    Patterson AFB Lab; 40 in attendance  Mixer and Student Poster Session held at Hilton Garden Inn Hotel 4 DoD HFE TAG Distribution A: Cleared for...Looking for co-chairs ACTION: Pam Savage -Knepshield noted that this subTAG and others were mostly chaired by AF and Navy. She took an Action to...Feb 13 • Current Chair (Navy) CAPT Dylan Schmorrow • Vice Chair (Air Force) Major Eric Phillips • Past Chair (Army) Dr. Pam Savage

  6. Study and application of the balloon frame system to the industrialization of housing: the case of the American System-Built Houses of Frank Lloyd Wright

    Directory of Open Access Journals (Sweden)

    B. Serra Soriano

    2017-06-01

    Full Text Available Within his large architectural production, Frank Lloyd Wright had the opportunity to experiment with the timber industrialization, linking a traditional material with the modern sense of architecture. Wood and Frank Lloyd Wright are inseparable from the balloon frame system, a system which he will use at his first housing and through which he will materialize the spatial decomposition concept. The research on the particular American System-Built Houses case will serve to show the earliest experiences of Wright with the industry, whose conclusions he would use for subsequent researches on prefabrication.

  7. The Immunohistochemical Analysis of SOCS3 Protein Identifies a Subgroup of Prostatic Cancer Biopsies With Aggressive Behavior.

    Science.gov (United States)

    Pierconti, Francesco; Martini, Maurizio; Cenci, Tonia; Larocca, Luigi M

    Recently, we demonstrated that hypermethylation of SOCS3 determines a significant reduction of its mRNA and protein expression and identifies a subgroup of prostate cancer with aggressive behavior. In this paper, our objective was to investigate whether the immunohistochemical expression of the SOCS3 protein could represent an alternative method to molecular analysis for the individualization of aggressive prostate carcinoma. We analyzed the SOCS3 immunohistochemical expression in 65 patients undergoing biopsies at the Institute of Urology of our hospital between September 2011 and October 2011 (median age, 66.4 y; range, 50 to 73 y), and in 35 cases, a subset of 65 cases originally used for the immunohistochemical study, we studied the methylation status of the SOCS3 promoter. We found that the percentage of cases with SOCS3 negativity (-) or with SOCS3 weak staining in <50% of the neoplastic glands (+/-) correlated to the worst prognosis in terms of the Gleason score (P=0.0001; Fisher's exact test), the pT stage (P=0.012; Fisher's exact test), and progression-free survival (P=0.0334; hazard ratio, 0.34; and 95% confidence interval, from 0.1261 to 0.9188). Moreover, some cases with an SOCS3 unmethylated pattern showed SOCS3-negative immunostaining (-) or SOCS3-negative glands with weak cytoplasmatic staining in <50% of the neoplastic glands (+/-). Our data suggest that in prostatic cancer biopsies, the immunohistochemical analysis of SOCS3 protein expression may provide a method that is less expensive and easier to apply than SOCS3 methylation analysis for the distinction of a subgroup of prostate cancer with a more aggressive behavior.

  8. Purification of SOCS (Suppressor of Cytokine Signaling) SH2 Domains for Structural and Functional Studies.

    Science.gov (United States)

    Liau, Nicholas P D; Laktyushin, Artem; Babon, Jeffrey J

    2017-01-01

    Src Homology 2 (SH2) domains are protein domains which have a high binding affinity for specific amino acid sequences containing a phosphorylated tyrosine residue. The Suppressors of Cytokine Signaling (SOCS) proteins use an SH2 domain to bind to components of certain cytokine signaling pathways to downregulate the signaling cascade. The recombinantly produced SH2 domains of various SOCS proteins have been used to undertake structural and functional studies elucidating the method of how such targeting occurs. Here, we describe the protocol for the recombinant production and purification of SOCS SH2 domains, with an emphasis on SOCS3.

  9. Environmental Assessment, Glide Slope/Clear Zone Obstructions, Wright-Patterson Air Force Base, Ohio

    Science.gov (United States)

    2013-06-01

    the Integrated Cultural Resources Managemen t Plan. Historic resou rces determined to be eligible fo r listing on the National Register of Histo ric... Operations and Reports, 1215 Jefferson Davis Highway, Suite 1204, Arlington VA 22202-4302. Respondents should be aware that notwithstanding any other...and clear zones (CZs) at WPAr B in order to protect human hea lth and safety by providing adequate clearance for aircraft operations . The existing

  10. 3 CFR 8466 - Proclamation 8466 of December 16, 2009. Wright Brothers Day, 2009

    Science.gov (United States)

    2010-01-01

    ... alter our world. Today, we honor the enduring American spirit of creativity and innovation that made the.... In these challenging times, the story of Orville and Wilbur Wright reminds us of what can be accomplished when imagination is joined with tenacity. Their spirit lives on in every garage and basement...

  11. The portrait of a prophet – why is Wright not right about Jesus ...

    African Journals Online (AJOL)

    question and then bases a reconstruction of Jesus on this answer. What, then, are his sources and how does he apply them to arrive at these conclusions? This article presents the portrait Wright painted of the historical Jesus and investigates how it was arrived at. HTS Theological Studies/Teologiese Studies Vol.

  12. SoC Estimation for Lithium-ion Batteries: Review and Future Challenges

    Directory of Open Access Journals (Sweden)

    Juan Pablo Rivera-Barrera

    2017-11-01

    Full Text Available Energy storage emerged as a top concern for the modern cities, and the choice of the lithium-ion chemistry battery technology as an effective solution for storage applications proved to be a highly efficient option. State of charge (SoC represents the available battery capacity and is one of the most important states that need to be monitored to optimize the performance and extend the lifetime of batteries. This review summarizes the methods for SoC estimation for lithium-ion batteries (LiBs. The SoC estimation methods are presented focusing on the description of the techniques and the elaboration of their weaknesses for the use in on-line battery management systems (BMS applications. SoC estimation is a challenging task hindered by considerable changes in battery characteristics over its lifetime due to aging and to the distinct nonlinear behavior. This has led scholars to propose different methods that clearly raised the challenge of establishing a relationship between the accuracy and robustness of the methods, and their low complexity to be implemented. This paper publishes an exhaustive review of the works presented during the last five years, where the tendency of the estimation techniques has been oriented toward a mixture of probabilistic techniques and some artificial intelligence.

  13. Ada Compiler Validation Summary Report: Certificate Number 890711W1. 10109 Concurrent Computer Corporation C(3) Ada, Version R02-02.00 Concurrent Computer Corporation 3280 MPS

    Science.gov (United States)

    1989-07-11

    Wright-Patterson ATB Dayton, OH, USA Ada Joint Prograr Office United States De artment of Defense " * ’ , Washington, DC 2 301-3061 i4. I 10 k A$ -h-Y...dependent but is permitted by the Ada Standard. Six classes of tests are used. These tests are designed to perform checks at compile time, at link time...the direction of the AVF according to procedures establizhed by the Ada Joia ,, Program Office and administered by the Ada Validation Organization (AVO

  14. Probing into the Secret of the Chinese Air Force.

    Science.gov (United States)

    1983-11-30

    Ri35 968 PROBING INTO THE SECRET OF THE CHINESE AIR FOREE(IJ 1/2 FOREIGN TECHNOLOGY DIV WRIGHT-PATTERSON RFB OH 9 38 NOV 83 FTD-ID(,RS)T 1088 3...FOREIGN TECHNOLOGY DIVISION. PROBING INTO THE SECRET OF THE CHINESE AIRFORCE CL1 Approved for public re.lease; distribution unlimited C=)X ~ EET...MICROFICHE NR: FTD-83-C-001469 PROBING INTO THE SECRET OF THE CHINESE AIRFORCE -" -English pages: 111 Source: Enclosure to IR 6 842 0088 83-Booklet

  15. Synthesis of highly monodispersed Ga-soc-MOF hollow cubes, colloidosomes and nanocomposites

    KAUST Repository

    Cai, Xuechao

    2016-07-06

    Ga-soc-MOF hollow cubes with an average size of about 300 nm were prepared by a polyvinylpyrrolidone (PVP) assisted acid etching process. Colloidosomes with sizes of around 5-10 mu m composed of single-layer tetrakaidecahedron building blocks (BBs) were synthesized for the first time. Au@Ga-soc-MOF nanocomposites with excellent catalytic properties were obtained.

  16. Synthesis of highly monodispersed Ga-soc-MOF hollow cubes, colloidosomes and nanocomposites

    KAUST Repository

    Cai, Xuechao; Deng, Xiaoran; Xie, Zhongxi; Bao, Shouxin; Shi, Yanshu; Lin, Jun; Pang, Maolin; Eddaoudi, Mohamed

    2016-01-01

    Ga-soc-MOF hollow cubes with an average size of about 300 nm were prepared by a polyvinylpyrrolidone (PVP) assisted acid etching process. Colloidosomes with sizes of around 5-10 mu m composed of single-layer tetrakaidecahedron building blocks (BBs) were synthesized for the first time. Au@Ga-soc-MOF nanocomposites with excellent catalytic properties were obtained.

  17. Boosted gain programmable OpAmp with embedded gain monitor for dependable SoCs

    NARCIS (Netherlands)

    Wan, J.; Kerkhoff, Hans G.

    2011-01-01

    SoCs used in safety-critical applications need to be dependable. However in the deep-submicron region, different kinds of aging effects like negative bias temperature instability (NBTI) make the SoCs, especially the analog/mixed-signal parts, undependable. In this paper, a dependability-improved

  18. Low-Power Differential SRAM design for SOC Based on the 25-um Technology

    Science.gov (United States)

    Godugunuri, Sivaprasad; Dara, Naveen; Sambasiva Nayak, R.; Nayeemuddin, Md; Singh, Yadu, Dr.; Veda, R. N. S. Sunil

    2017-08-01

    In recent, the SOC styles area unit the vast complicated styles in VLSI these SOC styles having important low-power operations problems, to comprehend this we tend to enforced low-power SRAM. However these SRAM Architectures critically affects the entire power of SOC and competitive space. To beat the higher than disadvantages, during this paper, a low-power differential SRAM design is planned. The differential SRAM design stores multiple bits within the same cell, operates at minimum in operation low-tension and space per bit. The differential SRAM design designed supported the 25-um technology using Tanner-EDA Tool.

  19. Finding No Significant Impact: Aircraft Weather Shelter at Laughlin AFB, TX

    Science.gov (United States)

    2004-06-01

    surface water flow is southeast into the Ro Grande and down toward the Gulf of Mexico. Lake Amistad reservoir, located approximately 12 miles northwest...characterized Lake Amistad reservoir as having excellent water quality (USAF, 1997). Laughlin AFB contains a total of 19 acres of surface water

  20. Very High-Performance Advanced Filter Bank Analog-to-Digital Converter (AFB ADC) Project

    National Research Council Canada - National Science Library

    Velazquez, Scott

    1999-01-01

    ... of the art by using a parallel array of individual commercial off the shelf converters. The significant performance improvements afforded by the Advanced Filter Bank Analog to Digital Converter (AFB ADC...

  1. Socs36E Controls Niche Competition by Repressing MAPK Signaling in the Drosophila Testis.

    Directory of Open Access Journals (Sweden)

    Marc Amoyel

    2016-01-01

    Full Text Available The Drosophila testis is a well-established system for studying stem cell self-renewal and competition. In this tissue, the niche supports two stem cell populations, germ line stem cells (GSCs, which give rise to sperm, and somatic stem cells called cyst stem cells (CySCs, which support GSCs and their descendants. It has been established that CySCs compete with each other and with GSCs for niche access, and mutations have been identified that confer increased competitiveness to CySCs, resulting in the mutant stem cell and its descendants outcompeting wild type resident stem cells. Socs36E, which encodes a negative feedback inhibitor of the JAK/STAT pathway, was the first identified regulator of niche competition. The competitive behavior of Socs36E mutant CySCs was attributed to increased JAK/STAT signaling. Here we show that competitive behavior of Socs36E mutant CySCs is due in large part to unbridled Mitogen-Activated Protein Kinase (MAPK signaling. In Socs36E mutant clones, MAPK activity is elevated. Furthermore, we find that clonal upregulation of MAPK in CySCs leads to their outcompetition of wild type CySCs and of GSCs, recapitulating the Socs36E mutant phenotype. Indeed, when MAPK activity is removed from Socs36E mutant clones, they lose their competitiveness but maintain self-renewal, presumably due to increased JAK/STAT signaling in these cells. Consistently, loss of JAK/STAT activity in Socs36E mutant clones severely impairs their self-renewal. Thus, our results enable the genetic separation of two essential processes that occur in stem cells. While some niche signals specify the intrinsic property of self-renewal, which is absolutely required in all stem cells for niche residence, additional signals control the ability of stem cells to compete with their neighbors. Socs36E is node through which these processes are linked, demonstrating that negative feedback inhibition integrates multiple aspects of stem cell behavior.

  2. Comparison Study on the Battery SoC Estimation with EKF and UKF Algorithms

    Directory of Open Access Journals (Sweden)

    Hongwen He

    2013-09-01

    Full Text Available The battery state of charge (SoC, whose estimation is one of the basic functions of battery management system (BMS, is a vital input parameter in the energy management and power distribution control of electric vehicles (EVs. In this paper, two methods based on an extended Kalman filter (EKF and unscented Kalman filter (UKF, respectively, are proposed to estimate the SoC of a lithium-ion battery used in EVs. The lithium-ion battery is modeled with the Thevenin model and the model parameters are identified based on experimental data and validated with the Beijing Driving Cycle. Then space equations used for SoC estimation are established. The SoC estimation results with EKF and UKF are compared in aspects of accuracy and convergence. It is concluded that the two algorithms both perform well, while the UKF algorithm is much better with a faster convergence ability and a higher accuracy.

  3. El Hotel Imperial de Tokyo de Frank Lloyd Wright. Un monumento del siglo XX redivivo

    Directory of Open Access Journals (Sweden)

    Fernando Vegas López-Manzanares

    2004-12-01

    Full Text Available El texto describe el arco temporal que discurre desde el nacimiento del hotel hasta el momento de su reconstrucción. En primer lugar, indaga en la genealogía del proyecto, para abundar posteriormente en los entresijos de su construcción. Su supuesta condición indemne tras el terremoto de Kanto dio alas a Wright para reivindicar su genialidad y permitió su mitificación, aunque este hecho no sirviera para evitar las bombas en la segunda guerra mundial, ni su demolición posterior. Al hilo del discurso, se realiza una incursión en el mundo de las patologías que afectan a las obras de Wright, en la destrucción de algunas de ellas y en la relación personal del arquitecto con el mundo de la restauración.

  4. Analysis of the bioclimatic strategies used by Frank Lloyd Wright in the Jacobs I house

    Directory of Open Access Journals (Sweden)

    M. Beltrán-Fernández

    2017-10-01

    Full Text Available Frank Lloyd Wright is considered one of the most influential architects of modern architecture due to the spatial and aesthetic qualities of his work. However, the importance of Wright’s construction and environmental solutions has been usually overlooked. In order to evaluate these qualities, the first house that Wright designed for the Jacobs family (Wisconsin, USA, 1937 has been analyzed. This well-known building has been much studied, and its architectural value much celebrated, but without analysing the advantages of the bioclimatic principles over interior environment. But how can the influence of this bioclimatic architecture be measured in terms of thermal comfort? Does the selected orientation improve interior comfort? And what about the natural ventilation or the deep overhangs? In order to assess this, a digital model for energy simulation has been built using Revit and Design Builder.

  5. A step toward 'plug and play' robotics with SoC technology

    DEFF Research Database (Denmark)

    Sørensen, Anders Stengaard; Falsig, Simon; Ugilt, Rolf

    p, li { white-space: pre-wrap; } This article describe our progress toward simplifying and streamlining the low level systems integration of experimental robots, combining a System on Chip (SoC) approach with conventional modular approaches. The combined approach has increased flexibility, improved...... the embedded integration, and decreased the complexity of programming, compared to conventional modular approaches. We show the impact of the SoC approach in a simple demonstration and teaching model of a walking robot....

  6. Study on SOC wavelet analysis for LiFePO4 battery

    Science.gov (United States)

    Liu, Xuepeng; Zhao, Dongmei

    2017-08-01

    Improving the prediction accuracy of SOC can reduce the complexity of the conservative and control strategy of the strategy such as the scheduling, optimization and planning of LiFePO4 battery system. Based on the analysis of the relationship between the SOC historical data and the external stress factors, the SOC Estimation-Correction Prediction Model based on wavelet analysis is established. Using wavelet neural network prediction model is of high precision to achieve forecast link, external stress measured data is used to update parameters estimation in the model, implement correction link, makes the forecast model can adapt to the LiFePO4 battery under rated condition of charge and discharge the operating point of the variable operation area. The test results show that the method can obtain higher precision prediction model when the input and output of LiFePO4 battery are changed frequently.

  7. Fundamentals of IP and SoC security design, verification, and debug

    CERN Document Server

    Ray, Sandip; Sur-Kolay, Susmita

    2017-01-01

    This book is about security in embedded systems and it provides an authoritative reference to all aspects of security in system-on-chip (SoC) designs. The authors discuss issues ranging from security requirements in SoC designs, definition of architectures and design choices to enforce and validate security policies, and trade-offs and conflicts involving security, functionality, and debug requirements. Coverage also includes case studies from the “trenches” of current industrial practice in design, implementation, and validation of security-critical embedded systems. Provides an authoritative reference and summary of the current state-of-the-art in security for embedded systems, hardware IPs and SoC designs; Takes a "cross-cutting" view of security that interacts with different design and validation components such as architecture, implementation, verification, and debug, each enforcing unique trade-offs; Includes high-level overview, detailed analysis on implementation, and relevant case studies on desi...

  8. Understanding Richard Wright's "Black Boy": A Student Casebook to Issues, Sources, and Historical Documents.

    Science.gov (United States)

    Felgar, Robert

    In "Black Boy," Richard Wright triumphs over an ugly, racist world by fashioning an inspiring, powerful, beautiful, and fictionalized autobiography. To help students understand and appreciate his story in the cultural, political, racial, social, and literary contexts of its time, this casebook provides primary historical documents,…

  9. Suppressor of cytokine signaling (SOCS genes are silenced by DNA hypermethylation and histone deacetylation and regulate response to radiotherapy in cervical cancer cells.

    Directory of Open Access Journals (Sweden)

    Moon-Hong Kim

    Full Text Available Suppressor of cytokine signaling (SOCS family is an important negative regulator of cytokine signaling and deregulation of SOCS has been involved in many types of cancer. All cervical cancer cell lines tested showed lower expression of SOCS1, SOCS3, and SOCS5 than normal tissue or cell lines. The immunohistochemistry result for SOCS proteins in human cervical tissue also confirmed that normal tissue expressed higher level of SOCS proteins than neighboring tumor. Similar to the regulation of SOCS in other types of cancer, DNA methylation contributed to SOCS1 downregulation in CaSki, ME-180, and HeLa cells. However, the expression of SOCS3 or SOCS5 was not recovered by the inhibition of DNA methylation. Histone deacetylation may be another regulatory mechanism involved in SOCS1 and SOCS3 expression, however, SOCS5 expression was neither affected by DNA methylation nor histone deacetylation. Ectopic expression of SOCS1 or SOCS3 conferred radioresistance to HeLa cells, which implied SOCS signaling regulates the response to radiation in cervical cancer. In this study, we have shown that SOCS expression repressed by, in part, epigenetically and altered SOCS1 and SOCS3 expression could contribute to the radiosensitive phenotype in cervical cancer.

  10. Space power subsystem sizing

    International Nuclear Information System (INIS)

    Geis, J.W.

    1992-01-01

    This paper discusses a Space Power Subsystem Sizing program which has been developed by the Aerospace Power Division of Wright Laboratory, Wright-Patterson Air Force Base, Ohio. The Space Power Subsystem program (SPSS) contains the necessary equations and algorithms to calculate photovoltaic array power performance, including end-of-life (EOL) and beginning-of-life (BOL) specific power (W/kg) and areal power density (W/m 2 ). Additional equations and algorithms are included in the spreadsheet for determining maximum eclipse time as a function of orbital altitude, and inclination. The Space Power Subsystem Sizing program (SPSS) has been used to determine the performance of several candidate power subsystems for both Air Force and SDIO potential applications. Trade-offs have been made between subsystem weight and areal power density (W/m 2 ) as influenced by orbital high energy particle flux and time in orbit

  11. Supporting the underdog: feminism, animal rights and citizenship in the work of Alice Morgan Wright and Edith Goode.

    Science.gov (United States)

    Birke, L

    2000-01-01

    In this article, the author examines the overlap between feminism and animal causes, particularly through the lives of two women, the sculptor, Alice Morgan Wright (1881-1975), and her friend, Edith Goode (1882-1970). Feminism and animal causes had connections in the late nineteenth century, particularly in campaigns to abolish vivisection. Wright and Goode held to these politics throughout their lives, and were "precursors of a generation yet to come" who would argue the connections - as many ecofeminists do today. Both women were involved in suffrage campaigns, and continued to be involved in women's organisations such as the National Woman's Party. They were, however, opposed to all injustice, including human mistreatment of animals. Feminism was, to Wright and Goode, part of a wider set of problems; animal cruelty reflected a greater barbarism leading to mistreatment of humans. Accordingly, they actively campaigned for legislation to protect animals and the environment, and lobbied the fledgling United Nations to include such measures. That challenge to the United Nations represented a unique attempt to bring animals into citizenship' a move being made again today, through initiatives such as the Great Ape Project.

  12. A 0.7-V 17.4- μ W 3-lead wireless ECG SoC.

    Science.gov (United States)

    Khayatzadeh, Mahmood; Zhang, Xiaoyang; Tan, Jun; Liew, Wen-Sin; Lian, Yong

    2013-10-01

    This paper presents a fully integrated sub-1 V 3-lead wireless ECG System-on-Chip (SoC) for wireless body sensor network applications. The SoC includes a two-channel ECG front-end with a driven-right-leg circuit, an 8-bit SAR ADC, a custom-designed 16-bit microcontroller, two banks of 16 kb SRAM, and a MICS band transceiver. The microcontroller and SRAM blocks are able to operate at sub-/near-threshold regime for the best energy consumption. The proposed SoC has been implemented in a standard 0.13- μ m CMOS process. Measurement results show the microcontroller consumes only 2.62 pJ per instruction at 0.35 V . Both microcontroller and memory blocks are functional down to 0.25 V. The entire SoC is capable of working at single 0.7-V supply. At the best case, it consumes 17.4 μ W in heart rate detection mode and 74.8 μW in raw data acquisition mode under sampling rate of 500 Hz. This makes it one of the best ECG SoCs among state-of-the-art biomedical chips.

  13. Mapping SOC (Soil Organic Carbon) using LiDAR-derived vegetation indices in a random forest regression model

    Science.gov (United States)

    Will, R. M.; Glenn, N. F.; Benner, S. G.; Pierce, J. L.; Spaete, L.; Li, A.

    2015-12-01

    Quantifying SOC (Soil Organic Carbon) storage in complex terrain is challenging due to high spatial variability. Generally, the challenge is met by transforming point data to the entire landscape using surrogate, spatially-distributed, variables like elevation or precipitation. In many ecosystems, remotely sensed information on above-ground vegetation (e.g. NDVI) is a good predictor of below-ground carbon stocks. In this project, we are attempting to improve this predictive method by incorporating LiDAR-derived vegetation indices. LiDAR provides a mechanism for improved characterization of aboveground vegetation by providing structural parameters such as vegetation height and biomass. In this study, a random forest model is used to predict SOC using a suite of LiDAR-derived vegetation indices as predictor variables. The Reynolds Creek Experimental Watershed (RCEW) is an ideal location for a study of this type since it encompasses a strong elevation/precipitation gradient that supports lower biomass sagebrush ecosystems at low elevations and forests with more biomass at higher elevations. Sagebrush ecosystems composed of Wyoming, Low and Mountain Sagebrush have SOC values ranging from .4 to 1% (top 30 cm), while higher biomass ecosystems composed of aspen, juniper and fir have SOC values approaching 4% (top 30 cm). Large differences in SOC have been observed between canopy and interspace locations and high resolution vegetation information is likely to explain plot scale variability in SOC. Mapping of the SOC reservoir will help identify underlying controls on SOC distribution and provide insight into which processes are most important in determining SOC in semi-arid mountainous regions. In addition, airborne LiDAR has the potential to characterize vegetation communities at a high resolution and could be a tool for improving estimates of SOC at larger scales.

  14. Research on State-of-Charge (SOC) estimation using current integration based on temperature compensation

    Science.gov (United States)

    Yin, J.; Shen, Y.; Liu, X. T.; Zeng, G. J.; Liu, D. C.

    2017-11-01

    The traditional current integral method for the state-of-charge (SOC) estimation has an unusable estimation accuracy because of the current measuring error. This paper proposed a closed-loop temperature compensation method to improve the SOC estimation accuracy of current integral method by eliminating temperature drift. Through circuit simulation result in Multisim, the stability of current measuring accuracy is improved by more than 10 times. In a designed 70 charge-discharge experimental circle, the SOC estimation error with temperature compensation had 30 times less than error in normal situation without compensation.

  15. Decentralizing constrained-efficient allocations in the Lagos–Wright pure currency economy

    OpenAIRE

    Bajaj, Ayushi; Hu, Tai Wei; Rocheteau, Guillaume; Silva, Mario Rafael

    2017-01-01

    This paper offers two ways to decentralize the constrained-efficient allocation of the Lagos–Wright (2005) pure currency economy. The first way has divisible money, take-it-or-leave-it offers by buyers, and a transfer scheme financed by money creation. If agents are sufficiently patient, the first best is achieved for finite money growth rates. If agents are impatient, the equilibrium allocation approaches the constrained-efficient allocation asymptotically as the money growth rate tends to i...

  16. Suppressor of cytokine signaling 1 (SOCS1) limits NFkappaB signaling by decreasing p65 stability within the cell nucleus.

    Science.gov (United States)

    Strebovsky, Julia; Walker, Patrick; Lang, Roland; Dalpke, Alexander H

    2011-03-01

    Suppressor of cytokine signaling (SOCS) proteins are inhibitors of cytoplasmic Janus kinases (Jak) and signal transducer and activator of transcription (STAT) signaling pathways. Previously the authors surprisingly observed that SOCS1 translocated into the nucleus, which was because of the presence of a nuclear localization sequence. This report now hypothesizes that SOCS1 mediates specific functions within the nuclear compartment because it is instantly transported into the nucleus, as shown by photoactivation and live cell imaging in human HEK293 cells. The NFκB component p65 is identified as an interaction partner for SOCS1 but not for other members of the SOCS family. SOCS1 bound to p65 only within the nucleus. By means of its SOCS box domain, SOCS1 operated as a ubiquitin ligase, leading to polyubiquitination and proteasomal degradation of nuclear p65. Thus, SOCS1 limited prolonged p65 signaling and terminated expression of NFκB inducible genes. Using mutants that lack either nuclear translocation or a functional SOCS box, this report identifies genes that are regulated in a manner dependent on the nuclear availability of SOCS1. Data show that beyond its receptor-proximal function in Jak/STAT signaling, SOCS1 also regulates the duration of NFκB signaling within the cell nucleus, thus exerting a heretofore unrecognized function.

  17. DMPD: Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 18406369 Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins...svg) (.html) (.csml) Show Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins. ...PubmedID 18406369 Title Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins

  18. Investigation of Electrodeposited Alloys and Pure Metals as Substitutes for Zinc and Cadmium for Protective Finishes for Steel Parts of Aircraft

    Science.gov (United States)

    1949-09-01

    H- iSt .»^iifeäu tau ji.j iÄüi ,j WtoSSWi.Ä^/VJSänssMSw Reproduced by n@ & © © [j cjiiiiL 10 DQCumtnTS Office WRIGHT-PATTERSON AIR...as •a-s •a a 4 Ortrt O r-4 O ii ° ° _ ÖS3 III 8 a a «aw o •-< VC- VIH o • • «H O O •3-3 3 o o A an 4* & o CM CM •33

  19. A Bayesian Belief Network framework to predict SOC stock change: the Veneto region (Italy) case study

    Science.gov (United States)

    Dal Ferro, Nicola; Quinn, Claire Helen; Morari, Francesco

    2017-04-01

    A key challenge for soil scientists is predicting agricultural management scenarios that combine crop productions with high standards of environmental quality. In this context, reversing the soil organic carbon (SOC) decline in croplands is required for maintaining soil fertility and contributing to mitigate GHGs emissions. Bayesian belief networks (BBN) are probabilistic models able to accommodate uncertainty and variability in the predictions of the impacts of management and environmental changes. By linking multiple qualitative and quantitative variables in a cause-and-effect relationships, BBNs can be used as a decision support system at different spatial scales to find best management strategies in the agroecosystems. In this work we built a BBN to model SOC dynamics (0-30 cm layer) in the low-lying plain of Veneto region, north-eastern Italy, and define best practices leading to SOC accumulation and GHGs (CO2-equivalent) emissions reduction. Regional pedo-climatic, land use and management information were combined with experimental and modelled data on soil C dynamics as natural and anthropic key drivers affecting SOC stock change. Moreover, utility nodes were introduced to determine optimal decisions for mitigating GHGs emissions from croplands considering also three different IPCC climate scenarios. The network was finally validated with real field data in terms of SOC stock change. Results showed that the BBN was able to model real SOC stock changes, since validation slightly overestimated SOC reduction (+5%) at the expenses of its accumulation. At regional level, probability distributions showed 50% of SOC loss, while only 17% of accumulation. However, the greatest losses (34%) were associated with low reduction rates (100-500 kg C ha-1 y-1), followed by 33% of stabilized conditions (-100 < SOC < 100 kg ha-1 y-1). Land use management (especially tillage operations and soil cover) played a primary role to affect SOC stock change, while climate conditions

  20. SOCS2 deletion protects against hepatic steatosis but worsens insulin resistance in high-fat-diet-fed mice

    DEFF Research Database (Denmark)

    Zadjali, Fahad; Santana-Farre, Ruyman; Vesterlund, Mattias

    2012-01-01

    in the development of diet-induced hepatic steatosis and insulin resistance. SOCS2-knockout (SOCS2(-/-)) mice and wild-type littermates were fed for 4 mo with control or high-fat diet, followed by assessment of insulin sensitivity, hepatic lipid content, and expression of inflammatory cytokines. SOCS2(-/-) mice...

  1. SOCS5 is expressed in primary B and T lymphoid cells but is dispensable for lymphocyte production and function

    DEFF Research Database (Denmark)

    Brender, Christine; Columbus, Ruth; Metcalf, Donald

    2004-01-01

    the importance of SOCS5 in T helper cell responses. Unexpectedly, SOCS5-deficient CD4 T cells showed no abnormalities in Th1/Th2 differentiation and Socs5(-/-) mice showed normal resistance to infection with Leishmania major. Therefore, although SOCS5 is expressed in primary B and T cells, it appears...

  2. Variation tolerant SoC design

    Science.gov (United States)

    Kozhikkottu, Vivek J.

    performance distribution. This task is particularly complex and challenging due to the inter-dependencies between components' execution, indirect effects of shared resources, and interactions between multiple system-level "execution paths". We argue that accurate variation-aware performance analysis requires Monte-Carlo based repeated system execution. Our proposed analysis framework leverages emulation to significantly speedup performance analysis without sacrificing the generality and accuracy achieved by Monte-Carlo based simulations. Our experiments show performance improvements of around 60x compared to state-of-the-art hardware-software co-simulation tools and also underscore the framework's potential to enable variation-aware design and exploration at the system level. Our second contribution addresses the problem of designing variation-tolerant SoCs using recovery based design, a popular circuit design paradigm that addresses variations by eliminating guard-bands and operating circuits at close to "zero margins" while detecting and recovering from timing errors. While previous efforts have demonstrated the potential benefits of recovery based design, we identify several challenges that need to be addressed in order to apply this technique to SoCs. We present a systematic design framework to apply recovery based design at the system level. We propose to partition SoCs into "recovery islands", wherein each recovery island consists of one or more SoC components that can recover independent of the rest of the SoC. We present a variation-aware design methodology that partitions a given SoC into recovery islands and computes the optimal operating points for each island, taking into account the various trade-offs involved. Our experiments demonstrate that the proposed design framework achieves an average of 32% energy savings over conventional worst-case designs, with negligible losses in performance. The third contribution of this thesis introduces disproportionate

  3. Biological evidence that SOCS-2 can act either as an enhancer or suppressor of growth hormone signaling

    DEFF Research Database (Denmark)

    Greenhalgh, Christopher J; Metcalf, Donald; Thaus, Anne L

    2002-01-01

    Suppressor of cytokine signaling (SOCS)-2 is a member of a family of intracellular proteins implicated in the negative regulation of cytokine signaling. The generation of SOCS-2-deficient mice, which grow to one and a half times the size of their wild-type littermates, suggests that SOCS-2 may at...

  4. Advanced Technology for Ultra-Low Power System-on-Chip (SoC)

    Science.gov (United States)

    2017-06-01

    was proposed for lower power applications with Ioff=10pA/μm and VDD=0.5V. In this project, the optimized structure shows great potential in both Lg...AFRL-RY-WP-TR-2017-0115 ADVANCED TECHNOLOGY FOR ULTRA-LOW POWER SYSTEM-ON-CHIP (SoC) Jason Woo, Weicong Li, and Peng Lu University of California...September 2015 – 31 March 2017 4. TITLE AND SUBTITLE ADVANCED TECHNOLOGY FOR ULTRA-LOW POWER SYSTEM-ON- CHIP (SoC) 5a. CONTRACT NUMBER FA8650-15-1-7574 5b

  5. DMPD: Suppressor of cytokine signaling (SOCS) 2, a protein with multiple functions. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 17070092 Suppressor of cytokine signaling (SOCS) 2, a protein with multiple function...Epub 2006 Oct 27. (.png) (.svg) (.html) (.csml) Show Suppressor of cytokine signaling (SOCS) 2, a protein with multiple function...SOCS) 2, a protein with multiple functions. Authors Rico-Bautista E, Flores-Morales A, Fernandez-Perez L. Pu

  6. NTP Radioisotopes SOC Ltd

    International Nuclear Information System (INIS)

    Letule, T.

    2017-01-01

    NTP Radioisotopes SOC Ltd, a wholly owned subsidiary of the South African Nuclear Energy Corporation (NECSA). Supplies around 20% of the world's medical radioisotopes used. NTP is a pioneer in the introduction and growth of nuclear medicine as in South Africa. Nuclear medicine is the medical specialty that involves the use of radioactive isotopes in the diagnosis and treatment of diseases. Nuclear medicine contributes to enhancing the lives of the society. There is a compelling need for nuclear medicine to be promoted and utilized in the rest of Africa, due to the increasing prevalence of cancer. Cancer is rapidly becoming a public health crisis in low-income and middle-income countries. In sub-Saharan Africa, patients often present with advanced disease

  7. Increased linear bone growth by GH in the absence of SOCS2 is independent of IGF-1.

    Science.gov (United States)

    Dobie, Ross; Ahmed, Syed F; Staines, Katherine A; Pass, Chloe; Jasim, Seema; MacRae, Vicky E; Farquharson, Colin

    2015-11-01

    Growth hormone (GH) signaling is essential for postnatal linear bone growth, but the relative importance of GHs actions on the liver and/or growth plate cartilage remains unclear. The importance of liver derived insulin like-growth factor-1 (IGF-1) for endochondral growth has recently been challenged. Here, we investigate linear growth in Suppressor of Cytokine Signaling-2 (SOCS2) knockout mice, which have enhanced growth despite normal systemic GH/IGF-1 levels. Wild-type embryonic ex vivo metatarsals failed to exhibit increased linear growth in response to GH, but displayed increased Socs2 transcript levels (P growth over a 12 day period. Despite this increase, IGF-1 transcript and protein levels were not increased in response to GH. In accordance with these data, IGF-1 levels were unchanged in GH-challenged postnatal Socs2(-/-) conditioned medium despite metatarsals showing enhanced linear growth. Growth-plate Igf1 mRNA levels were not elevated in juvenile Socs2(-/-) mice. GH did however elevate IGF-binding protein 3 levels in conditioned medium from GH challenged metatarsals and this was more apparent in Socs2(-/-) metatarsals. GH did not enhance the growth of Socs2(-/-) metatarsals when the IGF receptor was inhibited, suggesting that IGF receptor mediated mechanisms are required. IGF-2 may be responsible as IGF-2 promoted metatarsal growth and Igf2 expression was elevated in Socs2(-/-) (but not WT) metatarsals in response to GH. These studies emphasise the critical importance of SOCS2 in regulating GHs ability to promote bone growth. Also, GH appears to act directly on the metatarsals of Socs2(-/-) mice, promoting growth via a mechanism that is independent of IGF-1. © 2014 The Authors. Journal of Cellular Physiology Published by Wiley Periodicals, Inc.

  8. Darwinism after Mendelism: the case of Sewall Wright's intellectual synthesis in his shifting balance theory of evolution (1931).

    Science.gov (United States)

    Hodge, Jonathan

    2011-03-01

    Historians of science have long been agreeing: what many textbooks of evolutionary biology say, about the histories of Darwinism and the New Synthesis, is just too simple to do justice to the complexities revealed to critical scholarship and historiography. There is no current consensus, however, on what grand narratives should replace those textbook histories. The present paper does not offer to contribute directly to any grand, consensual, narrational goals; but it does seek to do so indirectly by showing how, in just one individual case, details of intellectual biography connect with big picture issues. To this end, I examine here how very diverse scientific and metaphysical commitments were integrated in Sewall Wright's own personal synthesis of biology and philosophy. Taking as the decisive text the short final section of Wright's long 1931 paper on 'Evolution in Mendelian populations,' I examine how his shifting balance theory (SBT) related to his optimum breeding strategy research, his physiological genetics, his general theory of homogenising and heterogenesing causation and his panpsychist view of mind and matter; and I discuss how understanding these relations can clarify Wright's place in the longue durée of evolutionary thought. Copyright © 2010 Elsevier Ltd. All rights reserved.

  9. SOCS3 promoter hypermethylation is a favorable prognosticator and a novel indicator for G-CIMP-positive GBM patients.

    Science.gov (United States)

    Feng, Ying; Wang, Zheng; Bao, Zhaoshi; Yan, Wei; You, Gan; Wang, Yinyan; Hu, Huimin; Zhang, Wei; Zhang, Quangeng; Jiang, Tao

    2014-01-01

    Hypermethylation of the suppressor of cytokine signaling 3(SOCS3) promoter has been reported to predict a poor prognosis in several cancers including glioblastoma multiforme (GBM). We explored the function of SOCS3 promoter hypermethylation in GBM cohorts, including analysis of the CpG island methylator phenotype (CIMP), when a large number of gene loci are simultaneously hypermethylated. A whole genome promoter methylation profile was performed in a cohort of 33 GBM samples, with 13 long-term survivors (LTS; overall survival ≥ 18 months) and 20 short-term survivors (STS; overall survival ≤ 9 months). The SOCS3 promoter methylation status was compared between the two groups. In addition, we investigated the relationship of SOCS3 promoter methylation and G-CIMP status. Interestingly, in our present study, we found that SOCS3 promoter methylation was statistically significantly higher in the 13 LTS than that in the 20 STS. Furthermore, high SOCS3 promoter methylation detected via pyro-sequencing predicted a better prognosis in an independent cohort containing 62 GBM patients. This correlation was validated by the dataset from the Cancer Genome Atlas(TCGA) and the Chinese Cancer Genome Atlas(CGGA). In addition, we found that hypermethylation of the SOCS3 promoter was tightly associated with the G-CIMP-positive GBM patients. Using a total of 359 clinical samples, we demonstrate that SOCS3 promoter hypermethylation status has a favorable prognostic value in GBM patients because of whole genome methylation status. Particularly, the hypermethylation of the SOCS3 promoter indicates positive G-CIMP status.

  10. SOCS3 promoter hypermethylation is a favorable prognosticator and a novel indicator for G-CIMP-positive GBM patients.

    Directory of Open Access Journals (Sweden)

    Ying Feng

    Full Text Available Hypermethylation of the suppressor of cytokine signaling 3(SOCS3 promoter has been reported to predict a poor prognosis in several cancers including glioblastoma multiforme (GBM. We explored the function of SOCS3 promoter hypermethylation in GBM cohorts, including analysis of the CpG island methylator phenotype (CIMP, when a large number of gene loci are simultaneously hypermethylated.A whole genome promoter methylation profile was performed in a cohort of 33 GBM samples, with 13 long-term survivors (LTS; overall survival ≥ 18 months and 20 short-term survivors (STS; overall survival ≤ 9 months. The SOCS3 promoter methylation status was compared between the two groups. In addition, we investigated the relationship of SOCS3 promoter methylation and G-CIMP status.Interestingly, in our present study, we found that SOCS3 promoter methylation was statistically significantly higher in the 13 LTS than that in the 20 STS. Furthermore, high SOCS3 promoter methylation detected via pyro-sequencing predicted a better prognosis in an independent cohort containing 62 GBM patients. This correlation was validated by the dataset from the Cancer Genome Atlas(TCGA and the Chinese Cancer Genome Atlas(CGGA. In addition, we found that hypermethylation of the SOCS3 promoter was tightly associated with the G-CIMP-positive GBM patients.Using a total of 359 clinical samples, we demonstrate that SOCS3 promoter hypermethylation status has a favorable prognostic value in GBM patients because of whole genome methylation status. Particularly, the hypermethylation of the SOCS3 promoter indicates positive G-CIMP status.

  11. Correct-by-construction approaches for SoC design

    CERN Document Server

    Sinha, Roopak; Basu, Samik

    2013-01-01

    This book describes an approach for designing Systems-on-Chip such that the system meets precise mathematical requirements. The methodologies presented enable embedded systems designers to reuse intellectual property (IP) blocks from existing designs in an efficient, reliable manner, automatically generating correct SoCs from multiple, possibly mismatching, components.

  12. Mapping SOC in a river catchment by integrating laboratory spectra wavelength with remote sensing spectra

    DEFF Research Database (Denmark)

    Peng, Yi; Xiong, Xiong; Knadel, Maria

    There is potential to use soil ·-proximal and remote sensing derived spectra concomitantly to develop soil organic carbon (SOC) models. Yet mixing spectral data from different sources and technologies to improve soil models is still in its infancy. The objective of this study was to incorporate...... soil spectral features indicative of SOC from laboratory visible near-infrared reflectance (vis-NlR) spectra and incorporate them with remote sensing (RS) images to improve predictions of top SOC in the Skjem river catchment, Denmark. The secondary objective was to improve prediction results...

  13. Application of software technology to a future spacecraft computer design

    Science.gov (United States)

    Labaugh, R. J.

    1980-01-01

    A study was conducted to determine how major improvements in spacecraft computer systems can be obtained from recent advances in hardware and software technology. Investigations into integrated circuit technology indicated that the CMOS/SOS chip set being developed for the Air Force Avionics Laboratory at Wright Patterson had the best potential for improving the performance of spaceborne computer systems. An integral part of the chip set is the bit slice arithmetic and logic unit. The flexibility allowed by microprogramming, combined with the software investigations, led to the specification of a baseline architecture and instruction set.

  14. SOCS2 mediates the cross talk between androgen and growth hormone signaling in prostate cancer

    DEFF Research Database (Denmark)

    Iglesias Gato, Diego; Chuan, Yin Choy; Wikström, Pernilla

    2014-01-01

    ) as mediator of the cross talk between androgens and GH signals in the prostate and its potential role as tumor suppressor in prostate cancer (PCa). We observed that SOCS2 protein levels assayed by immunohistochemistry are elevated in hormone therapy-naive localized prostatic adenocarcinoma in comparison...... of transcription 5 protein (STAT5) and androgen receptor-dependent transcription. Consequentially, SOCS2 inhibits GH activation of Janus kinase 2, Src and STAT5 as well as both cell invasion and cell proliferation in vitro. In vivo, SOCS2 limits proliferation and production of IGF-1 in the prostate in response......Anabolic signals such as androgens and the growth hormone/insulin-like growth factor 1 (GH/IGF-1) axis play an essential role in the normal development of the prostate but also in its malignant transformation. In this study, we investigated the role of suppressor of cytokine signaling 2 (SOCS2...

  15. Response of Intestinal Bacterial Flora to the Long-term Feeding of Aflatoxin B1 (AFB1) in Mice.

    Science.gov (United States)

    Yang, Xiai; Liu, Liangliang; Chen, Jing; Xiao, Aiping

    2017-10-12

    In order to investigate the influence of aflatoxin B1 (AFB1) on intestinal bacterial flora, 24 Kunming mice (KM mice) were randomly placed into four groups, which were labeled as control, low-dose, medium-dose, and high-dose groups. They were fed intragastrically with 0.4 mL of 0 mg/L, 2.5 mg/L, 4 mg/L, or 10 mg/L of AFB1 solutions, twice a day for 2 months. The hypervariable region V3 + V4 on 16S rDNA of intestinal bacterial flora was sequenced by the use of a high-flux sequencing system on a Miseq Illumina platform; then, the obtained sequences were analyzed. The results showed that, when compared with the control group, both genera and phyla of intestinal bacteria in the three treatment groups decreased. About one third of the total genera and one half of the total phyla remained in the high-dose group. The dominant flora were Lactobacillus and Bacteroides in all groups. There were significant differences in the relative abundance of intestinal bacterial flora among groups. Most bacteria decreased as a whole from the control to the high-dose groups, but several beneficial and pathogenic bacterial species increased significantly with increasing dose of AFB1. Thus, the conclusion was that intragastric feeding with 2.5~10 mg/mL AFB1 for 2 months could decrease the majority of intestinal bacterial flora and induce the proliferation of some intestinal bacteria flora.

  16. A taxonomic revision of the genus Primnoisis Studer [& Wright], 1887 (Coelenterata: Octocorallia: Isididae) using morphological and molecular data.

    Science.gov (United States)

    Moore, Kirrily; Alderslade, Philip; Miller, Karen

    2016-02-05

    A complete taxonomic revision of the genus Primnoisis (Isididae) is presented herein, based on original type material of all nominal species and additional specimens from deep-water surveys in sub-temperate and Antarctic waters. A multi-disciplinary approach was used combining morphological characteristics such as colonial branching patterns, polyp structure, sclerite form and arrangement, together with phylogenetic reconstructions using two mitochondrial gene regions (mtMutS and igr1-cox1). The genus Primnoisis is retained with 7 of the 8 nominal species validated (P. antarctica Wright & Studer, 1889, P. rigida Wright & Studer, 1889, P. ambigua Wright & Studer, 1889, P. delicatula Hickson, 1907, P. fragilis Kükenthal, 1912, P. formosa Gravier, 1913 and P. mimas Bayer & Stefani, 1987), with the eighth (P. sparsa Wright & Studer, 1889), synonymised with P. antarctica. In addition, the species Mopsea gracilis Gravier, 1913 is reassigned to Primnoisis and an additional five new species are described (P. chatham n. sp., P. erymna n. sp., P. millerae n. sp., P. niwa n. sp. and P. tasmani n. sp). Most of the species fell into two clear groups, defined both by morphology and genetic grouping, for which two new sub-genera are proposed (P. (Primnoisis) n. subg. and P. (Delicatisis) n. subg.). Three species, P. ambigua, P. mimas and P. tasmani, could not be placed reliably in either sub-genus due to distinctive morphological features or genetic dissimilarity. It was not possible to confirm the monophyly of the genus due to unresolved relationships with the closely related genus Notisis Gravier, 1913 and an undescribed genus of Mopseinae.

  17. Growth hormone preferentially induces the rapid, transient expression of SOCS-3, a novel inhibitor of cytokine receptor signaling

    DEFF Research Database (Denmark)

    Adams, T E; Hansen, J A; Starr, R

    1998-01-01

    Four members (SOCS-1, SOCS-2, SOCS-3, and CIS) of a family of cytokine-inducible, negative regulators of cytokine receptor signaling have recently been identified. To address whether any of these genes are induced in response to growth hormone (GH), serum-starved 3T3-F442A fibroblasts were incuba...

  18. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study

    Science.gov (United States)

    Müller, Andreas; Weigl, Matthias

    2017-01-01

    Background: Individuals’ behavioral strategies like selection, optimization, and compensation (SOC) contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees’ SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context. Objective: This study aimed to assess associations between employees’ use of SOC behaviors at work and their organizational citizenship behaviors (OCB) toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB. Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23–58 years) who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers’ OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads. Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB. Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is perceived

  19. Matching soil grid unit resolutions with polygon unit scales for DNDC modelling of regional SOC pool

    Science.gov (United States)

    Zhang, H. D.; Yu, D. S.; Ni, Y. L.; Zhang, L. M.; Shi, X. Z.

    2015-03-01

    Matching soil grid unit resolution with polygon unit map scale is important to minimize uncertainty of regional soil organic carbon (SOC) pool simulation as their strong influences on the uncertainty. A series of soil grid units at varying cell sizes were derived from soil polygon units at the six map scales of 1:50 000 (C5), 1:200 000 (D2), 1:500 000 (P5), 1:1 000 000 (N1), 1:4 000 000 (N4) and 1:14 000 000 (N14), respectively, in the Tai lake region of China. Both format soil units were used for regional SOC pool simulation with DeNitrification-DeComposition (DNDC) process-based model, which runs span the time period 1982 to 2000 at the six map scales, respectively. Four indices, soil type number (STN) and area (AREA), average SOC density (ASOCD) and total SOC stocks (SOCS) of surface paddy soils simulated with the DNDC, were attributed from all these soil polygon and grid units, respectively. Subjecting to the four index values (IV) from the parent polygon units, the variation of an index value (VIV, %) from the grid units was used to assess its dataset accuracy and redundancy, which reflects uncertainty in the simulation of SOC. Optimal soil grid unit resolutions were generated and suggested for the DNDC simulation of regional SOC pool, matching with soil polygon units map scales, respectively. With the optimal raster resolution the soil grid units dataset can hold the same accuracy as its parent polygon units dataset without any redundancy, when VIV indices was assumed as criteria to the assessment. An quadratic curve regression model y = -8.0 × 10-6x2 + 0.228x + 0.211 (R2 = 0.9994, p < 0.05) was revealed, which describes the relationship between optimal soil grid unit resolution (y, km) and soil polygon unit map scale (1:x). The knowledge may serve for grid partitioning of regions focused on the investigation and simulation of SOC pool dynamics at certain map scale.

  20. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study

    Directory of Open Access Journals (Sweden)

    Andreas Müller

    2017-10-01

    Full Text Available Background: Individuals’ behavioral strategies like selection, optimization, and compensation (SOC contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees’ SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context.Objective: This study aimed to assess associations between employees’ use of SOC behaviors at work and their organizational citizenship behaviors (OCB toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB.Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23–58 years who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers’ OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads.Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB.Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is

  1. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study.

    Science.gov (United States)

    Müller, Andreas; Weigl, Matthias

    2017-01-01

    Background: Individuals' behavioral strategies like selection, optimization, and compensation (SOC) contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees' SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context. Objective: This study aimed to assess associations between employees' use of SOC behaviors at work and their organizational citizenship behaviors (OCB) toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB. Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23-58 years) who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers' OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads. Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB. Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is perceived negatively

  2. Environmental Assessment for New Veterinary Clinic at Wright Patterson Air Force Base, Dayton, Ohio

    Science.gov (United States)

    2007-08-01

    English sparrow (Passer domesticus), mockingbird (Mimus polyglottos), and red- winged black bird (Agelaius phoeniceus). 3.3.3 Threatened and...addition, airfield safety zones have been established under AICUZ to minimize the number of people who would be injured or killed if an aircraft

  3. Environmental Assessment for the Hilltop Community Services District, Wright-Patterson Air Force Base, Ohio

    Science.gov (United States)

    2012-03-01

    land  complexes. Small areas of residual soils over  limestone  and shale  bedrock  exist on steep slopes in the  eastern portion of Area B.  The two...cultural resources, environmental justice/protection of children, geology , land use, water resources, infrastructure, and visual resources...disproportionately or adversely affected by the action. Geology and Soils (EA Se1ction 4.4): There would be no excess fill excavated during site preparation and

  4. An Analysis of Shelf Space Allocation at the Wright-Patterson Air Force Base Commissary.

    Science.gov (United States)

    1987-09-01

    Company performed a major shelf space allocation study involving 59 of their stores. The study involved planning and executing a shelf by shelf reset...Betty Crocker Chocolate .a9 2 Betty CrocKer Yellow .93 3 Betty Crocker Devils Food .92 4 Betty Crocker Choc . Choc . Chip .94 5 Betty Crocker German...Headquarters Air Force Commissary Services. ACOS Executive Summary. 28 January 19d6. 12. deadquarters Air Force Commissary Services. Store _Layout

  5. Environmental Assessment for the Aeromedical Evacuation Formal Training Unit, Wright-Patterson Air Force Base

    Science.gov (United States)

    2012-05-01

    pennsylvanica), White Ash (Fraxinus americana), Shingle Oak (Quercus imbricaria), Northern Red Oak (Quercus rubra), Slippery Elm (Ulmus rubra...American Elm (Ulmus americana), Eastern Cottonwood (Populus deltoides), Silver Maple (Acer saccharinum), Sassafras (Sassafras albidum), Post Oak (Quercus

  6. EpSoc: Social-Based Epidemic-Based Routing Protocol in Opportunistic Mobile Social Network

    Directory of Open Access Journals (Sweden)

    Halikul Lenando

    2018-01-01

    Full Text Available In opportunistic networks, the nature of intermittent and disruptive connections degrades the efficiency of routing. Epidemic routing protocol is used as a benchmark for most of routing protocols in opportunistic mobile social networks (OMSNs due to its high message delivery and latency. However, Epidemic incurs high cost in terms of overhead and hop count. In this paper, we propose a hybrid routing protocol called EpSoc which utilizes the Epidemic routing forwarding strategy and exploits an important social feature, that is, degree centrality. Two techniques are used in EpSoc. Messages’ TTL is adjusted based on the degree centrality of nodes, and the message blocking mechanism is used to control replication. Simulation results show that EpSoc increases the delivery ratio and decreases the overhead ratio, the average latency, and the hop counts as compared to Epidemic and Bubble Rap.

  7. Suppressor of Cytokine Signaling (SOCS 5 utilises distinct domains for regulation of JAK1 and interaction with the adaptor protein Shc-1.

    Directory of Open Access Journals (Sweden)

    Edmond M Linossi

    Full Text Available Suppressor of Cytokine Signaling (SOCS5 is thought to act as a tumour suppressor through negative regulation of JAK/STAT and epidermal growth factor (EGF signaling. However, the mechanism/s by which SOCS5 acts on these two distinct pathways is unclear. We show for the first time that SOCS5 can interact directly with JAK via a unique, conserved region in its N-terminus, which we have termed the JAK interaction region (JIR. Co-expression of SOCS5 was able to specifically reduce JAK1 and JAK2 (but not JAK3 or TYK2 autophosphorylation and this function required both the conserved JIR and additional sequences within the long SOCS5 N-terminal region. We further demonstrate that SOCS5 can directly inhibit JAK1 kinase activity, although its mechanism of action appears distinct from that of SOCS1 and SOCS3. In addition, we identify phosphoTyr317 in Shc-1 as a high-affinity substrate for the SOCS5-SH2 domain and suggest that SOCS5 may negatively regulate EGF and growth factor-driven Shc-1 signaling by binding to this site. These findings suggest that different domains in SOCS5 contribute to two distinct mechanisms for regulation of cytokine and growth factor signaling.

  8. Relocation of the Air National Guard 176th Wing to Elmendorf AFB, Alaska

    Science.gov (United States)

    2007-09-01

    Park. Between 20 and 70 moose are estimated by Alaska Fish and Game to live on Elmendorf AFB, depending on the time of year, as portions of the herd ...also supports populations of small mammals including beaver (Castor canadensis), muskrat (Ondatra zibethicus), porcupine (Erethizon dorsatum), red

  9. Dynamics and fate of SOC in tidal marshes along a salinity gradient (Scheldt estuary, Belgium)

    Science.gov (United States)

    Van de Broek, Marijn; Temmermann, Stijn; Merckx, Roel; Wang, Zhengang; Govers, Gerard

    2016-04-01

    Coastal ecosystems have been attributed the potential to store large amounts of organic carbon (OC), often referred to as blue carbon, of which a considerable amount is stored in tidal marsh soils. Large uncertainties still exist with respect to the amount and controlling factors of soil organic carbon (SOC) stored in these ecosystems. Moreover, most research has focused on SOC dynamics of saltmarshes, while brackish and freshwater marshes are often even more productive and thus receive even larger organic carbon inputs. Therefore, in this study the OC dynamics of tidal marsh soils along an estuarine gradient are studied in order to contribute to our knowledge of 1) the stocks, 2) the controlling factors and 3) the fate of SOC in tidal marshes with different environmental characteristics. This research thus contributes to a better understanding of the potential of coastal environments to store organic carbon under future climatic changes. Soil and vegetation samples are collected in tidal salt-, brackish- and freshwater marshes in the Scheldt estuary (Belgium - The Netherlands). At each tidal marsh, three replicate soil cores up to 1.5m depth in 0.03m increments are collected at locations with both a low and a high elevation. These cores are analyzed for OC, stable C and N isotopes, bulk density and texture. Incubation experiments of topsoil samples were conducted and both aboveground and belowground biomass were collected. The results show that SOC stocks (range: 13,5 - 35,4 kg OC m-2), standing biomass (range: 2000 - 7930 g DW m-2) and potential soil respiration of CO2 (range: 0,03 - 0,12 % per unit OC per day) decrease with increasing salinity. This shows that both the amount of OC from local macrophytes and the quality of the organic matter are important factors controlling the SOC stocks. In addition, based on the analysis of stable C and N isotopes, it appears that when a significant fraction of SOC is derived from local macrophytes, higher SOC stocks are

  10. Longevity of contributions to SOC stocks from roots and aboveground plant litter below a Miscanthus plantation

    Science.gov (United States)

    Robertson, Andrew; Smith, Pete; Davies, Christian; Bottoms, Emily; McNamara, Niall

    2013-04-01

    Miscanthus is a lignocellulosic crop that uses the Hatch-Slack (C4) photosynthetic pathway as opposed to most C3 vegetation native to the UK. Miscanthus can be grown for a number of practical end-uses but recently interest has increased in its viability as a bioenergy crop; both providing a renewable source of energy and helping to limit climate change by improving the carbon (C) budgets associated with energy generation. Recent studies have shown that Miscanthus plantations may increase stocks of soil organic carbon (SOC), however the longevity and origin of this 'new' SOC must be assessed. Consequently, we combined an input manipulation experiment with physio-chemical soil fractionation to quantify new SOC and CO2 emissions from Miscanthus roots, decomposing plant litter and soil individually. Further, fractionation of SOC from the top 30 cm gave insight into the longevity of that SOC. In January 2009 twenty-five 2 m2 plots were set up in a three-year old 11 hectare Miscanthus plantation in Lincolnshire, UK; with five replicates of five treatments. These treatments varied plant input to the soil by way of controlled exclusion techniques. Treatments excluded roots only ("No Roots"), surface litter only ("No Litter"), both roots and surface litter ("No Roots or Litter") or had double the litter amount added to the soil surface ("Double Litter"). A fifth treatment was a control with undisturbed roots and an average amount of litter added. Monthly measurements of CO2 emissions were taken at the soil surface from each treatment between March 2009 and March 2013, and soil C from the top 30 cm was monitored in all plots over the same period. Miscanthus-derived SOC was determined using the isotopic discrimination between C4 plant matter and C3 soil, and soil fractionation was then used to establish the longevity of that Miscanthus-derived SOC. Ongoing results for CO2 emissions indicate a strong seasonal variation; litter decomposition forms a large portion of the CO2

  11. Determination of Patterson group symmetry from sparse multi-crystal data sets in the presence of an indexing ambiguity.

    Science.gov (United States)

    Gildea, Richard J; Winter, Graeme

    2018-05-01

    Combining X-ray diffraction data from multiple samples requires determination of the symmetry and resolution of any indexing ambiguity. For the partial data sets typical of in situ room-temperature experiments, determination of the correct symmetry is often not straightforward. The potential for indexing ambiguity in polar space groups is also an issue, although methods to resolve this are available if the true symmetry is known. Here, a method is presented to simultaneously resolve the determination of the Patterson symmetry and the indexing ambiguity for partial data sets. open access.

  12. Suppressor of cytokine signaling 2 (SOCS2) deletion protects against multiple low dose streptozotocin-induced type 1 diabetes in adult male mice

    DEFF Research Database (Denmark)

    Alkharusi, Amira; Mirecki-Garrido, Mercedes; Ma, Zuheng

    2016-01-01

    Background: Diabetes type 1 is characterized by the failure of beta cells to produce insulin. Suppressor of cytokine signaling (SOCS) proteins are important regulators of the Janus kinase/signal transducer and activator of transcription (JAK-STAT) pathway. Previous studies have shown that GH can...... prevent the development of type I diabetes in mice and that SOCS2 deficiency mimics a state of increased GH sensitivity. Methodology: The elevated sensitivity of SOCS2-/- mice to GH and possibly to PRL was the rationale to analyze the effects of multiple low dose streptozotocin (MLDSTZ)-induced diabetes...... in SOCS2-/- mice. Results: We show that 6-month-old SOCS2-/- mice, but not 2-month-old mice, were less sensitive to MLDSTZ-induced diabetes, compared to controls. MLDSTZ treatment induced glucose intolerance in both SOCS2+/+ and SOCS2-/- mice, as shown by glucose tolerance tests, with SOCS2+/+ mice...

  13. Dos modos de situarse en el lugar : Monticello de Thomas Jefferson y Taliesin de F. Lloyd Wright

    Directory of Open Access Journals (Sweden)

    Juan Antonio Cortés

    2012-12-01

    Full Text Available

    Resumen

    El artículo consiste en una descripción comparada de dos edificios: Monticello ‐la casa que Thomas Jefferson construyó para sí mismo en Virginia‐ y Taliesin ‐la casa y estudio de Frank Lloyd Wright en Wisconsin‐. El texto estudia en primer lugar las fuentes arquitectónicas y la evolución del proyecto de Monticello, para centrarse después en la explicación de Taliesin. Hay una cierta similitud en el modo en que Monticello y las casas de  Wright ‐en concreto la Ward Willitts‐ se extienden  horizontalmente en el terreno y, volviendo a Taliesin, la tesis  principal del texto es que tanto la residencia de Jefferson como la de Wright se asientan sobre una colina, pero Monticello  ‘corona’ su cima, mientras que Taliesin la bordea, se sitúa como  una ‘ceja’ respecto a la misma. En definitiva, de este  último edificio se puede afirmar que es una ‘casa natural’, que  logra una plena integración entre arquitectura y naturaleza.

    Palabras clave

    casa, proyecto, evolución, corona, natural

    Abstract

    This article consists of a comparative description of two buildings: Monticello, Thomas Jefferson’s residence which he  built for himself in Virginia, and Taliesin, the studio and home of Frank Lloyd Wright in Wisconsin. First, the text studies the  architectural references and evolution of the project for  Monticello, in order to later focus on explaining Taliesin. There is a certain similarity in the way that Monticello and Wright’s  houses (especially the Ward Willits House extend horizontally  across the land. The thesis of this article is that both Jefferson’s and Wright’s residences rest upon a hill, but Monticello crowns  the top while Taliesin borders it like an eyebrow. In conclusion, we can say that Taliesin is a “natural house”, which manages to fully integrate its architecture with nature

  14. The mechanisms behind the formation of a strong Sense of Coherence (SOC): The role of migration and integration

    NARCIS (Netherlands)

    Slootjes, J.; Keuzenkamp, Saskia; Saharso, S.

    2017-01-01

    Considering how much we know about the impact of the Sense of Coherence (SOC) on different health-related outcomes, we know surprisingly little about how a strong SOC actually develops. In this study we examine the mechanisms behind the formation of a strong SOC and study the role of migration,

  15. LCM-seq reveals the crucial role of LsSOC1 in heat-promoted bolting of lettuce (Lactuca sativa L.).

    Science.gov (United States)

    Chen, Zijing; Zhao, Wensheng; Ge, Danfeng; Han, Yingyan; Ning, Kang; Luo, Chen; Wang, Shenglin; Liu, Renyi; Zhang, Xiaolan; Wang, Qian

    2018-05-17

    Lettuce (Lactuca sativa L.) is one of the most economically important vegetables. The floral transition in lettuce is accelerated under high temperatures, which can significantly decrease yields. However, the molecular mechanism underlying the floral tranition in lettuce is poorly known. Using laser capture microdissection coupled with RNA sequencing, we isolated shoot apical meristem cells from the bolting-sensitive lettuce line S39 at four critical stages of development. Subsequently, we screened specifically for the flowering-related gene LsSOC1 during the floral transition through comparative transcriptomic analysis. Molecular biology, developmental biology, and biochemical tools were combined to investigate the biological function of LsSOC1 in lettuce. LsSOC1 knockdown by RNA interference resulted in a significant delay in the timing of bolting and insensitivity to high temperature, which indicated that LsSOC1 functions as an activator during heat-promoted bolting in lettuce. We determined that two heat-shock transcription factors, HsfA1e and HsfA4c, bound to the promoter of LsSOC1 to confirm that LsSOC1 played an important role in heat-promoted bolting. This study indicates that LsSOC1 plays a crucial role in the heat-promoted bolting process in lettuce. Further investigation of LsSOC1 may be useful for clarification of the bolting mechanism in lettuce. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  16. Collaboration, Reputation, and Ethics in American Academic Life: Hans H. Gerth and C. Wright Mills.

    Science.gov (United States)

    Oakes, Guy; Vidich, Arthur J.

    Using the collaboration between sociologist C. Wright Mills and Hans H. Gerth and their studies of the work of Max Weber as a point of departure for a sustained discussion of academic ethics, this book explores how concealment, secrecy, and deception contribute to the building of academic reputation and how the balance of knowledge and power in a…

  17. Richard Wright's Thematic Treatment of Women in "Uncle Tom's Children,""Black Boy," and "Native Son."

    Science.gov (United States)

    Brewton, Butler E.

    Richard Wright's literary work emphasizes a contrast between black women and white women. Although both are "givers" to black boys, the nature of what they give is different. The black woman gives physical life, feeds it, and protects it at the expense of spiritual or creative vitality. Her goal is to survive bodily, to breathe, to have…

  18. Influence of Thermal Annealing and a Glass Coating on the Strength of Soda-Lime-Silicate Glass

    Science.gov (United States)

    2017-11-01

    7. Fletcher PC, Tillman JJ . Effect of silicone quenching and acid polishing on the strength of glass. J Am Ceram Soc. 1964;47(8):379–382. 8...advanced ceramics at ambient temperature. West Conshohocken (PA): ASTM International; 2015. 14. Swab JJ , Thies SR, Wright JC, Shoenstein JA, Patel PJ

  19. Influenza A virus inhibits type I IFN signaling via NF-kappaB-dependent induction of SOCS-3 expression.

    Directory of Open Access Journals (Sweden)

    Eva-K Pauli

    2008-11-01

    Full Text Available The type I interferon (IFN system is a first line of defense against viral infections. Viruses have developed various mechanisms to counteract this response. So far, the interferon antagonistic activity of influenza A viruses was mainly observed on the level of IFNbeta gene induction via action of the viral non-structural protein 1 (NS1. Here we present data indicating that influenza A viruses not only suppress IFNbeta gene induction but also inhibit type I IFN signaling through a mechanism involving induction of the suppressor of cytokine signaling-3 (SOCS-3 protein. Our study was based on the observation that in cells that were infected with influenza A virus and subsequently stimulated with IFNalpha/beta, phosphorylation of the signal transducer and activator of transcription protein 1 (STAT1 was strongly reduced. This impaired STAT1 activation was not due to the action of viral proteins but rather appeared to be induced by accumulation of viral 5' triphosphate RNA in the cell. SOCS proteins are potent endogenous inhibitors of Janus kinase (JAK/STAT signaling. Closer examination revealed that SOCS-3 but not SOCS-1 mRNA levels increase in an RNA- and nuclear factor kappa B (NF-kappaB-dependent but type I IFN-independent manner early in the viral replication cycle. This direct viral induction of SOCS-3 mRNA and protein expression appears to be relevant for suppression of the antiviral response since in SOCS-3 deficient cells a sustained phosphorylation of STAT1 correlated with elevated expression of type I IFN-dependent genes. As a consequence, progeny virus titers were reduced in SOCS-3 deficient cells or in cells were SOCS-3 expression was knocked-down by siRNA. These data provide the first evidence that influenza A viruses suppress type I IFN signaling on the level of JAK/STAT activation. The inhibitory effect is at least in part due to the induction of SOCS-3 gene expression, which results in an impaired antiviral response.

  20. Cardiac-Specific SOCS3 Deletion Prevents In Vivo Myocardial Ischemia Reperfusion Injury through Sustained Activation of Cardioprotective Signaling Molecules.

    Directory of Open Access Journals (Sweden)

    Takanobu Nagata

    Full Text Available Myocardial ischemia reperfusion injury (IRI adversely affects cardiac performance and the prognosis of patients with acute myocardial infarction. Although myocardial signal transducer and activator of transcription (STAT 3 is potently cardioprotective during IRI, the inhibitory mechanism responsible for its activation is largely unknown. The present study aimed to investigate the role of the myocardial suppressor of cytokine signaling (SOCS-3, an intrinsic negative feedback regulator of the Janus kinase (JAK-STAT signaling pathway, in the development of myocardial IRI. Myocardial IRI was induced in mice by ligating the left anterior descending coronary artery for 1 h, followed by different reperfusion times. One hour after reperfusion, the rapid expression of JAK-STAT-activating cytokines was observed. We precisely evaluated the phosphorylation of cardioprotective signaling molecules and the expression of SOCS3 during IRI and then induced myocardial IRI in wild-type and cardiac-specific SOCS3 knockout mice (SOCS3-CKO. The activation of STAT3, AKT, and ERK1/2 rapidly peaked and promptly decreased during IRI. This decrease correlated with the induction of SOCS3 expression up to 24 h after IRI in wild-type mice. The infarct size 24 h after reperfusion was significantly reduced in SOCS3-CKO compared with wild-type mice. In SOCS3-CKO mice, STAT3, AKT, and ERK1/2 phosphorylation was sustained, myocardial apoptosis was prevented, and the expression of anti-apoptotic Bcl-2 family member myeloid cell leukemia-1 (Mcl-1 was augmented. Cardiac-specific SOCS3 deletion led to the sustained activation of cardioprotective signaling molecules including and prevented myocardial apoptosis and injury during IRI. Our findings suggest that SOCS3 may represent a key factor that exacerbates the development of myocardial IRI.

  1. Surface to 90 km winds for Kennedy Space Center, Florida, and Vandenberg AFB, California

    Science.gov (United States)

    Johnson, D. L.; Brown, S. C.

    1979-01-01

    Bivariate normal wind statistics for a 90 degree flight azimuth, from 0 through 90 km altitude, for Kennedy Space Center, Florida, and Vandenberg AFB, California are presented. Wind probability distributions and statistics for any rotation of axes can be computed from the five given parameters.

  2. Plant growth controls short-term changes in soil organic carbon (SOC) stocks of croplands - new insights from the CarboZALF experiment

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Joana; Albiac Borraz, Elisa; Schmidt, Marten; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2016-04-01

    The long-term influence of crop rotations, climate conditions or soil type on soil organic carbon stock (SOC) patterns and gaseous C emissions of agricultural landscapes is widely recognized. However, the question of short-term seasonal changes in SOC within these areas remains unclear. A main reason for this is the detection problem of temporal and spatial variability in gaseous C exchange and thus, changes in SOC stocks (ΔSOC) in a high resolution. This study introduces dynamic C balances as a method to obtain seasonal changes in SOC stocks. Dynamic C balances were calculated by a combination of automatic chamber CO2 exchange measurements and empirical biomass models. Measurements were performed for three consecutive years at a colluvial depression (Colluvic Regosol) in the hummocky ground moraine landscape of NE Germany (CarboZALF experimental site). The investigated crop rotation was maize, winter fodder rye, maize, winter fodder rye, and sudangrass. The site is characterized by a gradient in ground water level (GWL) and related spatial heterogeneity in soil properties, such as SOC as well as soil nitrogen (Nt) stocks. Modelled dynamic C balances reveal that up to 79% of the standard deviation of estimated annual ΔSOC between single chambers emerged during the main period of crop growth (three months in summer). No significant changes in ΔSOC were detected outside the growing season. Instead, differences between chambers remain constant despite ΔSOC dynamics. Environmental variables (Nt stocks of Ap horizon and GWL), affecting plant-mediated C sequestration, explained up to 95% of temporal and spatial variability in CO2 exchange and ΔSOC. Thus, plant activities were the major catalyst for small scale differences in annual ΔSOC of croplands.

  3. Detection of serum AFB1-lysine adduct in Malaysia and its association with liver and kidney functions.

    Science.gov (United States)

    Mohd Redzwan, S; Rosita, Jamaluddin; Mohd Sokhini, A M; Nurul 'Aqilah, A R; Wang, Jia-Sheng; Kang, Min-Su; Zuraini, Ahmad

    2014-01-01

    Aflatoxin is ubiquitously found in many foodstuffs and produced by Aspergillus species of fungi. Of many aflatoxin metabolites, AFB1 is classified by the International Agency for Research on Cancer (IARC) as group one carcinogen and linked to the development of hepatocellular carcinoma (HCC). The study on molecular biomarker of aflatoxin provides a better assessment on the extent of human exposure to aflatoxin. In Malaysia, the occurrences of aflatoxin-contaminated foods have been documented, but there is a lack of data on human exposure to aflatoxin. Hence, this study investigated the occurrence of AFB1-lysine adduct in serum samples and its association with liver and kidney functions. 5ml fasting blood samples were collected from seventy-one subjects (n=71) for the measurement of AFB1-lysine adduct, albumin, total bilirubin, AST (aspartate aminotransferase), ALT (alanine transaminase), ALP (alkaline phosphatase), GGT (gamma-glutamyl transpeptidase), creatinine and BUN (blood urea nitrogen). The AFB1-lysine adduct was detected in all serum samples (100% detection rate) with a mean of 6.85±3.20pg/mg albumin (range: 1.13-18.85pg/mg albumin). Male subjects (mean: 8.03±3.41pg/mg albumin) had significantly higher adduct levels than female subjects (mean: 5.64±2.46pg/mg albumin) (p6.85pg/mg albumin) had significantly elevated level of total bilirubin (pMalaysia. Given that aflatoxin can pose serious problem to the health, intervention strategies should be implemented to limit/reduce human exposure to aflatoxin. Besides, a study with a big sample size should be warranted in order to assess aflatoxin exposure in the general population of Malaysia. Copyright © 2013 Elsevier GmbH. All rights reserved.

  4. Research on SEU hardening of heterogeneous Dual-Core SoC

    Science.gov (United States)

    Huang, Kun; Hu, Keliu; Deng, Jun; Zhang, Tao

    2017-08-01

    The implementation of Single-Event Upsets (SEU) hardening has various schemes. However, some of them require a lot of human, material and financial resources. This paper proposes an easy scheme on SEU hardening for Heterogeneous Dual-core SoC (HD SoC) which contains three techniques. First, the automatic Triple Modular Redundancy (TMR) technique is adopted to harden the register heaps of the processor and the instruction-fetching module. Second, Hamming codes are used to harden the random access memory (RAM). Last, a software signature technique is applied to check the programs which are running on CPU. The scheme need not to consume additional resources, and has little influence on the performance of CPU. These technologies are very mature, easy to implement and needs low cost. According to the simulation result, the scheme can satisfy the basic demand of SEU-hardening.

  5. The Impact of Grading on a Curve: Assessing the Results of Kulick and Wright's Simulation Analysis

    Science.gov (United States)

    Bailey, Gary L.; Steed, Ronald C.

    2012-01-01

    Kulick and Wright concluded, based on theoretical mathematical simulations of hypothetical student exam scores, that assigning exam grades to students based on the relative position of their exam performance scores within a normal curve may be unfair, given the role that randomness plays in any given student's performance on any given exam.…

  6. Clinical value of whole-blood interferon-gamma assay in patients with suspected pulmonary tuberculosis and AFB smear- and polymerase chain reaction-negative bronchial aspirates.

    Science.gov (United States)

    Lee, Jaehee; Lee, Shin Yup; Yoo, Seung Soo; Cha, Seung Ick; Won, Dong Il; Park, Jae Yong; Lee, Won-Kil; Kim, Chang Ho

    2012-07-01

    Combining a polymerase chain reaction (PCR) test with bronchoscopy is frequently performed to allow a rapid diagnosis of smear-negative pulmonary tuberculosis (PTB). However, limited data are available concerning clinical judgment in patients with suspected PTB and AFB smear- and PCR-negative bronchial aspirates (BA). The present study evaluated the usefulness of whole-blood QuantiFERON-TB Gold In-Tube (QFT) testing in these patients. Of 166 patients with suspected PTB who had undergone bronchoscopy because of smear-negative sputum or inadequate sputum production, 93 (56%) were diagnosed with culture-positive PTB. Seventy-four patients were either AFB smear- or PCR-positive. In the 75 patients whose BA AFB smear and PCR results were both negative, 19 were finally diagnosed with PTB by culture. The QFT test had a negative predictive value of 91% for PTB. The QFT test may be useful for excluding PTB in patients with suspected PTB whose BA AFB smear and PCR results are both negative. Copyright © 2012 Elsevier Inc. All rights reserved.

  7. Suppressor of cytokine signaling 4 (SOCS4 protects against severe cytokine storm and enhances viral clearance during influenza infection.

    Directory of Open Access Journals (Sweden)

    Lukasz Kedzierski

    2014-05-01

    Full Text Available Suppressor of cytokine signaling (SOCS proteins are key regulators of innate and adaptive immunity. There is no described biological role for SOCS4, despite broad expression in the hematopoietic system. We demonstrate that mice lacking functional SOCS4 protein rapidly succumb to infection with a pathogenic H1N1 influenza virus (PR8 and are hypersusceptible to infection with the less virulent H3N2 (X31 strain. In SOCS4-deficient animals, this led to substantially greater weight loss, dysregulated pro-inflammatory cytokine and chemokine production in the lungs and delayed viral clearance. This was associated with impaired trafficking of influenza-specific CD8 T cells to the site of infection and linked to defects in T cell receptor activation. These results demonstrate that SOCS4 is a critical regulator of anti-viral immunity.

  8. SOCS3 inhibits the pathological effects of IL-22 in non-melanoma skin tumor-derived keratinocytes.

    Science.gov (United States)

    Madonna, Stefania; Scarponi, Claudia; Morelli, Martina; Sestito, Rosanna; Scognamiglio, Pasqualina Liana; Marasco, Daniela; Albanesi, Cristina

    2017-04-11

    Basal cell carcinomas (BCC) and squamous-cell carcinomas (SCC) are common malignancies in humans, caused by neoplastic transformation of keratinocytes of the basal or suprabasal layers of epidermis, respectively. Tumor-infiltrating lymphocytes (TILs) are frequently found in BCC and SCC, and functionally promote epithelial carcinogenesis. TILs secreting IL-22, in particular, participate to BCC and SCC growth by inducing keratinocyte proliferation and migration, as well as the expression of inflammatory, anti-apoptotic and pro-angiogenic genes.In this study, we identified SOCS3 as a valid candidate to be manipulated for suppressing tumorigenic functions in BCC and SCC. We found that SOCS3 and SOCS1 expression was reduced in vivo, in tumor lesions of BCC and SCC, as compared to other skin inflammatory conditions such as psoriasis, despite the high number of IL-22-secreting TILs. Moreover, IL-22 was not able to induce in vitro the transcriptional expression of SOCS3 in BCC-or SCC-derived keratinocytes, contrarily to healthy cells. Aimed at rescuing SOCS3 activity in these tumor contexts, a SOCS3-derived peptide, named KIR-ESS, was synthesized, and its ability in suppressing IL-22-induced responses was evaluated in healthy and transformed keratinocytes. We found that KIR-ESS peptide efficiently suppressed the IL-22 molecular signaling in keratinocytes, by acting on STAT3 and Erk1/2 cascade, as well as on the expression of STAT3-dependent downstream genes. Interestingly, after treatment with peptide, both healthy and transformed keratinocytes could no longer aberrantly proliferate and migrate in response to IL-22. Finally, treatment of athymic nude mice bearing SCC xenografts with KIR-ESS peptide concomitantly reduced tumor growth and activated STAT3 levels. As a whole, these data provides the rationale for the use in BCC and SCC skin tumors of SOCS3 mimetics, being able to inhibit the deleterious effects of IL-22 in these contexts.

  9. Transport-distance specific SOC distribution: Does it skew erosion induced C fluxes?

    DEFF Research Database (Denmark)

    Hu, Yaxian; Berbe, Asmerat Asefaw; Fogel, Marilyn L.

    2016-01-01

    Abstract The net effect of soil erosion by water, as a sink or source of atmospheric carbon dioxide (CO2), is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC), and the dynamic replacement of eroded C by the production of new photosynthate. The depositi......Abstract The net effect of soil erosion by water, as a sink or source of atmospheric carbon dioxide (CO2), is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC), and the dynamic replacement of eroded C by the production of new photosynthate...... the actual movement of eroded soil fractions along hillslopes, let alone the re-distribution pattern of SOC fractions. Eroding sandy soils and sediment were sampled after a series of rainfall events along a slope on a freshly seeded cropland in Jutland, Denmark. All the soil samples were fractionated...... into five settling classes using a settling tube apparatus. The spatial distribution of soil settling classes shows a coarsening effect immediately below the eroding slope, followed by a fining trend at the slope tail. These findings support the validity of the conceptual model proposed by Starr et al...

  10. Environmental Assessment of Installation Development at Fairchild Air Force Base, Washington

    Science.gov (United States)

    2012-12-01

    is an area of dune sand (FAFB 2006c). Soils. The NRCS has mapped nine soil types on Fairchild AFB in the 2006 update to the 1968 Soil Survey of...digynum None T Nuttall’s pussy- toes Antennaria parvifolia None S Palouse goldenweed Pyrrocoma liatriformis SOC T Prairie cordgrass Spartina

  11. The Wright Science Colloquia - Entering the Nano-World

    CERN Multimedia

    2002-01-01

    In 1965, Intel co-founder Gordon Moore made an astonishing prediction - that every year processing power would double. Although it was corrected to a period of 18 to 24 months in 1975, this prediction has always proved accurate. Accordingly, the first Intel processor produced in 1975 was equipped with 2,300 transistors whereas the latest edition has 55 million. That means 55 million etched components crammed into an area of about a square centimetre! Today we have this extraordinary process of miniaturisation to thank for cell phones, computers and other, ever more compact electronic marvels. But where will the miniaturisation race end? What will happen when electronic etchings reach the nano-scale (a millionth of a millimetre), approaching the size of an atom? How can we even start to comprehend this nano-world, whose laws are so different from our own, human-sized world? These fascinating questions are on the programme of the 10th Wright Science Colloquia from 18 to 22 November. Five world-renowned specia...

  12. Design of a Bidirectional Energy Storage System for a Vanadium Redox Flow Battery in a Microgrid with SOC Estimation

    Directory of Open Access Journals (Sweden)

    Qingwu Gong

    2017-03-01

    Full Text Available This paper used a Vanadium Redox flow Battery (VRB as the storage battery and designed a two-stage topology of a VRB energy storage system in which a phase-shifted full bridge dc-dc converter and three-phase inverter were used, considering the low terminal voltage of the VRB. Following this, a model of the VRB was simplified, according to the operational characteristics of the VRB in this designed topology of a VRB energy storage system (ESS. By using the simplified equivalent model of the VRB, the control parameters of the ESS were designed. For effectively estimating the state of charge (SOC of the VRB, a traditional method for providing the SOC estimation was simplified, and a simple and effective SOC estimation method was proposed in this paper. Finally, to illustrate the proper design of the VRB ESS and the proposed SOC estimation method, a corresponding simulation was designed by Simulink. The test results have demonstrated that this proposed SOC estimation method is feasible and effective for indicating the SOC of a VRB and the proper design of this VRB ESS is very reasonable for VRB applications.

  13. High organic inputs explain shallow and deep SOC storage in a long-term agroforestry system - combining experimental and modeling approaches

    Science.gov (United States)

    Cardinael, Rémi; Guenet, Bertrand; Chevallier, Tiphaine; Dupraz, Christian; Cozzi, Thomas; Chenu, Claire

    2018-01-01

    Agroforestry is an increasingly popular farming system enabling agricultural diversification and providing several ecosystem services. In agroforestry systems, soil organic carbon (SOC) stocks are generally increased, but it is difficult to disentangle the different factors responsible for this storage. Organic carbon (OC) inputs to the soil may be larger, but SOC decomposition rates may be modified owing to microclimate, physical protection, or priming effect from roots, especially at depth. We used an 18-year-old silvoarable system associating hybrid walnut trees (Juglans regia × nigra) and durum wheat (Triticum turgidum L. subsp. durum) and an adjacent agricultural control plot to quantify all OC inputs to the soil - leaf litter, tree fine root senescence, crop residues, and tree row herbaceous vegetation - and measured SOC stocks down to 2 m of depth at varying distances from the trees. We then proposed a model that simulates SOC dynamics in agroforestry accounting for both the whole soil profile and the lateral spatial heterogeneity. The model was calibrated to the control plot only. Measured OC inputs to soil were increased by about 40 % (+ 1.11 t C ha-1 yr-1) down to 2 m of depth in the agroforestry plot compared to the control, resulting in an additional SOC stock of 6.3 t C ha-1 down to 1 m of depth. However, most of the SOC storage occurred in the first 30 cm of soil and in the tree rows. The model was strongly validated, properly describing the measured SOC stocks and distribution with depth in agroforestry tree rows and alleys. It showed that the increased inputs of fresh biomass to soil explained the observed additional SOC storage in the agroforestry plot. Moreover, only a priming effect variant of the model was able to capture the depth distribution of SOC stocks, suggesting the priming effect as a possible mechanism driving deep SOC dynamics. This result questions the potential of soils to store large amounts of carbon, especially at depth. Deep

  14. A modified Wright-Fisher model that incorporates Ne: A variant of the standard model with increased biological realism and reduced computational complexity.

    Science.gov (United States)

    Zhao, Lei; Gossmann, Toni I; Waxman, David

    2016-03-21

    The Wright-Fisher model is an important model in evolutionary biology and population genetics. It has been applied in numerous analyses of finite populations with discrete generations. It is recognised that real populations can behave, in some key aspects, as though their size that is not the census size, N, but rather a smaller size, namely the effective population size, Ne. However, in the Wright-Fisher model, there is no distinction between the effective and census population sizes. Equivalently, we can say that in this model, Ne coincides with N. The Wright-Fisher model therefore lacks an important aspect of biological realism. Here, we present a method that allows Ne to be directly incorporated into the Wright-Fisher model. The modified model involves matrices whose size is determined by Ne. Thus apart from increased biological realism, the modified model also has reduced computational complexity, particularly so when Ne⪡N. For complex problems, it may be hard or impossible to numerically analyse the most commonly-used approximation of the Wright-Fisher model that incorporates Ne, namely the diffusion approximation. An alternative approach is simulation. However, the simulations need to be sufficiently detailed that they yield an effective size that is different to the census size. Simulations may also be time consuming and have attendant statistical errors. The method presented in this work may then be the only alternative to simulations, when Ne differs from N. We illustrate the straightforward application of the method to some problems involving allele fixation and the determination of the equilibrium site frequency spectrum. We then apply the method to the problem of fixation when three alleles are segregating in a population. This latter problem is significantly more complex than a two allele problem and since the diffusion equation cannot be numerically solved, the only other way Ne can be incorporated into the analysis is by simulation. We have

  15. 3D integration for NoC-based SoC architectures

    CERN Document Server

    Sheibanyrad, Abbas; Pétrot, Frédéric

    2011-01-01

    3D-Integration for NoC-based SoC Architectures gathers the recent advances in the whole domain by renowned experts in the field to build a comprehensive and consistent book around the hot topics of three-dimensional architectures and micro-architectures.

  16. Kepler: A Search for Terrestrial Planets - SOC 9.3 DR25 Pipeline Parameter Configuration Reports

    Science.gov (United States)

    Campbell, Jennifer R.

    2017-01-01

    This document describes the manner in which the pipeline and algorithm parameters for the Kepler Science Operations Center (SOC) science data processing pipeline were managed. This document is intended for scientists and software developers who wish to better understand the software design for the final Kepler codebase (SOC 9.3) and the effect of the software parameters on the Data Release (DR) 25 archival products.

  17. Computational model of 18650 lithium-ion battery with coupled strain rate and SOC dependencies

    International Nuclear Information System (INIS)

    Xu, Jun; Liu, Binghe; Wang, Xinyi; Hu, Dayong

    2016-01-01

    Highlights: • An anisotropic model to describe mechanical behaviors of LIB is established. • SOC dependency is included in the mechanical model of the jellyroll. • Dynamic effect is considered in the model for LIB. - Abstract: Highly nonlinear structures and constituent materials and hazardous experiment situations have resulted in a pressing need for a numerical mechanical model for lithium-ion battery (LIB). However, such a model is still not well established. In this paper, an anisotropic homogeneous model describing the jellyroll and the battery shell is established and validated through compression, indentation, and bending tests at quasi-static loadings. In this model, state-of-charge (SOC) dependency of the LIB is further included through an analogy with the strain-rate effect. Moreover, with consideration of the inertia and strain-rate effects, the anisotropic homogeneous model is extended into the dynamic regime and proven capable of predicting the dynamic response of the LIB using the drop-weight test. The established model may help to predict extreme cases with high SOCs and crashing speeds with an over 135% improved accuracy compared to traditional models. The established coupled strain rate and SOC dependencies of the numerical mechanical model for the LIB aims to provide a solid step toward unraveling and quantifying the complicated problems for research on LIB mechanical integrity.

  18. Online cell SOC estimation of Li-ion battery packs using a dual time-scale Kalman filtering for EV applications

    International Nuclear Information System (INIS)

    Dai, Haifeng; Wei, Xuezhe; Sun, Zechang; Wang, Jiayuan; Gu, Weijun

    2012-01-01

    Highlights: ► We use an equivalent circuit model to describe the characteristics of battery. ► A dual time-scale estimator is used to calculate pack average SOC and cell SOC. ► The estimator is based on the dynamic descriptions and extended Kalman filter. ► Three different test cases are designed to validate the proposed method. ► Test results indicate a good performance of the method for EV applications. -- Abstract: For the vehicular operation, due to the voltage and power/energy requirements, the battery systems are usually composed of up to hundreds of cells connected in series or parallel. To accommodate the operation conditions, the battery management system (BMS) should estimate State of Charge (SOC) to facilitate safe and efficient utilization of the battery. The performance difference among the cells makes a pure pack SOC estimation hardly provide sufficient information, which at last affects the computation of available energy and power and the safety of the battery system. So for a reliable and accurate management, the BMS should “know” the SOC of each individual cell. Several possible solutions on this issue have been reported in the recent years. This paper studies a method to determine online all individual cell SOCs of a series-connected battery pack. This method, with an equivalent circuit based “averaged cell” model, estimates the battery pack’s average SOC first, and then incorporates the performance divergences between the “averaged cell” and each individual cell to generate the SOC estimations for all cells. This method is developed based on extended Kalman filter (EKF), and to reduce the computation cost, a dual time-scale implementation is designed. The method is validated using results obtained from the measurements of a Li-ion battery pack under three different tests, and analysis indicates the good performance of the algorithm.

  19. Environmental Assessment Construction of a New Hazardous Cargo Pad Davis-Monthan AFB

    Science.gov (United States)

    2002-11-07

    PERFORMING ORGANIZATION NAME(S) AND ADDRESS(ES) 355th Civil Engineer Squadron (CES/CEVA),710 Third Street,Davis-Monthan AFB,AZ,85707 8. PERFORMING...agency for certain projects. Details of the preparation of this EA are mandated by the Council of Enviromental Quality (CEQ) in the series of...Base, Tucson, Arizona." October 1996. James M. Montgomery, Consulting Engineers for US army Corps of Engineers , Omaha Dist., Apri11990

  20. A microprocessor from AVR to embedded SoC

    International Nuclear Information System (INIS)

    Jeong, Geum Seoh

    2005-01-01

    This book was divided into two parts. The first part consists of ten chapter, which are basic knowledge, code vision AVR compiler, analysis on code vision, introduction and characteristic of AVR, I/O ports, interrupt and timer/counter, LCD, serial communication, analog comparator and stepping Motor and digital control of DC Motor. In the second part, it introduces Embedded Soc including application field, its characteristic, general description, functional description, designs with Quartus II.

  1. A Cryptographic SoC for Robust Protection of Secret Keys in IPTV DRM Systems

    Science.gov (United States)

    Lee, Sanghan; Yang, Hae-Yong; Yeom, Yongjin; Park, Jongsik

    The security level of an internet protocol television (IPTV) digital right management (DRM) system ultimately relies on protection of secret keys. Well known devices for the key protection include smartcards and battery backup SRAMs (BB-SRAMs); however, these devices could be vulnerable to various physical attacks. In this paper, we propose a secure and cost-effective design of a cryptographic system on chip (SoC) that integrates the BB-SRAM with a cell-based design technique. The proposed SoC provides robust safeguard against the physical attacks, and satisfies high-speed and low-price requirements of IPTV set-top boxes. Our implementation results show that the maximum encryption rate of the SoC is 633Mb/s. In order to verify the data retention capabilities, we made a prototype chip using 0.18µm standard cell technology. The experimental results show that the integrated BB-SRAM can reliably retain data with a 1.4µA leakage current.

  2. Gaining Insights on the H2–Sorbent Interactions: Robust soc-MOF Platform as a Case Study

    KAUST Repository

    Cairns, Amy

    2016-09-18

    We report on the synthesis and gas adsorption properties (i.e., Ar and H2) of four robust 3-periodic metal–organic frameworks (MOFs) having the targeted soc topology. These cationic MOFs are isostructural to the parent indium-based MOF, In-soc-MOF-1a (for NO3–), previously reported by us, and likewise are constructed from the assembly of rigid μ3-oxygen-centered trinuclear metal carboxylate clusters, [M3O(O2C−)6], where M = In3+ or Fe3+. Each inorganic trinuclear molecular building block (MBB), generated in situ, is bridged by six 3,3′,5,5′-azobenzenetetracarboxylate (ABTC4–) ligands to give the extended (4,6)-connected MOF, soc-MOF. In our previous work, we confirmed that the parent soc-MOF, i.e., In-soc-MOF-1a, possesses unique structural characteristics (e.g., vacant In binding sites and narrow pores with higher localized charge density), which led to exceptional hydrogen (H2) storage capabilities. Therefore, charged MOFs with soc topology can be viewed collectively as an ideal prototypical platform to examine the impact of specific structural parameters on H2–MOF interactions via systematic gas adsorption studies. We infer that enhanced binding of molecular H2 is primarily governed by the presence and type of vacant metal centers (i.e., Fe was shown to exhibit stronger H2–MOF interactions at low H2 loading compared to the In analogues). These findings are evident from the associated isosteric heat of adsorption (Qst) at low loadings and inelastic neutron scattering (INS) experiments of the rotational transitions of sorbed H2, as well as, temperature-programmed desorption (TPD) studies (for a select compound). The importance of localized charge density is also highlighted, where the extra-framework nitrate anions in the Fe-soc-MOF-1a (for NO3–) facilitate enhanced binding affinities as compared to the chloride analogue.

  3. Prediction of SOC content by Vis-NIR spectroscopy at European scale using a modified local PLS algorithm

    Science.gov (United States)

    Nocita, M.; Stevens, A.; Toth, G.; van Wesemael, B.; Montanarella, L.

    2012-12-01

    In the context of global environmental change, the estimation of carbon fluxes between soils and the atmosphere has been the object of a growing number of studies. This has been motivated notably by the possibility to sequester CO2 into soils by increasing the soil organic carbon (SOC) stocks and by the role of SOC in maintaining soil quality. Spatial variability of SOC masks its slow accumulation or depletion, and the sampling density required to detect a change in SOC content is often very high and thus very expensive and labour intensive. Visible near infrared diffuse reflectance spectroscopy (Vis-NIR DRS) has been shown to be a fast, cheap and efficient tool for the prediction of SOC at fine scales. However, when applied to regional or country scales, Vis-NIR DRS did not provide sufficient accuracy as an alternative to standard laboratory soil analysis for SOC monitoring. Under the framework of Land Use/Cover Area Frame Statistical Survey (LUCAS) project of the European Commission's Joint Research Centre (JRC), about 20,000 samples were collected all over European Union. Soil samples were analyzed for several physical and chemical parameters, and scanned with a Vis-NIR spectrometer in the same laboratory. The scope of our research was to predict SOC content at European scale using LUCAS spectral library. We implemented a modified local partial least square regression (l-PLS) including, in addition to spectral distance, other potentially useful covariates (geography, texture, etc.) to select for each unknown sample a group of predicting neighbours. The dataset was split in mineral soils under cropland, mineral soils under grassland, mineral soils under woodland, and organic soils due to the extremely diverse spectral response of the four classes. Four every class training (70%) and test (30%) sets were created to calibrate and validate the SOC prediction models. The results showed very good prediction ability for mineral soils under cropland and mineral soils

  4. Pure neuritic leprosy: Resolving diagnostic issues in acid fast bacilli (AFB)-negative nerve biopsies: A single centre experience from South India.

    Science.gov (United States)

    Hui, Monalisa; Uppin, Megha S; Challa, Sundaram; Meena, A K; Kaul, Subhash

    2015-01-01

    Demonstration of lepra bacilli is essential for definite or unequivocal diagnosis of pure neuritic leprosy (PNL) on nerve biopsy. However, nerves always do not show bacilli owing to the changes of previous therapy or due to low bacillary load in tuberculoid forms. In absence of granuloma or lepra bacilli, other morphologic changes in endoneurium and perineurium can be of help in making a probable diagnosis of PNL and treating the patient with multidrug therapy. Forty-six biopsies of PNL were retrospectively reviewed and histologic findings were compared with 25 biopsies of non leprosy neuropathies (NLN) including vasculitic neuropathy and chronic inflammatory demyelinating polyneuropathy (CIDP). The distribution of endoneurial infiltrate and fibrosis, perineurial thickening, and myelin abnormalities were compared between PNL and NLN biopsies and analyzed by Chi-square test. Out of 46 PNL casses, 24 (52.17 %) biopsies were negative for acid fast bacilli (AFB). In these cases, the features which favor a diagnosis of AFB-negative PNL were endoneurial infiltrate (51.1%), endoneurial fibrosis (54.2%), perineurial thickening (70.8%), and reduced number of myelinated nerve fibers (75%). Nerve biopsy is an efficient tool to diagnose PNL and differentiate it from other causes of NLN. In absence of AFB, the diagnosis of PNL is challenging. In this article, we have satisfactorily evaluated the various hisopthological features and found that endoneurial inflammation, dense fibrosis, and reduction in the number of myelinated nerve fibers are strong supportive indicators of PNL regardless of AFB positivity.

  5. UD-DKF-based Parameters on-line Identification Method and AEKF-Based SOC Estimation Strategy of Lithium-ion Battery

    Directory of Open Access Journals (Sweden)

    Xuanju Dang

    2014-09-01

    Full Text Available State of charge (SOC is a significant parameter for the Battery Management System (BMS. The accurate estimation of the SOC can not only guarantee the SOC remaining within a reasonable scope of work, but also prevent the battery from being over or deeply-charged to extend the lifespan of battery. In this paper, the third-order RC equivalent circuit model is adopted to describe cell characteristics and the dual Kalman filter (DKF is used online to identify model parameters for battery. In order to avoid the impacts of rounding error calculation leading to the estimation error matrix loss of non-negative qualitative which result in the filtering divergence phenomenon, the UD decomposition method is applied for filtering time and state updates simultaneously to enhance the stability of the algorithm, reduce the computational complexity and improve the high recognition accuracy. Based on the obtained model parameters, Adaptive Extended Kalman Filter (AEKF is introduced to online estimate the SOC of battery. The simulation and experimental results demonstrate that the established third-order RC equivalent circuit model is effective, and the SOC estimation has a higher precision.

  6. Role of Ubiquitylation in Controlling Suppressor of Cytokine Signalling 3 (SOCS3 Function and Expression

    Directory of Open Access Journals (Sweden)

    Jamie J. L. Williams

    2014-05-01

    Full Text Available The realisation that unregulated activation of the Janus kinase–signal transducer and activator of transcription (JAK–STAT pathway is a key driver of a wide range of diseases has identified its components as targets for therapeutic intervention by small molecule inhibitors and biologicals. In this review, we discuss JAK-STAT signalling pathway inhibition by the inducible inhibitor “suppressor of cytokine signaling 3 (SOCS3, its role in diseases such as myeloproliferative disorders, and its function as part of a multi-subunit E3 ubiquitin ligase complex. In addition, we highlight potential applications of these insights into SOCS3-based therapeutic strategies for management of conditions such as vascular re-stenosis associated with acute vascular injury, where there is strong evidence that multiple processes involved in disease progression could be attenuated by localized potentiation of SOCS3 expression levels.

  7. Environmental Assessment for BRAC Facilities and Remote Field Training Site, Wright-Patterson Air Force Base

    Science.gov (United States)

    2008-03-01

    survey (BHE, 2001). Radio tracking of these two bats confirmed the presence of a maternity colony in a dead slippery elm (Ulmus rubra) in a woodlot...The most common trees observed were black walnut (Juglans nigra), elm (Ulmus spp.), eastern cottonwood, willow, ash (Fraxinus americana), sycamore

  8. Space Operations Center system analysis. Volume 3, book 2: SOC system definition report, revision A

    Science.gov (United States)

    1982-01-01

    The Space Operations Center (SOC) orbital space station program operations are described. A work breakdown structure for the general purpose support equipment, construction and transportation support, and resupply and logistics support systems is given. The basis for the design of each element is presented, and a mass estimate for each element supplied. The SOC build-up operation, construction, flight support, and satellite servicing operations are described. Detailed programmatics and cost analysis are presented.

  9. A Framework for Hardware-Accelerated Services Using Partially Reconfigurable SoCs

    Directory of Open Access Journals (Sweden)

    MACHIDON, O. M.

    2016-05-01

    Full Text Available The current trend towards ?Everything as a Service? fosters a new approach on reconfigurable hardware resources. This innovative, service-oriented approach has the potential of bringing a series of benefits for both reconfigurable and distributed computing fields by favoring a hardware-based acceleration of web services and increasing service performance. This paper proposes a framework for accelerating web services by offloading the compute-intensive tasks to reconfigurable System-on-Chip (SoC devices, as integrated IP (Intellectual Property cores. The framework provides a scalable, dynamic management of the tasks and hardware processing cores, based on dynamic partial reconfiguration of the SoC. We have enhanced security of the entire system by making use of the built-in detection features of the hardware device and also by implementing active counter-measures that protect the sensitive data.

  10. Cache Performance Optimization for SoC Vedio Applications

    OpenAIRE

    Lei Li; Wei Zhang; HuiYao An; Xing Zhang; HuaiQi Zhu

    2014-01-01

    Chip Multiprocessors (CMPs) are adopted by industry to deal with the speed limit of the single-processor. But memory access has become the bottleneck of the performance, especially in multimedia applications. In this paper, a set of management policies is proposed to improve the cache performance for a SoC platform of video application. By analyzing the behavior of Vedio Engine, the memory-friendly writeback and efficient prefetch policies are adopted. The experiment platform is simulated by ...

  11. Design of a Programmable Passive SoC for Biomedical Applications Using RFID ISO 15693/NFC5 Interface

    Directory of Open Access Journals (Sweden)

    Mayukh Bhattacharyya

    2018-01-01

    Full Text Available Low power, low cost inductively powered passive biotelemetry system involving fully customized RFID/NFC interface base SoC has gained popularity in the last decades. However, most of the SoCs developed are application specific and lacks either on-chip computational or sensor readout capability. In this paper, we present design details of a programmable passive SoC in compliance with ISO 15693/NFC5 standard for biomedical applications. The integrated system consists of a 32-bit microcontroller, a sensor readout circuit, a 12-bit SAR type ADC, 16 kB RAM, 16 kB ROM and other digital peripherals. The design is implemented in a 0.18 μ m CMOS technology and used a die area of 1.52 mm × 3.24 mm. The simulated maximum power consumption of the analog block is 592 μ W. The number of external components required by the SoC is limited to an external memory device, sensors, antenna and some passive components. The external memory device contains the application specific firmware. Based on the application, the firmware can be modified accordingly. The SoC design is suitable for medical implants to measure physiological parameters like temperature, pressure or ECG. As an application example, the authors have proposed a bioimplant to measure arterial blood pressure for patients suffering from Peripheral Artery Disease (PAD.

  12. A Flexible ADC Approach for Mixed-signal SoC Platforms

    NARCIS (Netherlands)

    Zanikopoulos, A.; Harpe, P.J.A.; Hegt, J.A.; Roermund, van A.H.M.

    2005-01-01

    Time-to-market pressure and increased design complexity created what is called a "design gap" [1] in the design of systems-on-chip (SoC). As a solution to that problem the Platform-Based Design (PBD), based on the design-reuse methodology, has been proposed [2], and successfully applied to digital

  13. Structural basis for c-KIT inhibition by the suppressor of cytokine signaling 6 (SOCS6) ubiquitin ligase

    DEFF Research Database (Denmark)

    Zadjali, Fahad; Pike, Ashley C W; Vesterlund, Mattias

    2011-01-01

    to substrate residue position pY+6 and envelopes the c-KIT phosphopeptide with a large BG loop insertion that contributes significantly to substrate interaction. We demonstrate that SOCS6 has ubiquitin ligase activity toward c-KIT and regulates c-KIT protein turnover in cells. Our data support a role of SOCS6...

  14. The First Nine Months of Editing Wittgenstein - Letters from G.E.M. Anscombe and Rush Rhees to G.H. von Wright

    Directory of Open Access Journals (Sweden)

    Christian Eric Erbacher

    2015-07-01

    Full Text Available The National Library of Finland (NLF and the Von Wright and Wittgenstein Archives at the University of Helsinki (WWA keep the collected correspondence of Georg Henrik von Wright, Wittgenstein’s friend and successor at Cambridge and one of the three literary executors of Wittgenstein’s Nachlass. Among von Wright’s correspondence partners, Elizabeth Anscombe and Rush Rhees are of special interest to Wittgenstein scholars as the two other trustees of the Wittgenstein papers. Thus, von Wright’s collections held in Finland promise to shed light on the context of decades of editorial work that made Wittgenstein’s later philosophy available to all interested readers. In this text, we present the letters which von Wright received from Anscombe and Rhees during the first nine months after Wittgenstein’s death. This correspondence provides a vivid picture of the literary executors as persons and of their developing relationships. The presented letters are beautiful examples of what the correspondence as a whole has to offer; it depicts – besides facts of editing – the story of three philosophers, whose conversing voices unfold the human aspects of inheriting Wittgenstein’s Nachlass. Their story does not only deal with editing the papers of an eminent philosopher, but with the attempt to do justice to the man they knew, to his philosophy and to his wishes for publication.

  15. ORCHIDEE-SOM: modeling soil organic carbon (SOC) and dissolved organic carbon (DOC) dynamics along vertical soil profiles in Europe

    Science.gov (United States)

    Camino-Serrano, Marta; Guenet, Bertrand; Luyssaert, Sebastiaan; Ciais, Philippe; Bastrikov, Vladislav; De Vos, Bruno; Gielen, Bert; Gleixner, Gerd; Jornet-Puig, Albert; Kaiser, Klaus; Kothawala, Dolly; Lauerwald, Ronny; Peñuelas, Josep; Schrumpf, Marion; Vicca, Sara; Vuichard, Nicolas; Walmsley, David; Janssens, Ivan A.

    2018-03-01

    Current land surface models (LSMs) typically represent soils in a very simplistic way, assuming soil organic carbon (SOC) as a bulk, and thus impeding a correct representation of deep soil carbon dynamics. Moreover, LSMs generally neglect the production and export of dissolved organic carbon (DOC) from soils to rivers, leading to overestimations of the potential carbon sequestration on land. This common oversimplified processing of SOC in LSMs is partly responsible for the large uncertainty in the predictions of the soil carbon response to climate change. In this study, we present a new soil carbon module called ORCHIDEE-SOM, embedded within the land surface model ORCHIDEE, which is able to reproduce the DOC and SOC dynamics in a vertically discretized soil to 2 m. The model includes processes of biological production and consumption of SOC and DOC, DOC adsorption on and desorption from soil minerals, diffusion of SOC and DOC, and DOC transport with water through and out of the soils to rivers. We evaluated ORCHIDEE-SOM against observations of DOC concentrations and SOC stocks from four European sites with different vegetation covers: a coniferous forest, a deciduous forest, a grassland, and a cropland. The model was able to reproduce the SOC stocks along their vertical profiles at the four sites and the DOC concentrations within the range of measurements, with the exception of the DOC concentrations in the upper soil horizon at the coniferous forest. However, the model was not able to fully capture the temporal dynamics of DOC concentrations. Further model improvements should focus on a plant- and depth-dependent parameterization of the new input model parameters, such as the turnover times of DOC and the microbial carbon use efficiency. We suggest that this new soil module, when parameterized for global simulations, will improve the representation of the global carbon cycle in LSMs, thus helping to constrain the predictions of the future SOC response to global

  16. External quality assessment of AFB smear microscopy performances and its associated factors in selected private health facilities in Addis Ababa, Ethiopia.

    Science.gov (United States)

    Mosissa, Lemi; Kebede, Abebaw; Mindaye, Tedla; Getahun, Muluwork; Tulu, Sisay; Desta, Kassu

    2016-01-01

    Tuberculosis (TB) is still a public health problem in sub Saharan African countries. In resource-limited settings, TB diagnosis relies on sputum smear microscopy, with low and variable sensitivities, especially in paucibacillary pediatric and HIV-associated TB patients. Tuberculosis microscopy centers have several weaknesses like overworking, insufficiently trained personnel, inconsistent reagent supplies, and poorly maintained equipments; thus, there is a critical need for investments in laboratory infrastructure, capacity building, and quality assurance schemes. The performance of TB microscopy centers in the private health facilities in Addis Ababa is not known so far. The main objective of the study was to assess laboratory performance of acid fast bacilli (AFB) smear microscopy and its associated factors in selected private health facilities in Addis Ababa, Ethiopia. A cross-sectional study was conducted in 33 selected private health facilities of Addis Ababa, Ethiopia comprising 7 hospitals, 2 NGO health centers, 23 higher clinics and 1 diagnostic laboratory that provide AFB smear microscopy services. The study was conducted from January to April 2014. A total of 283 stained sputum smears were randomly collected from participant laboratories for blinded rechecking, 320 panel slides were sent to 32 microscopy centers to evaluate their performance on AFB reading, staining and reporting. Checklists were used to assess quality issues of laboratories. Data were captured, cleaned, and analyzed using SPSS version 16.0; χ(2) tests, kappa statistics were used for comparison purpose. P value laboratories, 2-scored 100%, 15 scored 80-95% & the remaining 15 scored 50-75% for overall proficiency test performance. There were 10 (3.15%) major errors and 121 (37.8%) minor errors. The sensitivity, specificity, PPV and NPV of panel reading by microscopy centers were 89%, 96%, 96%, and 90% respectively. Out of 283 randomly selected slides for blind rechecking, 11 (3.9%) slides

  17. [Characteristics of Soil Respiration along Eroded Sloping Land with Different SOC Background on the Hilly Loess Plateau].

    Science.gov (United States)

    Chen, Gai; Xu, Ming-xiang; Zhang, Ya-feng; Wang, Chao-hua; Fan, Hui-min; Wang, Shan-shan

    2015-09-01

    This study aimed to characterize soil respiration along eroded sloping land at erosion and deposition area under different soil organic carbon(SOC) levels, and linked the relationship between soil respiration and soil temperature, soil moisture, SOC and slope position. Experiments were carried out in the plots of S type slopes include five different soil organic carbon levels in the Loess Hilly Region. The S type slopes were divided into control area at the top of the slope, erosion area at the middle of the slope and deposition area at the toe of the slope. We found that soil temperature had a greater impact on soil respiration in the deposition area, whereas soil moisture had a greater impact on soil respiration in the erosion area compared among control area, erosion area and deposition area. In addition, SOC was the most important factor affecting soil respiration, which can explain soil respiration variation 54. 72%, followed by soil moisture, slope position and soil temperature, which explain soil respiration variation 18. 86% , 16. 13% and 10. 29%, respectively. Soil respiration response to erosion showed obvious on-site and off-site effects along the eroded sloping land. Soil respiration in the erosion area was reduced by 21. 14% compared with control area, and soil respiration in the deposition area was increased by 21. 93% compared with control area. Erosion effect on source and sink of carbon emission was correlated with SOC content of the eroded sloping land. When SOC content was higher than 6. 82 g.kg-1, the slope. erosion tended to be a carbon sequestration process, and when SOC content was lower than 3.03 g.kg-1, the slope erosion tended to be a process of the carbon emission source. The model could reflect the relationship between soil respiration and independent variables of soil organic carbon content, soil temperature and moisture.

  18. IL-6 modulates hepatocyte proliferation via induction of HGF/p21cip1: Regulation by SOCS3

    International Nuclear Information System (INIS)

    Sun Rui; Jaruga, Barbara; Kulkarni, Shailin; Sun Haoyu; Gao Bin

    2005-01-01

    The precise role of IL-6 in liver regeneration and hepatocyte proliferation is controversial and the role of SOCS3 in liver regeneration remains unknown. Here we show that in vitro treatment with IL-6 inhibited primary mouse hepatocyte proliferation. IL-6 induced p21 cip1 protein expression in primary mouse hepatocytes. Disruption of the p21 cip1 gene abolished the inhibitory effect of IL-6 on cell proliferation. Co-culture with nonparenchymal liver cells diminished IL-6 inhibition of hepatocyte proliferation, which was likely due to IL-6 stimulation of nonparenchymal cells to produce HGF. Finally, IL-6 induced higher levels of p21 cip1 protein expression and a slightly stronger inhibition of cell proliferation in SOCS3 +/- mouse hepatocytes compared to wild-type hepatocytes, while liver regeneration was enhanced and prolonged in SOCS3 +/- mice. Our findings suggest that IL-6 directly inhibits hepatocyte proliferation via a p21 cip1 -dependent mechanism and indirectly enhances hepatocyte proliferation via stimulating nonparenchymal cells to produce HGF. SOCS3 negatively regulates liver regeneration

  19. Improved OCV Model of a Li-Ion NMC Battery for Online SOC Estimation Using the Extended Kalman Filter

    Directory of Open Access Journals (Sweden)

    Ines Baccouche

    2017-05-01

    Full Text Available Accurate modeling of the nonlinear relationship between the open circuit voltage (OCV and the state of charge (SOC is required for adaptive SOC estimation during the lithium-ion (Li-ion battery operation. Online SOC estimation should meet several constraints, such as the computational cost, the number of parameters, as well as the accuracy of the model. In this paper, these challenges are considered by proposing an improved simplified and accurate OCV model of a nickel manganese cobalt (NMC Li-ion battery, based on an empirical analytical characterization approach. In fact, composed of double exponential and simple quadratic functions containing only five parameters, the proposed model accurately follows the experimental curve with a minor fitting error of 1 mV. The model is also valid at a wide temperature range and takes into account the voltage hysteresis of the OCV. Using this model in SOC estimation by the extended Kalman filter (EKF contributes to minimizing the execution time and to reducing the SOC estimation error to only 3% compared to other existing models where the estimation error is about 5%. Experiments are also performed to prove that the proposed OCV model incorporated in the EKF estimator exhibits good reliability and precision under various loading profiles and temperatures.

  20. Analysis of Suppressor of Cytokine Signaling 2 Gene (SOCS2 Polymorphism in Different Dog Breeds

    Directory of Open Access Journals (Sweden)

    Martina Miluchová

    2011-05-01

    Full Text Available SOCS2 is a negative regulator of growth hormone signaling. The deletion of SOCS2 in mice results in a 30-50% increase in post-natal growth. The aim of the paper was to identify of suppressor of cytokine signaling 2 gene (SOCS2 polymorphism in different dog breeds. The material involved 77 dogs from 14 different breeds. Canine genomic DNA was isolated from saliva by modified method with using DNAzol® (Molecular Research Center and linear polyacrylamide (LPA carrier and from blood by using NucleospinBlood (Macherey-Nagel and used in order to estimate SOCS2 genotypes by PCR-RFLP method. The PCR products were digested with TaqI restriction enzyme. The T allele was distributed among large dog breeds (Czech pointer, Golden retriever, Rottweiler with an allele frequency ranging from 0.2857 to 1.00. In the population of Czech pointer we detected all genotypes. There were detected homozygote genotype GG with frequency 0.5476, heterozygote genotype GT with frequency 0.3333 and homozygote genotype TT with frequency 0.1191. Results point out that frequency of G allele was high and was represented 0.7143. Frequency of T allele was 0.2857. In Rottweiler was detected homozygote genotype TT. Genotypes GG and GT has not been observed. In Golden retriever we detected only heterozygote genotype GT.

  1. Land use changing SOC pool: A field investigation from four catchments on the Loess Plateau in China

    Science.gov (United States)

    Guo, Shengli; Wang, Rui; Hu, Yaxian

    2017-04-01

    The Loess Plateau in China has long been known for severe erosion, a degraded ecosystem and heavy sediment delivery to the Yellow River. Apart from, the highly erodible loess soil and the hilly geomorphology, intensive cultivation has been caused such most destructive human activities. This made the Loess Plateau once the least fertile region in China with extreme poverty. To restore soil fertility and ecosystem sustainability, a national-level project was launched in 1990s to encourage land use changes via afforestation or conversion of cropland back to grassland or woodland. After nearly three decades of land use conversion, the SOC pool in the soil can be expected to have substantially changed. However, climate conditions, geomorphic types and soil properties were spatially distinctive across the Loess Plateau. Their individual as well interactive impacts on changes of soil carbon pool during land use conversions must thus be properly accounted for. In this study, four watersheds distributed over the Loess Plateau were investigated. The four watersheds mainly consisted of three geomorphic types: wide gully, loess ridge, and round knoll. On each geomorphic feature, three land use types prevailed: cropland, grassland and woodland. In total, 695 soil samples were taken from the top 20 cm of the four watersheds during 2010 and 2011. Our results show: 1) Degrees of erosion hugely differed among the four watersheds, with Catchment A (hilly) having three times more erosion modulus than the least eroded Catchment D (gully) (12000 vs. 1800 Mg per km2 per year). 2) The increasing SOC content from 4 mg g-1 at Catchment A to 8.1 mg g-1 at Catchment D agreed well with their decreasing erosion, suggesting that geomorphology induced erosion history was the predominant factor to set the general level of watershed-scale SOC reservoir. 3) Within each watershed, grassland and woodland consistently had at least 34% more SOC than cropland, demonstrating the influence of land use

  2. High organic inputs explain shallow and deep SOC storage in a long-term agroforestry system – combining experimental and modeling approaches

    Directory of Open Access Journals (Sweden)

    R. Cardinael

    2018-01-01

    Full Text Available Agroforestry is an increasingly popular farming system enabling agricultural diversification and providing several ecosystem services. In agroforestry systems, soil organic carbon (SOC stocks are generally increased, but it is difficult to disentangle the different factors responsible for this storage. Organic carbon (OC inputs to the soil may be larger, but SOC decomposition rates may be modified owing to microclimate, physical protection, or priming effect from roots, especially at depth. We used an 18-year-old silvoarable system associating hybrid walnut trees (Juglans regia  ×  nigra and durum wheat (Triticum turgidum L. subsp. durum and an adjacent agricultural control plot to quantify all OC inputs to the soil – leaf litter, tree fine root senescence, crop residues, and tree row herbaceous vegetation – and measured SOC stocks down to 2 m of depth at varying distances from the trees. We then proposed a model that simulates SOC dynamics in agroforestry accounting for both the whole soil profile and the lateral spatial heterogeneity. The model was calibrated to the control plot only. Measured OC inputs to soil were increased by about 40 % (+ 1.11 t C ha−1 yr−1 down to 2 m of depth in the agroforestry plot compared to the control, resulting in an additional SOC stock of 6.3 t C ha−1 down to 1 m of depth. However, most of the SOC storage occurred in the first 30 cm of soil and in the tree rows. The model was strongly validated, properly describing the measured SOC stocks and distribution with depth in agroforestry tree rows and alleys. It showed that the increased inputs of fresh biomass to soil explained the observed additional SOC storage in the agroforestry plot. Moreover, only a priming effect variant of the model was able to capture the depth distribution of SOC stocks, suggesting the priming effect as a possible mechanism driving deep SOC dynamics. This result questions the potential of soils to

  3. Daily Socs1 rhythms alter with aging differentially in peripheral clocks in male Wistar rats: therapeutic effects of melatonin.

    Science.gov (United States)

    Vinod, Ch; Jagota, Anita

    2017-06-01

    Suprachiasmatic nucleus (SCN) in synchronization with the peripheral clocks regulates the temporal oscillations leading to overt rhythms. Aging leads to attenuation of such circadian regulation, accompanied by increased inflammatory mediators prevalently the cytokines. Suppressors of cytokine signaling (SOCS) family of proteins such as SOCS 1, 3 and cytokine-inducible SH2-containing protein (CIS) negatively regulate the cytokine signaling pathway. The role of SOCS1 in aging and circadian system is obscure. We therefore studied the daily rhythms of rSocs1 mRNA expression at Zeitgeber time (ZT) -0, 6, 12 and 18 in peripheral clocks such as liver, kidney, intestine and heart of 3, 12 and 24 months (m) old male Wistar rats. Interestingly the peripheral clocks studied displayed a rhythmic rSocs1 gene expression in 3 months. In 12 months group, 12 h phase advance in liver and 12 h phase delay in kidney and heart was observed with abolition of rhythms in intestine. Aging (24 months group) resulted in a phase advance by 6 h in liver and heart with abolition of rhythms in intestine in 24 months group. Kidney was also significantly affected upon aging with significant decrease in the rSocs1 levels and abolition of rhythms. The decrease in melatonin levels with aging is associated with decreased immunity and increased oxidative stress. The exogenous administration of melatonin has been linked to play a role in re-synchronization of circadian rhythms, reducing oxidative stress and enhancing immune properties. We therefore had studied the effect of exogenous melatonin upon age induced changes in daily rSocs1 gene expression patterns. Melatonin treatment partially restored the rhythms and daily pulse (ratio of maximum:minimum levels) in liver and intestine in 12 months group. Melatonin administration resulted in a significant increase in mean 24 h rSocs1 expression in intestine and heart of 24 months group compared to that of 3 months. The melatonin administration

  4. Parametric Dense Stereovision Implementation on a System-on Chip (SoC

    Directory of Open Access Journals (Sweden)

    Pablo Montejo

    2012-02-01

    Full Text Available This paper proposes a novel hardware implementation of a dense recovery of stereovision 3D measurements. Traditionally 3D stereo systems have imposed the maximum number of stereo correspondences, introducing a large restriction on artificial vision algorithms. The proposed system-on-chip (SoC provides great performance and efficiency, with a scalable architecture available for many different situations, addressing real time processing of stereo image flow. Using double buffering techniques properly combined with pipelined processing, the use of reconfigurable hardware achieves a parametrisable SoC which gives the designer the opportunity to decide its right dimension and features. The proposed architecture does not need any external memory because the processing is done as image flow arrives. Our SoC provides 3D data directly without the storage of whole stereo images. Our goal is to obtain high processing speed while maintaining the accuracy of 3D data using minimum resources. Configurable parameters may be controlled by later/parallel stages of the vision algorithm executed on an embedded processor. Considering hardware FPGA clock of 100 MHz, image flows up to 50 frames per second (fps of dense stereo maps of more than 30,000 depth points could be obtained considering 2 Mpix images, with a minimum initial latency. The implementation of computer vision algorithms on reconfigurable hardware, explicitly low level processing, opens up the prospect of its use in autonomous systems, and they can act as a coprocessor to reconstruct 3D images with high density information in real time.

  5. Parametric dense stereovision implementation on a system-on chip (SoC).

    Science.gov (United States)

    Gardel, Alfredo; Montejo, Pablo; García, Jorge; Bravo, Ignacio; Lázaro, José L

    2012-01-01

    This paper proposes a novel hardware implementation of a dense recovery of stereovision 3D measurements. Traditionally 3D stereo systems have imposed the maximum number of stereo correspondences, introducing a large restriction on artificial vision algorithms. The proposed system-on-chip (SoC) provides great performance and efficiency, with a scalable architecture available for many different situations, addressing real time processing of stereo image flow. Using double buffering techniques properly combined with pipelined processing, the use of reconfigurable hardware achieves a parametrisable SoC which gives the designer the opportunity to decide its right dimension and features. The proposed architecture does not need any external memory because the processing is done as image flow arrives. Our SoC provides 3D data directly without the storage of whole stereo images. Our goal is to obtain high processing speed while maintaining the accuracy of 3D data using minimum resources. Configurable parameters may be controlled by later/parallel stages of the vision algorithm executed on an embedded processor. Considering hardware FPGA clock of 100 MHz, image flows up to 50 frames per second (fps) of dense stereo maps of more than 30,000 depth points could be obtained considering 2 Mpix images, with a minimum initial latency. The implementation of computer vision algorithms on reconfigurable hardware, explicitly low level processing, opens up the prospect of its use in autonomous systems, and they can act as a coprocessor to reconstruct 3D images with high density information in real time.

  6. 0.45 v and 18 μA/MHz MCU SOC with Advanced Adaptive Dynamic Voltage Control (ADVC

    Directory of Open Access Journals (Sweden)

    Uzi Zangi

    2018-05-01

    Full Text Available An ultra-low-power MicroController Unit System-on-Chip (MCU SOC is described with integrated DC to DC power management and Adaptive Dynamic Voltage Control (ADVC mechanism. The SOC, designed and fabricated in a 40 nm ULP standard CMOS technology, includes the complete Synopsys ARC EM5D core MCU, featuring a full set of DSP instructions and minimizing energy consumption at a wide range of frequencies: 312 K–80 MHz. A number of unique low voltage digital libraries, comprising of approximately 300 logic cells and sequential elements, were used for the MCU SOC design. On-die silicon sensors were utilized to continuously change the operating voltage to optimize power/performance for a given frequency and environmental conditions, and also to resolve yield and life time problems, while operating at low voltages. A First Fail (FFail mechanism, which can be digitally and linearly controlled with up to 8 bits, detects the failing SOC voltage at a given frequency. The core operates between 0.45–1.1 V volts with a direct battery connection for an input voltage of 1.6–3.6 V. Measurement results show that the peak energy efficiency is 18μW/MHz. A comparison to state-of-the-art commercial SOCs is presented, showing a 3–5× improved current/DMIPS (Dhrystone Million Instructions per second compared to the next best chip.

  7. A new circuit for at-speed scan SoC testing

    International Nuclear Information System (INIS)

    Lin Wei; Shi Wenlong

    2013-01-01

    It is very important to detect transition-delay faults and stuck-at faults in system on chip (SoC) under 90 nm processing technology, and the transition-delay faults can only be detected by using an at-speed testing method. In this paper, an on-chip clock (OCC) controller with a bypass function based on an internal phase-locked loop is designed to test faults in SoC. Furthermore, a clock chain logic which can eliminate the metastable state is realized to generate an enable signal for the OCC controller, and then, the test pattern is generated by automatic test pattern generation (ATPG) tools. Next, the scan test pattern is simulated by using the Synopsys tool and the correctness of the design is verified. The result shows that the design of an at-speed scan test in this paper is highly efficient for detecting timing-related defects. Finally, the 89.29% transition-delay fault coverage and the 94.50% stuck-at fault coverage are achieved, and it is successfully applied to an integrated circuit design. (semiconductor integrated circuits)

  8. Fisher-Wright model with deterministic seed bank and selection.

    Science.gov (United States)

    Koopmann, Bendix; Müller, Johannes; Tellier, Aurélien; Živković, Daniel

    2017-04-01

    Seed banks are common characteristics to many plant species, which allow storage of genetic diversity in the soil as dormant seeds for various periods of time. We investigate an above-ground population following a Fisher-Wright model with selection coupled with a deterministic seed bank assuming the length of the seed bank is kept constant and the number of seeds is large. To assess the combined impact of seed banks and selection on genetic diversity, we derive a general diffusion model. The applied techniques outline a path of approximating a stochastic delay differential equation by an appropriately rescaled stochastic differential equation. We compute the equilibrium solution of the site-frequency spectrum and derive the times to fixation of an allele with and without selection. Finally, it is demonstrated that seed banks enhance the effect of selection onto the site-frequency spectrum while slowing down the time until the mutation-selection equilibrium is reached. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. Implementation of QoSS (Quality-of-Security Service) for NoC-Based SoC Protection

    Science.gov (United States)

    Sepúlveda, Johanna; Pires, Ricardo; Strum, Marius; Chau, Wang Jiang

    Many of the current electronic systems embedded in a SoC (System-on-Chip) are used to capture, store, manipulate and access critical data, as well as to perform other key functions. In such a scenario, security is considered as an important issue. The Network-on-chip (NoC), as the foreseen communication structure of next-generation SoC devices, can be used to efficiently incorporate security. Our work proposes the implementation of QoSS (Quality of Security Service) to overcome present SoC vulnerabilities. QoSS is a novel concept for data protection that introduces security as a dimension of QoS. In this paper, we present the implementation of two security services (access control and authentication), that may be configured to assume one from several possible levels, the implementation of a technique to avoid denial-of-service (DoS) attacks, evaluate their effectiveness and estimate their impact on NoC performance.

  10. Increasing SoC Dependability via Known Good Tile NoC Testing

    NARCIS (Netherlands)

    Kerkhoff, Hans G.; Kuiken, O.J.; Zhang, X.

    2008-01-01

    Advanced CMOS technology possibilities, power, communication and flexibility issues as well as the design gap are directing System-on-Chip (SoC) platforms towards Network-on-Chip (NoC) interconnected identical processing tiles (PT) such as the Montium processor [1]. It is broadly acknowledged that

  11. Functional SOCS1 polymorphisms are associated with variation in obesity in whites

    DEFF Research Database (Denmark)

    Gylvin, T; Ek, J; Nolsøe, R.

    2009-01-01

    . A total of more than 8100 individuals were genotyped. RESULTS: Eight variations were identified in the 5' untranslated region (UTR) region. Two of these had allele frequencies below 1% and were not further examined. The six other variants were analysed in groups of T1D families (n = 1461 subjects) and T2D...... of both the rs33977706 and the rs243330 (-1656G > A) variants to obesity were found (p = 0.047 and p = 0.015) respectively. The rs33977706 affected both binding of a nuclear protein to and the transcriptional activity of the SOCS1 promoter, indicating a relationship between this polymorphism and gene...... regulation. CONCLUSIONS/INTERPRETATION: This study demonstrates that functional variations in the SOCS1 promoter may associate with alterations in BMI in the general white population....

  12. Editing Procedure for Anthropometric Survey Data

    Science.gov (United States)

    1978-07-01

    prs. lli e er 21 A=S(I,1)/N, B=S(I,2)/N, C=S(I,3)/N, D=S(I,4)/N and, Mean = A + S(I,3) Standard Deviation = /B - A*A Veta I = (C - 3BA + 2A3...Aerospace Medical Research Laboratory (AMRL), Wright-Patterson Air Force Base. The authors wish to extend their thanks to C. E . Clauser, Sandra...W (-> u ɛ CO CT> a X! 0 +J rH C -H (U A! -P M-l • C 0 — •H CO CO u * a; x: QJ 0-.+J .p (0 C 0) — cu E +> TH 6

  13. Using SoC Online Correction Method Based on Parameter Identification to Optimize the Operation Range of NI-MH Battery for Electric Boat

    Directory of Open Access Journals (Sweden)

    Bumin Meng

    2018-03-01

    Full Text Available This paper discusses a design of a Battery Management System (BMS solution for extending the life of Nickel-Metal Hydride (NI-MH battery. Combined with application of electric boat, a State of Charge (SoC optimal operation range control method based on high precision energy metering and online SoC correction is proposed. Firstly, a power metering scheme is introduced to reduce the original energy measurement error. Secondly, by establishing a model based parameter identification method and combining with Extended Kalman Filter (EKF method, the estimation accuracy of SoC is guaranteed. Finally, SoC optimal operation range control method is presented to make battery running in the optimal range. After two years of operation, the battery managed by proposed method has much better status, compared to batteries that use AH integral method and fixed SoC operating range. Considering the SoC estimation of NI-MH battery is more difficult becausing special electrical characteristics, proposed method also would have a very good reference value for other types of battery management.

  14. PART I: Bioventing Pilot Test Work Plan for Fire Protection Training Area Site FY-03, Charleston AFB, South Carolina. PART II: Draft Interim Pilot Test Results Report for Fire Protection Training Area Site FT-03, Charleston AFB, South Carolina

    National Research Council Canada - National Science Library

    1993-01-01

    This site-specific work plan presents the scope of a bioventing pilot test for in situ treatment of fuel contaminated soils at the Fire Protection Training Area designated as Site FT-O3, Charleston Air Force Base (AFB), South Carolina...

  15. MOF Crystal Chemistry Paving the Way to Gas Storage Needs: Aluminum Based soc-MOF for CH4, O2 and CO2 Storage

    KAUST Repository

    Alezi, Dalal

    2015-09-28

    The molecular building block approach was employed effectively to construct a series of novel isoreticular, highly porous and stable, aluminum based Metal-Organic Frameworks with soc topology. From this platform, three compounds were experimentally isolated and fully characterized, namely, the parent Al-soc-MOF-1 and its naphthalene and anthracene analogues. Al-soc-MOF-1 exhibits outstanding gravimetric methane uptake (total and working capacity). It is shown experimentally, for the first time, that the Al-soc-MOF platform can address the challenging Department of Energy dual target of 0.5 g/g (gravimetric) and 264 cm3 (STP)/cm3 (volumetric) methane storage. Furthermore, Al-soc-MOF exhibited the highest total gravimetric and volumetric uptake for carbon dioxide and the utmost total and deliverable uptake for oxygen at relatively high pressures among all microporous MOFs. In order to correlate the MOF pore structure and functionality to the gas storage properties, to better understand the structure-properties relationship, we performed a molecular simulation study and evaluated the methane storage performance of Al-soc-MOF platform using diverse organic linkers. It was found that shortening the parent Al-soc-MOF-1 linker resulted in a noticeable enhancement in the working volumetric capacity at specific temperatures and pressures with amply conserved gravimetric uptake/working capacity. In contrast, further expansion of the organic linker (branches and/or core) led to isostructural Al-soc-MOFs with enhanced gravimetric uptake but noticeably lower volumetric capacity. The collective experimental and simulation studies indicated that the parent Al-soc-MOF-1 exhibits the best compromise between the volumetric and gravimetric total and working uptakes in a wide range of pressure and temperature conditions.

  16. MOF Crystal Chemistry Paving the Way to Gas Storage Needs: Aluminum Based soc-MOF for CH4, O2 and CO2 Storage

    KAUST Repository

    Alezi, Dalal; Belmabkhout, Youssef; Suetin, Mikhail; Bhatt, Prashant; Weselinski, Lukasz Jan; Solovyeva, Vera; Adil, Karim; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Emwas, Abdul-Hamid M.; Eddaoudi, Mohamed

    2015-01-01

    The molecular building block approach was employed effectively to construct a series of novel isoreticular, highly porous and stable, aluminum based Metal-Organic Frameworks with soc topology. From this platform, three compounds were experimentally isolated and fully characterized, namely, the parent Al-soc-MOF-1 and its naphthalene and anthracene analogues. Al-soc-MOF-1 exhibits outstanding gravimetric methane uptake (total and working capacity). It is shown experimentally, for the first time, that the Al-soc-MOF platform can address the challenging Department of Energy dual target of 0.5 g/g (gravimetric) and 264 cm3 (STP)/cm3 (volumetric) methane storage. Furthermore, Al-soc-MOF exhibited the highest total gravimetric and volumetric uptake for carbon dioxide and the utmost total and deliverable uptake for oxygen at relatively high pressures among all microporous MOFs. In order to correlate the MOF pore structure and functionality to the gas storage properties, to better understand the structure-properties relationship, we performed a molecular simulation study and evaluated the methane storage performance of Al-soc-MOF platform using diverse organic linkers. It was found that shortening the parent Al-soc-MOF-1 linker resulted in a noticeable enhancement in the working volumetric capacity at specific temperatures and pressures with amply conserved gravimetric uptake/working capacity. In contrast, further expansion of the organic linker (branches and/or core) led to isostructural Al-soc-MOFs with enhanced gravimetric uptake but noticeably lower volumetric capacity. The collective experimental and simulation studies indicated that the parent Al-soc-MOF-1 exhibits the best compromise between the volumetric and gravimetric total and working uptakes in a wide range of pressure and temperature conditions.

  17. Matrine suppresses airway inflammation by downregulating SOCS3 expression via inhibition of NF-κB signaling in airway epithelial cells and asthmatic mice

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Daqing [Department of Respiration, Xi’an Children’s Hospital, Xi’an 710003 (China); Wang, Jing [Department of Neonatology, Xi’an Children’s Hospital, Xi’an 710003 (China); Yang, Niandi [Outpatient Department, School of Aerospace Engineering, Air Force Engineering University, Xi’an 710038 (China); Ma, Haixin, E-mail: drhaixinma@163.com [Department of Quality Control, Xi’an Children’s Hospital, Xi’an 710003 (China)

    2016-08-12

    Matrine has been demonstrated to attenuate allergic airway inflammation. Elevated suppressor of cytokine signaling 3 (SOCS3) was correlated with the severity of asthma. The aim of this study was to investigate the effect of matrine on SOCS3 expression in airway inflammation. In this study, we found that matrine significantly inhibited OVA-induced AHR, inflammatory cell infiltration, goblet cell differentiation, and mucous production in a dose-dependent manner in mice. Matrine also abrogated the level of interleukin (IL)-4 and IL-13, but enhanced interferon (IFN)-γ expression, both in BALF and in lung homogenates. Furthermore, matrine impeded TNF-α-induced the expression of IL-6 and adhesion molecules in airway epithelial cells (BEAS-2B and MLE-12). Additionally, we found that matrine inhibited SOCS3 expression, both in asthmatic mice and TNF-α-stimulated epithelial cells via suppression of the NF-κB signaling pathway by using pcDNA3.1-SOCS3 plasmid, SOCS3 siRNA, or nuclear factor kappa-B (NF-κB) inhibitor PDTC. Conclusions: Matrine suppresses airway inflammation by downregulating SOCS3 expression via inhibition of NF-κB signaling in airway epithelial cells and asthmatic mice. - Highlights: • Matrine attenuates asthmatic symptoms and regulates Th1/Th2 balance in vivo. • Matrine suppresses inflammation responses in vitro. • Matrine decreases SOCS3 expression both in vivo and in vitro. • Matrine inhibits SOCS3 expression by suppressing NF-κB signaling.

  18. Modeling of SOC-700 Hyperspectral Imagery with the CAMEO-SIM Code

    Science.gov (United States)

    2007-10-26

    Yannick, 2001, “SOC-700 and HS-Analysis 2 User’s Manual”, Surface Optics, San Diego [2] Cohen, Michael F. and Wallace, John R., 1993, “ Radiosity ...and Realistic Image Synthesis”, Academic Press, San Francisco [3] Sillion, Francois X. and Puech, Claude, 1994, “ Radiosity and Global Illumination

  19. Methylation of SOCS3 is inversely associated with metabolic syndrome in an epigenome-wide association study of obesity.

    Science.gov (United States)

    Ali, Omar; Cerjak, Diana; Kent, Jack W; James, Roland; Blangero, John; Carless, Melanie A; Zhang, Yi

    2016-09-01

    Epigenetic mechanisms, including DNA methylation, mediate the interaction between gene and environment and may play an important role in the obesity epidemic. We assessed the relationship between DNA methylation and obesity in peripheral blood mononuclear cells (PBMCs) at 485,000 CpG sites across the genome in family members (8-90 y of age) using a discovery cohort (192 individuals) and a validation cohort (1,052 individuals) of Northern European ancestry. After Bonferroni-correction (P α=0.05 = 1.31 × 10 -7 ) for genome-wide significance, we identified 3 loci, cg18181703 (SOCS3), cg04502490 (ZNF771), and cg02988947 (LIMD2), where methylation status was associated with body mass index percentile (BMI%), a clinical index for obesity in children, adolescents, and adults. These sites were also associated with multiple metabolic syndrome (MetS) traits, including central obesity, fat depots, insulin responsiveness, and plasma lipids. The SOCS3 methylation locus was also associated with the clinical definition of MetS. In the validation cohort, SOCS3 methylation status was found to be inversely associated with BMI% (P = 1.75 × 10 -6 ), waist to height ratio (P = 4.18 × 10 -7 ), triglycerides (P = 4.01 × 10 -4 ), and MetS (P = 4.01 × 10 -7 ), and positively correlated with HDL-c (P = 4.57 × 10 -8 ). Functional analysis in a sub cohort (333 individuals) demonstrated SOCS3 methylation and gene expression in PBMCs were inversely correlated (P = 2.93 × 10 -4 ) and expression of SOCS3 was positively correlated with status of MetS (P = 0.012). We conclude that epigenetic modulation of SOCS3, a gene involved in leptin and insulin signaling, may play an important role in obesity and MetS.

  20. AFB-NETT - business opportunities for European biomass industry

    International Nuclear Information System (INIS)

    Higham, I.

    1997-01-01

    The AFB-NETT is an ALTENER funded project lead by ETSU. The project was started in 1995 with 11 partner nations. The initial aim was to bring together the whole biomass supply and use chain, not just within countries but across the Europe. At present more than 280 people take part in the network. The four objectives of the project are: detection and promotion of business opportunities; transfer of knowledge and experience; promotion of collaboration and co-ordination; and implementation of National and European Strategies. The effort in 1997 split into four main activities, 2 of which based on market sectors and two on improving the market conditions. The activities for 1997 were (the leader in parentheses): Co-combustion and gasification (Finland); Small scale and district heat (Austria); Financial incentives (the Netherlands); Wood fuel and emission standards (UK)

  1. AFB-NETT - business opportunities for European biomass industry

    Energy Technology Data Exchange (ETDEWEB)

    Higham, I [ETSU, Oxfordshire (United Kingdom)

    1998-12-31

    The AFB-NETT is an ALTENER funded project lead by ETSU. The project was started in 1995 with 11 partner nations. The initial aim was to bring together the whole biomass supply and use chain, not just within countries but across the Europe. At present more than 280 people take part in the network. The four objectives of the project are: detection and promotion of business opportunities; transfer of knowledge and experience; promotion of collaboration and co-ordination; and implementation of National and European Strategies. The effort in 1997 split into four main activities, 2 of which based on market sectors and two on improving the market conditions. The activities for 1997 were (the leader in parentheses): Co-combustion and gasification (Finland); Small scale and district heat (Austria); Financial incentives (the Netherlands); Wood fuel and emission standards (UK)

  2. AFB-NETT - business opportunities for European biomass industry

    Energy Technology Data Exchange (ETDEWEB)

    Higham, I. [ETSU, Oxfordshire (United Kingdom)

    1997-12-31

    The AFB-NETT is an ALTENER funded project lead by ETSU. The project was started in 1995 with 11 partner nations. The initial aim was to bring together the whole biomass supply and use chain, not just within countries but across the Europe. At present more than 280 people take part in the network. The four objectives of the project are: detection and promotion of business opportunities; transfer of knowledge and experience; promotion of collaboration and co-ordination; and implementation of National and European Strategies. The effort in 1997 split into four main activities, 2 of which based on market sectors and two on improving the market conditions. The activities for 1997 were (the leader in parentheses): Co-combustion and gasification (Finland); Small scale and district heat (Austria); Financial incentives (the Netherlands); Wood fuel and emission standards (UK)

  3. A configurable and low-power mixed signal SoC for portable ECG monitoring applications.

    Science.gov (United States)

    Kim, Hyejung; Kim, Sunyoung; Van Helleputte, Nick; Artes, Antonio; Konijnenburg, Mario; Huisken, Jos; Van Hoof, Chris; Yazicioglu, Refet Firat

    2014-04-01

    This paper describes a mixed-signal ECG System-on-Chip (SoC) that is capable of implementing configurable functionality with low-power consumption for portable ECG monitoring applications. A low-voltage and high performance analog front-end extracts 3-channel ECG signals and single channel electrode-tissue-impedance (ETI) measurement with high signal quality. This can be used to evaluate the quality of the ECG measurement and to filter motion artifacts. A custom digital signal processor consisting of 4-way SIMD processor provides the configurability and advanced functionality like motion artifact removal and R peak detection. A built-in 12-bit analog-to-digital converter (ADC) is capable of adaptive sampling achieving a compression ratio of up to 7, and loop buffer integration reduces the power consumption for on-chip memory access. The SoC is implemented in 0.18 μm CMOS process and consumes 32 μ W from a 1.2 V while heart beat detection application is running, and integrated in a wireless ECG monitoring system with Bluetooth protocol. Thanks to the ECG SoC, the overall system power consumption can be reduced significantly.

  4. Final Confirmation Sampling and Analysis Report for the POL Yard, Sites SS-06 and ST-40, Wurtsmith AFB, Michigan

    National Research Council Canada - National Science Library

    1999-01-01

    .... Wurtsmith AFBCA and AFCEE/ERT had no comments on the draft final report. This report represents the final contract deliverable for the AFCEE Extended Bioventing Project at the Wurtsmith AFB POL Yard...

  5. Pengaruh Variasi Jumlah Tembakan Nanosecond Pulsed Electric Fields (Nspefs Terhadap Ekspresi Gen Socs3 pada Sel Kanker Serviks Hela S3

    Directory of Open Access Journals (Sweden)

    Martina Kurnia Rohmah

    2017-12-01

    Abstract Nanosecond Pulsed Electric Fields (NsPEFs is bioelectric that was developed by electroporation technology. NsPEFs use high intensity in short time exposure (1 – 300 nanosecond. NsPEFs have biological effect and was developed in cancer therapy. In cervical cancer, viral protein of HPV depresses some tumor suppressors like Socs3 gene. This research aims to investigate the effect of short variation in Socs3 gene expression. HeLa S3 cells were cultured in α-MEM with FBS 10%. NsPEFs as much as 20 kV/cm and 80 nano seconds was exposure over HeLa S3 cell in 4 mm cuvette. Wave of NsPEFs was detected by high voltage probe in oscilloscope. NsPEFs was exposure at 0 (control, 5, 10, 20, 30, 40, 50, and 60 shots. Socs3 gene expression was analyzed using real time PCR and RT-PCR. Quantitative data was analyzed by Kolmogorov-Smirnov, Anova, and HSD Tuker (p<0.05. This research show that NsPEFs is significantly increase Socs3 gene expression (p=0.000. The optimal shot 20 and 30 shots increase Socs3 gene expression subsequently = 2.779 and = 3.105 times. This expression decrease in higher than 30 shots of NsPEFs exposure.   Keywords: NsPEFs, shot, expression, Socs3

  6. An overview of online implementable SOC estimation methods for Lithium-ion batteries

    DEFF Research Database (Denmark)

    Jinhao, Meng; Ricco, Mattia; Guangzhao, Luo

    2017-01-01

    With the popularity of Electrical Vehicles (EVs), Lithium-ion battery industry is also developing rapidly. To ensure the battery safety usage and reduce the average lifecycle cost, accurate State Of Charge (SOC) tracking algorithms for real-time implementation are required in different applications...

  7. Rock-Eval analysis of French forest soils: the influence of depth, soil and vegetation types on SOC thermal stability and bulk chemistry

    Science.gov (United States)

    Soucemarianadin, Laure; Cécillon, Lauric; Baudin, François; Cecchini, Sébastien; Chenu, Claire; Mériguet, Jacques; Nicolas, Manuel; Savignac, Florence; Barré, Pierre

    2017-04-01

    Soil organic matter (SOM) is the largest terrestrial carbon pool and SOM degradation has multiple consequences on key ecosystem properties like nutrients cycling, soil emissions of greenhouse gases or carbon sequestration potential. With the strong feedbacks between SOM and climate change, it becomes particularly urgent to develop reliable routine methodologies capable of indicating the turnover time of soil organic carbon (SOC) stocks. Thermal analyses have been used to characterize SOM and among them, Rock-Eval 6 (RE6) analysis of soil has shown promising results in the determination of in-situ SOC biogeochemical stability. This technique combines a phase of pyrolysis followed by a phase of oxidation to provide information on both the SOC bulk chemistry and thermal stability. We analyzed with RE6 a set of 495 soils samples from 102 permanent forest sites of the French national network for the long-term monitoring of forest ecosystems (''RENECOFOR'' network). Along with covering pedoclimatic variability at a national level, these samples include a range of 5 depths up to 1 meter (0-10 cm, 10-20 cm, 20-40 cm, 40-80 cm and 80-100 cm). Using RE6 parameters that were previously shown to be correlated to short-term (hydrogen index, HI; T50 CH pyrolysis) or long-term (T50 CO2 oxidation and HI) SOC persistence, and that characterize SOM bulk chemical composition (oxygen index, OI and HI), we tested the influence of depth (n = 5), soil class (n = 6) and vegetation type (n = 3; deciduous, coniferous-fir, coniferous-pine) on SOM thermal stability and bulk chemistry. Results showed that depth was the dominant discriminating factor, affecting significantly all RE6 parameters. With depth, we observed a decrease of the thermally labile SOC pool and an increase of the thermally stable SOC pool, along with an oxidation and a depletion of hydrogen-rich moieties of the SOC. Soil class and vegetation type had contrasted effects on the RE6 parameters but both affected significantly T

  8. Detection of alkaline phosphatase in canine cells previously stained with Wright-Giemsa and its utility in differentiating osteosarcoma from other mesenchymal tumors.

    Science.gov (United States)

    Ryseff, Julia K; Bohn, Andrea A

    2012-09-01

    Osteosarcoma (OSA) is a common primary bone tumor in dogs. Demonstration of alkaline phosphatase (ALP) reactivity by tumor cells on unstained slides is useful in differentiating osteosarcoma from other types of sarcoma. However, unstained slides are not always available. The objectives of this study were to evaluate the diagnostic utility of detecting ALP expression in differentiating osteosarcoma from other sarcomas in dogs using cytologic material previously stained with Wright-Giemsa stain and to assess the sensitivity and specificity of ALP expression for diagnosing osteosarcoma using a specific protocol. Archived aspirates of histologically confirmed sarcomas in dogs that had been previously stained with Wright-Giemsa stain were treated with 5-bromo, 4-chloro, 3-indolyl phosphate/nitroblue tetrazolium (BCIP/NBT) as a substrate for ALP. Cells were evaluated for expression of ALP after incubation with BCIP/NBT for 1 hour. Sensitivity and specificity of ALP expression for diagnosis of OSA were calculated. In samples from 83 dogs, cells from 15/17 OSAs and from 4/66 tumors other than OSA (amelanotic melanoma, gastrointestinal stromal tumor, collision tumor, and anaplastic sarcoma) expressed ALP. Sensitivity and specificity of ALP expression detected using BCIP/NBT substrate applied to cells previously stained with Wright-Giemsa stain for OSA were 88 and 94%, respectively. ALP expression detected using BCIP/NBT substrate applied to previously stained cells is useful in differentiating canine OSA from other mesenchymal neoplasms. © 2012 American Society for Veterinary Clinical Pathology.

  9. Williams AFB, Arizona Revised Uniform Summary of Surface Weather Observations (RUSSWO) Parts A-F.

    Science.gov (United States)

    1981-10-21

    N;I 1-L 9AL CLIMATOLOGY BRANCH ~.w~ .’ ETAC LJS. H A~(I EATV UMDT 2 f wEATHER SERVICE/MAC SEE FIRST HUMDIT 2 114 1 LLIAMS AFB AZ 69-70,73-80 JUL...4622 USAPETAC ’ol 0-87-5(01.A) , I roFql CL,-RAL CLIMATOLOGY BRANCH EATV 2 AFETAC SRVIE/ACATIVE UUTO HUMIDITY SEE FIRST PAGE Z Cl)4 AILLIAMS AFS AZ

  10. Comparison Study on Two Model-Based Adaptive Algorithms for SOC Estimation of Lithium-Ion Batteries in Electric Vehicles

    Directory of Open Access Journals (Sweden)

    Yong Tian

    2014-12-01

    Full Text Available State of charge (SOC estimation is essential to battery management systems in electric vehicles (EVs to ensure the safe operations of batteries and providing drivers with the remaining range of the EVs. A number of estimation algorithms have been developed to get an accurate SOC value because the SOC cannot be directly measured with sensors and is closely related to various factors, such as ambient temperature, current rate and battery aging. In this paper, two model-based adaptive algorithms, including the adaptive unscented Kalman filter (AUKF and adaptive slide mode observer (ASMO are applied and compared in terms of convergence behavior, tracking accuracy, computational cost and estimation robustness against parameter uncertainties of the battery model in SOC estimation. Two typical driving cycles, including the Dynamic Stress Test (DST and New European Driving Cycle (NEDC are applied to evaluate the performance of the two algorithms. Comparison results show that the AUKF has merits in convergence ability and tracking accuracy with an accurate battery model, while the ASMO has lower computational cost and better estimation robustness against parameter uncertainties of the battery model.

  11. EtherBone - A Network Layer for the Wishbone SoC Bus

    CERN Document Server

    Kreider, M; Lewis, J; Włostowski, T; Serrano, J

    2011-01-01

    Today, there are several System on a Chip (SoC) bus systems. Typically, these buses are confined on-chip and rely on higher level components to communicate with the outside world. Taking these systems a step further, we see the possibility of extending the reach of the SoC bus to remote FPGAs or processors. This leads to the idea of the EtherBone (EB) core, which connects a Wishbone (WB) Ver. 4 Bus via a Gigabit Ethernet based network link to remote peripheral devices. EB acts as a transparent interconnect module towards attached WB Bus devices. Address information and data from one or more WB bus cycles is preceded with a descriptive header and encapsulated in a UDP/IP packet. Because of this standard compliance, EB is able to traverse Wide Area Networks and is therefore not bound to a geographic location. Due to the low level nature of the WB bus, EB provides a sound basis for remote hardware tools like a JTAG debugger, In-System-Programmer (ISP), boundary scan interface or logic analyser module. EB was de...

  12. The ubiquitin ligase Cullin5SOCS2 regulates NDR1/STK38 stability and NF-κB transactivation

    DEFF Research Database (Denmark)

    Paul, Indranil; Batth, Tanveer S; Iglesias-Gato, Diego

    2017-01-01

    SOCS2 is a pleiotropic E3 ligase. Its deficiency is associated with gigantism and organismal lethality upon inflammatory challenge. However, mechanistic understanding of SOCS2 function is dismal due to our unawareness of its protein substrates. We performed a mass spectrometry based proteomic pro...

  13. A review of Curtiss-Wright rotary engine developments with respect to general aviation potential

    Science.gov (United States)

    Jones, C.

    1979-01-01

    Aviation related rotary (Wankel-type) engine tests, possible growth directions and relevant developments at Curtiss-Wright have been reviewed. Automotive rotary engines including stratified charge are described and flight test results of rotary aircraft engines are presented. The current 300 HP engine prototype shows basic durability and competitive performance potential. Recent parallel developments have separately confirmed the geometric advantages of the rotary engine for direct injected unthrottled stratified charge. Specific fuel consumption equal to or better than pre- or swirl-chamber diesels, low emission and multi-fuel capability have been shown by rig tests of similar rotary engine.

  14. Environmental Assessment for the Expansion and Renovation of Dog Kennels, Wright-Patterson Air Force Base, Ohio

    Science.gov (United States)

    2006-12-01

    leucocephala), killdeer (Charities vociferous), English sparrow (Passer domesticus), mockingbird (Mimus polyglottos), and red-winged blackbird (Agelaius...or killed . The project site should be examined daily for snakes, and if any eastern massasaugas are found, all work shall cease and the USFWS shall...airfield safety zones were established under AICUZ to minimize the number of people who would be injured or killed if an aircraft crashed. Three safety

  15. Environmental Assessment for Explosives Ordnance Disposal Proficiency Training Range at Wright-Patterson Air Force Base, Ohio

    Science.gov (United States)

    2010-06-01

    ANTIOCH UNIVERSITY V1E1N JOE LEASING SPECIALIST EXCEL PM VIEW JOB NEWS PHOTOS & VIDEOS i~.-... --: i\\ ... I ,. j ... ’TouchdoW"n Jesus ’ i n...t Bald Eoglr - Wloll!rwll’/ c::::J Blllllng Star Skm Bom 209 Commttr=t Plrtwav P.D.Bax12B CottageGrovt.WI SJ$27·0128 phone: 606-839~1998 fu...line and the base hospital . The views of the residents of the Brick Quarters were solicited through the public notice put in the Dayton Daily News and

  16. Induction of CML28-specific cytotoxic T cell responses using co-transfected dendritic cells with CML28 DNA vaccine and SOCS1 small interfering RNA expression vector

    International Nuclear Information System (INIS)

    Zhou Hongsheng; Zhang Donghua; Wang Yaya; Dai Ming; Zhang Lu; Liu Wenli; Liu Dan; Tan Huo; Huang Zhenqian

    2006-01-01

    CML28 is an attractive target for antigen-specific immunotherapy. SOCS1 represents an inhibitory control mechanism for DC antigen presentation and the magnitude of adaptive immunity. In this study, we evaluated the potential for inducing CML28-specific cytotoxic T lymphocytes (CTL) responses by dendritic cells (DCs)-based vaccination. We constructed a CML28 DNA vaccine and a SOCS1 siRNA vector and then cotransfect monocyte-derived DCs. Flow cytometry analysis showed gene silencing of SOCS1 resulted in higher expressions of costimulative moleculars in DCs. Mixed lymphocyte reaction (MLR) indicated downregulation of SOCS1 stronger capability to stimulate proliferation of responder cell in DCs. The CTL assay revealed transfected DCs effectively induced autologous CML28-specific CTL responses and the lytic activities induced by SOCS1-silenced DCs were significantly higher compared with those induced by SOCS1-expressing DCs. These results in our study indicates gene silencing of SOCS1 remarkably enhanced the cytotoxicity efficiency of CML28 DNA vaccine in DCs

  17. SOCS proteins in regulation of receptor tyrosine kinase signaling

    DEFF Research Database (Denmark)

    Kazi, Julhash U.; Kabir, Nuzhat N.; Flores Morales, Amilcar

    2014-01-01

    Receptor tyrosine kinases (RTKs) are a family of cell surface receptors that play critical roles in signal transduction from extracellular stimuli. Many in this family of kinases are overexpressed or mutated in human malignancies and thus became an attractive drug target for cancer treatment....... The signaling mediated by RTKs must be tightly regulated by interacting proteins including protein-tyrosine phosphatases and ubiquitin ligases. The suppressors of cytokine signaling (SOCS) family proteins are well-known negative regulators of cytokine receptors signaling consisting of eight structurally similar...

  18. IL-8 induces miR-424-5p expression and modulates SOCS2/STAT5 signaling pathway in oral squamous cell carcinoma.

    Science.gov (United States)

    Peng, Hsuan-Yu; Jiang, Shih-Sheng; Hsiao, Jenn-Ren; Hsiao, Michael; Hsu, Yuan-Ming; Wu, Guan-Hsun; Chang, Wei-Min; Chang, Jang-Yang; Jin, Shiow-Lian Catherine; Shiah, Shine-Gwo

    2016-06-01

    Suppressor of cytokine signaling (SOCS) proteins are negative feedback regulators of the Janus kinase/signal transducer and activator of transcription (JAK/STAT) pathway. Dysregulation of SOCS protein expression in cancers can be one of the mechanisms that maintain STAT activation, but this mechanism is still poorly understood in oral squamous cell carcinoma (OSCC). Here, we report that SOCS2 protein is significantly downregulated in OSCC patients and its levels are inversely correlated with miR-424-5p expression. We identified the SOCS2 protein, which modulates STAT5 activity, as a direct target of miR-424-5p. The miR-424-5p-induced STAT5 phosphorylation, matrix metalloproteinases (MMPs) expression, and cell migration and invasion were blocked by SOCS2 restoration, suggesting that miR-424-5p exhibits its oncogenic activity through negatively regulating SOCS2 levels. Furthermore, miR-424-5p expression could be induced by the cytokine IL-8 primarily through enhancing STAT5 transcriptional activity rather than NF-κB signaling. Antagomir-mediated inactivation of miR-424-5p prevented the IL-8-induced cell migration and invasion, indicating that miR-424-5p is required for IL-8-induced cellular invasiveness. Taken together, these data indicate that STAT5-dependent expression of miR-424-5p plays an important role in mediating IL-8/STAT5/SOCS2 feedback loop, and scavenging miR-424-5p function using antagomir may have therapeutic potential for the treatment of OSCC. Copyright © 2016 The Authors. Published by Elsevier B.V. All rights reserved.

  19. SoCRocket: A Virtual Platform for SoC Design

    Science.gov (United States)

    Fossati, Luca; Schuster, Thomas; Meyer, Rolf; Berekovic, Mladen

    2013-08-01

    Both in the commercial and in the aerospace domain, the continuous increase of transistor density on a single die is leading towards the production of more and more complex systems on a single chip, with an increasing number of components. This brought to the introduction of the System-On-Chip (SoC) architecture, that integrates on a single circuit all the elements of a full system. This strive for efficient utilization of the available silicon has triggered several paradigm shifts in system design. Similarly to what happened in the early 1990s, when VHDL and Verilog took over from schematic design, today SystemC and Transaction Level Modeling [1] are about to further raise the design abstraction level. Such descriptions have to be accurate enough to describe the entire system throughout the phases of its development, and has to provide enough flexibility to be refined iteratively up to the point where the actual device can be produced using current process technology. Besides requiring new languages and methodologies, the complexity of current and future SoCs (SCOC3 [16] and NGMP [5] are example in the space domain) forces the SoC design process to rely on pre-designed or third party components. Components obtained from different providers, and even those designed by different teams of the same company, may be heterogeneous on several aspects: design domains, interfaces, abstraction levels, granularity, etc. Therefore, component integration is required at system level. Only by applying design re-use it is possible to successfully and timely design such complex SoCs. This transition to new languages and design methods is also motivated by the implementation with software of an increasing amount of system functionalities. Hence the need for methodologies to enable early software development and which allow the analysis of the performance of the combined Hw/Sw system, as their design and configuration cannot be performed separately. Virtual Prototyping is a key

  20. Confidence Intervals for System Reliability and Availability of Maintained Systems Using Monte Carlo Techniques

    Science.gov (United States)

    1981-12-01

    DTIC _JUN ,I 51982 UNITED STATES AIR FORCE AIR UNIVERSITY E AIR FORCE INSTITUTE OF TECHNOLOGY Wright-Patterson Air-force Base,Ohio S 2 B 14 Best...t’re Air F:or- e -ns"it’.,, e of Technclogy Air Uv-ýerz.tyj in Partial 𔄁ulfilIThent Reýquirements fol- ,-hth D,ýýr.e c4" MastLer of’ OperaZ-ins...iesearc- VeTA 3 MohamedO ’’’’Jo SpD’ Fas.abal-la Lt. C ol. Egyplt.’.an Army Gradua~’p ( ler ons Research December 1981 Approcved fL~r pu>ý’ rclea.se

  1. LOSS OF JAK2 REGULATION VIA VHL-SOCS1 E3 UBIQUITIN HETEROCOMPLEX UNDERLIES CHUVASH POLYCYTHEMIA

    Science.gov (United States)

    Russell, Ryan C.; Sufan, Roxana I.; Zhou, Bing; Heir, Pardeep; Bunda, Severa; Sybingco, Stephanie S.; Greer, Samantha N.; Roche, Olga; Heathcote, Samuel A.; Chow, Vinca W.K.; Boba, Lukasz M.; Richmond, Terri D.; Hickey, Michele M.; Barber, Dwayne L.; Cheresh, David A.; Simon, M. Celeste; Irwin, Meredith S.; Kim, William Y.; Ohh, Michael

    2011-01-01

    SUMMARY Chuvash polycythemia (CP) is a rare congenital form of polycythemia caused by homozygous R200W and H191D mutations in the von Hippel-Lindau (VHL) gene whose gene product is the principal negative regulator of hypoxia-inducible factor. However, the molecular mechanisms underlying some of the hallmark features of CP such as hypersensitivity to erythropoietin are unclear. Here, we show that VHL directly binds suppressor of cytokine signalling 1 (SOCS1) to form a heterodimeric E3 ligase that targets phosphorylated (p)JAK2 for ubiquitin-mediated destruction. In contrast, CP-associated VHL mutants have altered affinity for SOCS1 and fail to engage and degrade pJAK2. Systemic administration of a highly selective JAK2 inhibitor, TG101209, reverses the disease phenotype in vhlR200W/R200W knock-in mice, a model that faithfully recapitulates human CP. These results reveal VHL as a SOCS1-cooperative negative regulator of JAK2 and provide compelling biochemical and preclinical evidence for JAK2- targeted therapy in CP patients. PMID:21685897

  2. Shemya AFB, Alaska 1992 IRP field investigation report

    Energy Technology Data Exchange (ETDEWEB)

    1993-02-01

    The US Air Force is currently investigating 22 sites on Shemya Air Force Base (AFB) to determine if past spill and disposal activities have caused environmental damage. These investigations are being carried out under the Air Force's Installation Restoration Program (IRP). As a part of the IRP program, field investigations were performed in 1992 to obtain the information needed to assess what future actions willneed to be carried out at each site. The island's drinking water supply was also investigated. Activities completed at 10 selected sites during the 1992 field investigation included surface sampling to determine the lateral extent of contamination, subsurface sampling to determine the vertical extent of contamination, and the installation of well points and monitoring wells to determine the direction of groundwater flow and if the groundwater has been affected by a site. In addition, geophysical surveys were performed at most sites to identify site boundaries and check for the presence of buried metal to be avoided during drilling activities.

  3. Molecular Cloning, Characterization, and Expression of MiSOC1: A Homolog of the Flowering Gene SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 from Mango (Mangifera indica L)

    Science.gov (United States)

    Wei, Junya; Liu, Debing; Liu, Guoyin; Tang, Jie; Chen, Yeyuan

    2016-01-01

    MADS-box transcription factor plays a crucial role in plant development, especially controlling the formation and development of floral organs. Mango (Mangifera indica L) is an economically important fruit crop, but its molecular control of flowering is largely unknown. To better understand the molecular basis of flowering regulation in mango, we isolated and characterized the MiSOC1, a putative mango orthologs for the Arabidopsis SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1/AGAMOUS-LIKE 20 (SOC1/AGL20) with homology-based cloning and RACE. The full-length cDNA (GenBank accession No.: KP404094) is 945 bp in length including a 74 bp long 5′ UTR and a 189 bp long 3′ UTR and the open reading frame was 733 bps, encoding 223 amino acids with molecular weight 25.6 kD. Both sequence alignment and phylogenetic analysis all indicated that deduced protein contained a conservative MADS-box and semi-conservative K domain and belonged to the SOC1/TM3 subfamily of the MADS-box family. Quantitative real-time PCR was performed to investigate the expression profiles of MiSOC1 gene in different tissues/organs including root, stem, leaves, flower bud, and flower. The result indicated MiSOC1 was widely expressed at different levels in both vegetative and reproductive tissues/organs with the highest expression level in the stems’ leaves and inflorescences, low expression in roots and flowers. The expression of MiSOC1 in different flower developmental stages was different while same tissue –specific pattern among different varieties. In addition, MiSOC1 gene expression was affect by ethephon while high concentration ethephon inhibit the expression of MiSOC1. Overexpression of MiSOC1 resulted in early flowering in Arabidopsis. In conclusion, these results suggest that MiSOC1 may act as induce flower function in mango. PMID:27965680

  4. Molecular Cloning, Characterization, and Expression of MiSOC1: A Homolog of the Flowering Gene SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 from Mango (Mangifera indica L).

    Science.gov (United States)

    Wei, Junya; Liu, Debing; Liu, Guoyin; Tang, Jie; Chen, Yeyuan

    2016-01-01

    MADS-box transcription factor plays a crucial role in plant development, especially controlling the formation and development of floral organs. Mango ( Mangifera indica L) is an economically important fruit crop, but its molecular control of flowering is largely unknown. To better understand the molecular basis of flowering regulation in mango, we isolated and characterized the MiSOC1, a putative mango orthologs for the Arabidopsis SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1/AGAMOUS-LIKE 20 (SOC1/AGL20) with homology-based cloning and RACE. The full-length cDNA (GenBank accession No.: KP404094) is 945 bp in length including a 74 bp long 5' UTR and a 189 bp long 3' UTR and the open reading frame was 733 bps, encoding 223 amino acids with molecular weight 25.6 kD. Both sequence alignment and phylogenetic analysis all indicated that deduced protein contained a conservative MADS-box and semi-conservative K domain and belonged to the SOC1/TM3 subfamily of the MADS-box family. Quantitative real-time PCR was performed to investigate the expression profiles of MiSOC1 gene in different tissues/organs including root, stem, leaves, flower bud, and flower. The result indicated MiSOC1 was widely expressed at different levels in both vegetative and reproductive tissues/organs with the highest expression level in the stems' leaves and inflorescences, low expression in roots and flowers. The expression of MiSOC1 in different flower developmental stages was different while same tissue -specific pattern among different varieties. In addition, MiSOC1 gene expression was affect by ethephon while high concentration ethephon inhibit the expression of MiSOC1. Overexpression of MiSOC1 resulted in early flowering in Arabidopsis . In conclusion, these results suggest that MiSOC1 may act as induce flower function in mango.

  5. Time to Detection in Culture Supports Prediction of Low Transmissibility of Tuberculosis and Discontinuation of Isolation for Low-Risk Patients With A Single AFB-Negative and NAAT-Negative Respiratory Specimen.

    Science.gov (United States)

    Khan, Saahir; Nakasone, Audrey; Ghajar, Minoo; Zhowandai, Mariam; Prabhu, Sunita; Alexander, Rick; Low, Julie; Peterson, Ellena; Thrupp, Lauri

    2018-05-01

    For 94 patients with culture-positive pulmonary tuberculosis, time-to-detection (TTD), acid-fast bacilli (AFB) smear, and nucleic acid amplification test (NAAT) results were reviewed. All 12 patients whose first specimen was negative by AFB smear and NAAT had prolonged TTD, indicating low transmissibility and supporting discontinuing isolation for low-risk patients.Infect Control Hosp Epidemiol 2018;39:619-621.

  6. Rapid Development of System-on-Chip (SoC for Network-Enabled Visible Light Communications

    Directory of Open Access Journals (Sweden)

    Trio Adiono

    2018-03-01

    Full Text Available Visible Light Communication (VLC is an emerging optical communication technology with rapid development nowadays. VLC is considered as a compliment and successor of radio-frequency (RF wireless communication. There are various typical implementations of VLC in which one of them is for exchanging data TCP/IP packets, thus the user can browse the internet as in established Wireless fidelity (Wi-Fi technology. Briefly, we can call it by Light fidelity (Li-Fi. This paper described the design and implementation of System-on-Chip (SoC subsystem for Li-Fi application where the implemented SoC consists of hardware (H/W and software (S/W. In the H/W aspect, Physical Layer (PHY is made by using UART communication with Ethernet connection to communicate with Host/Device personal-computer (PC. In the S/W aspect, Xillinux operating system (OS is used. The H/W- as well as S/W-SoC, are realized in FPGA Zybo Zynq-7000 EPP development board. The functional test result shows (without optical channel or Zybo-to-Zybo only that the implemented SoC is working as expected. It is able to exchange TCP/IP packets between two PCs. Moreover, Ethernet connection has bandwidth up to 83.6 Mbps and PHY layer baud rate has bandwidth up to 921600 bps.

  7. Wright tariffs in the Spanish electricity industry: the case of residential consumption

    International Nuclear Information System (INIS)

    Castro-Rodriguez, F.

    1999-01-01

    In this paper a capacity price model is developed for the Spanish electricity industry which allows the presentation of the Spanish utilization level tariffs as an example of duration tariffs (Wright tariffs) when duration is approximated by the ratio of consumption to power used. Using this model and data on the residential consumption of electricity, several optimal two-part tariffs are computed, considering different hypothesis on the configuration of the generating equipment. It has been found that the optimal tariff maintaining universal service increases welfare if the generating equipment and the output assignment to the different technologies are taken as given. Furthermore, if the regulator is concerned not only with efficiency, but also with distributive issues, then welfare losses associated with the existing regulatory regime are even larger

  8. Religion and politics in conflict: Paul Stuart Wright and the 1964 coup

    Directory of Open Access Journals (Sweden)

    Márcio Ananias Ferreira Vilela

    2016-06-01

    Full Text Available In this article, we seek to understand the implications of Paulo Stuart Wright´s political and religious action. Beginning with the civil and military coup of 1964, it suffered a strong reaction from majority sectors in the Presbyterian Church of Brazil that started to perceive him as a threat to the religious community and to society itself. The following period was marked by an intense political conflict in Brazil. This contributed for the person in question to be expelled from the Church, have his mandate as a state representative for Santa Catarina impeached, be exiled, live in clandestinity and, later on in the 1970s, be murdered by the organs of repression. Thus, his trajectory bears many similarities to those of others who marked the recent history of Brazil.

  9. Common variants in SOCS7 gene predict obesity, disturbances in lipid metabolism and insulin resistance.

    Science.gov (United States)

    Tellechea, M L; Steinhardt, A Penas; Rodriguez, G; Taverna, M J; Poskus, E; Frechtel, G

    2013-05-01

    Specific Suppressor of Cytokine Signaling (SOCS) members, such as SOCS7, may play a role in the development of insulin resistance (IR) owing to their ability to inhibit insulin signaling pathways. The objective was to explore the association between common variants and related haplotypes in SOCS7 gene and metabolic traits related to obesity, lipid metabolism and IR. 780 unrelated men were included in a cross-sectional study. We selected three tagged SNPs that capture 100% of SNPs with minor allele frequency ≥ 0.10. Analyses were done separately for each SNP and followed up by haplotype analysis. rs8074124C was associated with both obesity (p = 0.005) and abdominal obesity (p = 0.002) and allele C carriers showed, in comparison with TT carriers, lower BMI (p = 0.001) and waist circumference (p = 0.001). rs8074124CC- carriers showed lower fasting insulin (p = 0.017) and HOMA-IR (p = 0.018) than allele T carriers. rs12051836C was associated with hypertriglyceridemia (p = 0.009) and hypertriglyceridemic waist (p = 0.006). rs12051836CC- carriers showed lower fasting insulin (p = 0.043) and HOMA-IR (p = 0.042). Haplotype-based association analysis (rs8074124 and rs12051836 in that order) showed associations with lipid and obesity -related phenotypes, consistent with single locus analysis. Haplotype analysis also revealed association between haplotype CT and both decreased HDL-C (p = 0.026) and HDL-C (p = 0.014) as a continuous variable. We found, for the first time, significant associations between SOCS7 common variants and related haplotypes and obesity, IR and lipid metabolism disorders. Crown Copyright © 2011. Published by Elsevier B.V. All rights reserved.

  10. Monolithic distributed power management for systems-on-chip (SoC); Gestion monolithique distribuee de puissance pour les systemes sur puce (SOC)

    Energy Technology Data Exchange (ETDEWEB)

    Abedinpour, S. [Motorola, Semiconductor Products Sector, Tempe (United States); Bakkaloglu, B. [Texas Instruments, Broadband Communications Group, Dallas, Texas (United States); Kiaei, S. [Arizona State Univ., Connection one Research Center, Tempe (United States)

    2004-08-01

    With increasing drive towards higher level of integration, lower cost, and longer battery life in wireless applications, there is a need for efficient monolithic DC-DC power converters. This tutorial paper summarizes the topology tradeoffs that are involved in the implementation of monolithic distributed power management in the future generations of SoCs for portable wireless applications. These circuits have a broad range of requirements including high power density, high energy efficiency, low noise, small size, and low cost. The advantages and disadvantages of each of the competing topologies, namely low-dropout linear, switched capacitor, and switched-mode DC-DC converters are examined in light of these requirements. (authors)

  11. Effects of land use change and management on SOC and soil quality in Mediterranean rangelands areas

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Requejo, Ana; Zornoza, Raúl

    2017-04-01

    INTRODUCTION Rangelands in the Iberian Peninsula occupy more than 90,000 km2. These rangelands were created from the former Mediterranean oak forests, mainly composed of holm oak and cork oak (Quercus ilex rotundifolia and Quercus suber), by clear-cutting shrubs, removing selected trees and cultivating. These man-made landscapes are called 'dehesas' in Spain and 'montados' in Portugal. Between 1955 and 1981, more than 5,000 km2 of dehesas was converted from pastureland to cultivated land. This process has been accelerated since 1986 owing to subsidies from the European Common Agricultural Policy (Parras-Alcántara et al., 2015a). The role that natural rangelands play in the global carbon cycle is extremely important, accounting for 10-30% of the world's total soil organic carbon (SOC), in addition, SOC concentration is closely related to soil quality and vegetation productivity (Brevik, 2012). Therefore, to study the land use and management changes is important, particularly in Mediterranean soils, as they are characterized by low organic carbon content, furthermore, the continuous use of ploughing for grain production is the principal cause of soil degradation. Therefore, land use decisions and management systems can increase or decrease SOC content and stock (Corral-Fernández et al., 2013; Parras-Alcántara et al., 2014, 2015a and 2015b; Parras-Alcántara and Lozano-García, 2014) MATERIAL AND METHODS A field study was conducted to determine the land use change (Mediterranean evergreen oak woodland to olive grove and cereal, all of them managed under conventional tillage and under conservationist practices) effects on SOC stocks and the soil quality (Stratification Ratio) in Los Pedroches valley, southern Spain. RESULTS Results for the present study indicate that management practices had little effect on SOC storage in dehesas. The stratification ratio was >2 both under conventional tillage and under organic farming, so, soils under dehesa had high quality

  12. Suppressor of cytokine signaling 2 (Socs2 deletion protects bone health of mice with DSS-induced inflammatory bowel disease

    Directory of Open Access Journals (Sweden)

    Ross Dobie

    2018-01-01

    Full Text Available Individuals with inflammatory bowel disease (IBD often present with poor bone health. The development of targeted therapies for this bone loss requires a fuller understanding of the underlying cellular mechanisms. Although bone loss in IBD is multifactorial, the altered sensitivity and secretion of growth hormone (GH and insulin-like growth factor-1 (IGF-1 in IBD is understood to be a critical contributing mechanism. The expression of suppressor of cytokine signaling 2 (SOCS2, a well-established negative regulator of GH signaling, is stimulated by proinflammatory cytokines. Therefore, it is likely that SOCS2 expression represents a critical mediator through which proinflammatory cytokines inhibit GH/IGF-1 signaling and decrease bone quality in IBD. Using the dextran sodium sulfate (DSS model of colitis, we reveal that endogenously elevated GH function in the Socs2−/− mouse protects the skeleton from osteopenia. Micro-computed tomography assessment of DSS-treated wild-type (WT mice revealed a worsened trabecular architecture compared to control mice. Specifically, DSS-treated WT mice had significantly decreased bone volume, trabecular thickness and trabecular number, and a resulting increase in trabecular separation. In comparison, the trabecular bone of Socs2-deficient mice was partially protected from the adverse effects of DSS. The reduction in a number of parameters, including bone volume, was less, and no changes were observed in trabecular thickness or separation. This protected phenotype was unlikely to be a consequence of improved mucosal health in the DSS-treated Socs2−/− mice but rather a result of unregulated GH signaling directly on bone. These studies indicate that the absence of SOCS2 is protective against bone loss typical of IBD. This study also provides an improved understanding of the relative effects of GH/IGF-1 signaling on bone health in experimental colitis, information that is essential before these drugs are

  13. Frequency of sputum positive AFB cases among patients of pulmonary tuberculosis in tertiary care hospitals of northern Pakistan

    International Nuclear Information System (INIS)

    Khattak, M.I.; Zaman, M.; Ihsanullah; Muhammad, A.; Khan, N.

    2010-01-01

    Objective: This study was aimed to find out the frequency of sputum positive Acid Fast Bacilli (AFB) cases among pulmonary tuberculosis patients and to determine those patients who are the potential source of transmitting infection. Methods: This study was conducted in four medical units of Khyber Teaching Hospital, Peshawar and Chest Unit, Ayub Teaching Hospital, Abbottabad in collaboration with the pathology unit of Khyber Teaching Hospital, Peshawar, and Kohat Institute of Medical Sciences, Kohat. Three specimens of sputum were collected for three consecutive days in the morning and were transported immediately to the laboratory along with full details of the patients. Results: Out of two hundred total patients studied, 104 patients (52%) were sputum AFB positive. Among the 104 patients 60 patients (57.4%) were females. Sixty-four (61.52%) individuals were between 20-50 years. Majority of the patients were from poor, deprived and lower social class. Fifty-two (50%) patients had monthly income of less than Rs. 4,000; only 8 patients (7.67%) had monthly income of more than Rs. 12,000). Forty-eight patients <46.12% were house wives, 10 patients (9.61%) were unemployed. Most of the patients were under weight for their age and height. 24 patients (23.06%) were below 42 kg. The maximum (53.84%) number of patients was in weight range of 43-50 kg. Conclusion: Sputum AFB positive pulmonary tuberculosis is more in individuals of low socioeconomic group and in females. The patients put their children and family members at risk of tuberculosis infection. For the control of this disease early diagnosis of active disease and their treatment under supervision is important. (author)

  14. Performance Evaluation of FIR Filter After Implementation on Different FPGA and SOC and Its Utilization in Communication and Network

    DEFF Research Database (Denmark)

    Pandey, Bishwajeet; Das, Bhagwan; Kaur, Amanpreet

    2017-01-01

    that will energy efficient as well as faster than traditional design. Three different FPGA and SOC are taken under consideration and our design is implemented on these four ICs and we find the most energy efficient architecture and also find the architecture that will deliver highest performance among these four...... FPGA then we conclude that Zynq 7000 All programmable SOC is power hungry architecture and Kintex ultrascale architecture is the most energy efficient architecture that dissipates 20.86% less power than Zynq 700 All programmable SOC. For performance evaluation, we have taken benchmark C code of FIR...... provide by Xilinx. We transform that C code into HDL using Vivado HLS 2016.2 before power analysis on Vivado 2016.2. Ultrascale FPGA is generally used for packet processing in 100G networking and heterogeneous wireless infrastructure....

  15. Effects of different soil types in natural Mediterranean areas on soil organic carbon (SOC)

    Science.gov (United States)

    Requejo Silva, Ana; Lozano García, Beatriz; Parras Alcántara, Luis

    2017-04-01

    Effects of different soil types in natural Mediterranean areas on soil organic carbon (SOC) Ana Requejo1, Beatriz Lozano-García1, Luis Parras Alcántara1 1 Department of Agricultural Chemistry and Soil Science, Faculty of Science, Agrifood Campus of International Excellence - ceiA3, University of Córdoba, Spain. The carbon content of the atmosphere can be influenced by soils, since they can store carbon or emit large quantities of CO2. C sequestration into soils is one of the most important ecosystems services because of its role in climate regulation (IPPC, 2007). Thereof, agriculture and forestry are the only activities that can contribute to C sequestration through photosynthesis and its carbon incorporation into carbohydrates (Parras Alcántara et al., 2013). Dehesa is a multifunctional agro-sylvo-pastoral system and typical landscape of southern and central Spain and southern Portugal. It is an anthropogenic system dedicated to the combined production of black iberian pigs, a variety of foods, fuel, coal, and cork. Besides, it acts as well in the production of endangered species as wildlife habitat and as sustainable hunting areas. These dehesa areas are defined by a relationship between productivity and conservation of forest oaks, providing environmental benefits such as carbon capture and storage. The area focused in this study is the Cardeña-Montoro Nature Reserve, located within the Sierra Morena (Córdoba, South Spain). The most representative soils in Cardeña-Montoro Nature Reserve are Cambisols, Regosols, Leptosols and Fluvisols according to IUSS Working Group WRB (2006). They are characterized by a low fertility, poor physical conditions and marginal capacity for agricultural use, along with low organic matter content due to climate conditions (semiarid Mediterranean climate) and soil texture (sandy). Several studies have shown that land use affects the SOC concentration (Lozano-García et al., 2016; Khaledian et al., 2016). Based on this

  16. Label Free QCM Immunobiosensor for AFB1 Detection Using Monoclonal IgA Antibody as Recognition Element

    Directory of Open Access Journals (Sweden)

    Özlem Ertekin

    2016-08-01

    Full Text Available This study introduces the use of an IgA isotype aflatoxin (AF specific monoclonal antibody for the development of a highly sensitive Quartz Crystal Microbalance (QCM immunobiosensor for the detection of AF in inhibitory immunoassay format. The higher molecular weight of IgA antibodies proved an advantage over commonly used IgG antibodies in label free immunobiosensor measurements. IgA and IgG antibodies with similar affinity for AF were used in the comparative studies. Sensor surface was prepared by covalent immobilization of AFB1, using self assembled monolayer (SAM formed on gold coated Quartz Crystal, with 1-Ethyl-3-(3-dimethylaminopropyl carbodiimide/N-hydroxy succinimide (EDC/NHS method using a diamine linker. Nonspecific binding to the surface was decreased by minimizing the duration of EDC/NHS activation. Sensor surface was chemically blocked after AF immobilization without any need for protein blocking. This protein free sensor chip endured harsh solutions with strong ionic detergent at high pH, which is required for the regeneration of the high affinity antibody-antigen interaction. According to the obtained results, the detection range with IgA antibodies was higher than IgG antibodies in QCM immunosensor developed for AFB1.

  17. ETHERBONE - a network layer for the wishbone SoC bus

    International Nuclear Information System (INIS)

    Kreider, M.; Terpstra, W.; Lewis, J.; Serrano, J.; Wlostowski, T.

    2012-01-01

    Today, there are several System on a Chip (SoC) bus systems. Typically, these buses are confined on-chip and rely on higher level components to communicate with the outside world. Taking these systems a step further, we see the possibility of extending the reach of the SoC bus to remote FPGAs or processors. This leads to the idea of the EtherBone (EB) core, which connects a Wishbone (WB) Ver. 4 Bus via a Gigabit Ethernet based network link to remote peripheral devices. EB acts as a transparent interconnect module towards attached WB Bus devices. Address information and data from one or more WB bus cycles is preceded with a descriptive header and encapsulated in a UDP/IP packet. Because of this standard compliance, EB is able to traverse Wide Area Networks and is therefore not bound to a geographic location. Due to the low level nature of the WB bus, EB provides a sound basis for remote hardware tools like a JTAG debugger, In-System-Programmer (ISP), boundary scan interface or logic analyser module. EB was developed in the scope of the White-Rabbit Timing Project (WR) at CERN and GSI/FAIR, which employs GigaBit Ethernet technology to communicate with memory mapped slave devices. WR will make use of EB as means to issue commands to its timing nodes and control connected accelerator hardware. (authors)

  18. Detecting small-scale spatial heterogeneity and temporal dynamics of soil organic carbon (SOC) stocks: a comparison between automatic chamber-derived C budgets and repeated soil inventories

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Juana; Albiac Borraz, Elisa; Schmidt, Marten; Huth, Vytas; Rogasik, Helmut; Rieckh, Helene; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2017-03-01

    Carbon (C) sequestration in soils plays a key role in the global C cycle. It is therefore crucial to adequately monitor dynamics in soil organic carbon (ΔSOC) stocks when aiming to reveal underlying processes and potential drivers. However, small-scale spatial (10-30 m) and temporal changes in SOC stocks, particularly pronounced in arable lands, are hard to assess. The main reasons for this are limitations of the well-established methods. On the one hand, repeated soil inventories, often used in long-term field trials, reveal spatial patterns and trends in ΔSOC but require a longer observation period and a sufficient number of repetitions. On the other hand, eddy covariance measurements of C fluxes towards a complete C budget of the soil-plant-atmosphere system may help to obtain temporal ΔSOC patterns but lack small-scale spatial resolution. To overcome these limitations, this study presents a reliable method to detect both short-term temporal dynamics as well as small-scale spatial differences of ΔSOC using measurements of the net ecosystem carbon balance (NECB) as a proxy. To estimate the NECB, a combination of automatic chamber (AC) measurements of CO2 exchange and empirically modeled aboveground biomass development (NPPshoot) were used. To verify our method, results were compared with ΔSOC observed by soil resampling. Soil resampling and AC measurements were performed from 2010 to 2014 at a colluvial depression located in the hummocky ground moraine landscape of northeastern Germany. The measurement site is characterized by a variable groundwater level (GWL) and pronounced small-scale spatial heterogeneity regarding SOC and nitrogen (Nt) stocks. Tendencies and magnitude of ΔSOC values derived by AC measurements and repeated soil inventories corresponded well. The period of maximum plant growth was identified as being most important for the development of spatial differences in annual ΔSOC. Hence, we were able to confirm that AC-based C budgets are able

  19. Information geometry and population genetics the mathematical structure of the Wright-Fisher model

    CERN Document Server

    Hofrichter, Julian; Tran, Tat Dat

    2017-01-01

    The present monograph develops a versatile and profound mathematical perspective of the Wright--Fisher model of population genetics. This well-known and intensively studied model carries a rich and beautiful mathematical structure, which is uncovered here in a systematic manner. In addition to approaches by means of analysis, combinatorics and PDE, a geometric perspective is brought in through Amari's and Chentsov's information geometry. This concept allows us to calculate many quantities of interest systematically; likewise, the employed global perspective elucidates the stratification of the model in an unprecedented manner. Furthermore, the links to statistical mechanics and large deviation theory are explored and developed into powerful tools. Altogether, the manuscript provides a solid and broad working basis for graduate students and researchers interested in this field.

  20. Calcineurin inhibitor-induced complement system activation via ERK1/2 signalling is inhibited by SOCS-3 in human renal tubule cells.

    Science.gov (United States)

    Loeschenberger, Beatrix; Niess, Lea; Würzner, Reinhard; Schwelberger, Hubert; Eder, Iris E; Puhr, Martin; Guenther, Julia; Troppmair, Jakob; Rudnicki, Michael; Neuwirt, Hannes

    2018-02-01

    One factor that significantly contributes to renal allograft loss is chronic calcineurin inhibitor (CNI) nephrotoxicity (CIN). Among other factors, the complement (C-) system has been proposed to be involved CIN development. Hence, we investigated the impact of CNIs on intracellular signalling and the effects on the C-system in human renal tubule cells. In a qPCR array, CNI treatment upregulated C-factors and downregulated SOCS-3 and the complement inhibitors CD46 and CD55. Additionally, ERK1/-2 was required for these regulations. Following knock-down and overexpression of SOCS-3, we found that SOCS-3 inhibits ERK1/-2 signalling. Finally, we assessed terminal complement complex formation, cell viability and apoptosis. Terminal complement complex formation was induced by CNIs. Cell viability was significantly decreased, whereas apoptosis was increased. Both effects were reversed under complement component-depleted conditions. In vivo, increased ERK1/-2 phosphorylation and SOCS-3 downregulation were observed at the time of transplantation in renal allograft patients who developed a progressive decline of renal function in the follow-up compared to stable patients. The progressive cohort also had lower total C3 levels, suggesting higher complement activity at baseline. In conclusion, our data suggest that SOCS-3 inhibits CNI-induced ERK1/-2 signalling, thereby blunting the negative control of C-system activation. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. DMA Controller for LEON3 SoC:s Using AMBA

    OpenAIRE

    Nilsson, Emelie

    2013-01-01

    A DMA Controller can offload a processor tremendously. A memory copy operation can be initiated by the processor and while the processor executes others tasks the memory copy can be fulfilled by the DMA Controller. An implementation of a DMA Controller for use in LEON3 SoC:s has been made during this master thesis. Problems that occurred while designing a controller of this type concerned AMBA buses, data transfers, alignment and interrupt handling. The DMA Controller supports AMBA and is att...

  2. Overexpression of DOSOC1, an ortholog of Arabidopsis SOC1, promotes flowering in the orchid Dendrobium Chao Parya Smile.

    Science.gov (United States)

    Ding, Lihua; Wang, Yanwen; Yu, Hao

    2013-04-01

    SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 (SOC1) encodes a MADS-box protein that plays an essential role in integrating multiple flowering signals to regulate the transition from vegetative to reproductive development in the model plant Arabidopsis. Although SOC1-like genes have been isolated in various angiosperms, its orthologs in Orchidaceae, one of the largest families of flowering plants, are so far unknown. To investigate the regulatory mechanisms of flowering time control in orchids, we isolated a SOC1-like gene, DOSOC1, from Dendrobium Chao Praya Smile. DOSOC1 was highly expressed in reproductive organs, including inflorescence apices, pedicels, floral buds and open flowers. Its expression significantly increased in whole plantlets during the transition from vegetative to reproductive development, which usually occurred after 8 weeks of culture in Dendrobium Chao Praya Smile. In the shoot apex at the floral transitional stage, DOSOC1 was particularly expressed in emerging floral meristems. Overexpression of DOSOC1 in wild-type Arabidopsis plants resulted in early flowering, which was coupled with the up-regulation of two other flowering promoters, AGAMOUS-LIKE 24 and LEAFY. In addition, overexpression of DOSOC1 was able partially to complement the late-flowering phenotype of Arabidopsis soc1-2 loss-of-function mutants. Furthermore, we successfully created seven 35S:DOSOC1 transgenic Dendrobium orchid lines, which consistently exhibited earlier flowering than wild-type orchids. Our results suggest that SOC1-like genes play an evolutionarily conserved role in promoting flowering in the Orchidaceae family, and that DOSOC1 isolated from Dendrobium Chao Praya Smile could serve as an important target for genetic manipulation of flowering time in orchids.

  3. Assessment of LabSOCS as a tool for the calculation of self-attenuation coefficients in gamma spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Lopes, J.; De Medeiros, M. P.; Garcez, R.; Filgueiras, R.; Thalhofer, J.; Da Silva, A. X. [Universidade Federal do Rio de Janeiro, Programa de Engenharia Nuclear, Av. Horacio Macedo 2030, 21945-970 Rio de Janeiro (Brazil); Freitas R, W., E-mail: marqueslopez@yahoo.com.br [Instituto Militar de Engenharia, Secao de Engenharia Nuclear, Praca Gen. Tiburcio 80, 22290-270 Urca, Rio de Janeiro (Brazil)

    2017-10-15

    In spectrometry, the self-attenuation coefficients are fundamental to correct the efficiency of the detection of samples whose density is different from the radioactive standard. To facilitate the procedure of coefficient calculation, mathematical simulations have been widespread as a tool. In this paper, LabSOCS was used to calculate the self-attenuation coefficients for some geometries and the values found were compared to those obtained with MCNPX and experimental values. The percentage deviations found for the self-attenuation coefficient calculated by LabSOCS were below 1.6%, when compared to experimental values. In the extrapolation zone of the fitting curve of the experimental model, the deviations were below 1.9%. The results obtained show that the deviations increase proportionally to the amplitude between the density values of the radioactive standard and the sample. High percentage deviations were also obtained in simulations whose samples had high densities, complex geometries and low energy levels. However, the results indicate that LabSOCS is a tool which may be used in the calculation of self-attenuation coefficients. (Author)

  4. Aflatoxin B1 inhibition in Aspergillus flavus by Aspergillus niger through down-regulating expression of major biosynthetic genes and AFB1 degradation by atoxigenic A. flavus.

    Science.gov (United States)

    Xing, Fuguo; Wang, Limin; Liu, Xiao; Selvaraj, Jonathan Nimal; Wang, Yan; Zhao, Yueju; Liu, Yang

    2017-09-01

    Twenty Aspergillus niger strains were isolated from peanuts and 14 strains were able to completely inhibit AFB 1 production with co-cultivation. By using a Spin-X centrifuge system, it was confirmed that there are some soluble signal molecules or antibiotics involved in the inhibition by A. niger, although they are absent during the initial 24h of A. flavus growth when it is sensitive to inhibition. In A. flavus, 19 of 20 aflatoxin biosynthetic genes were down-regulated by A. niger. Importantly, the expression of aflS was significantly down-regulated, resulting in a reduction of AflS/AflR ratio. The results suggest that A. niger could directly inhibit AFB 1 biosynthesis through reducing the abundance of aflS to aflR mRNAs. Interestingly, atoxigenic A. flavus JZ2 and GZ15 effectively degrade AFB 1 . Two new metabolites were identified and the key toxic lactone and furofuran rings both were destroyed and hydrogenated, meaning that lactonase and reductase might be involved in the degradation process. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Neighborhood-resources for the development of a strong SOC and the importance of understanding why and how resources work: a grounded theory approach.

    Science.gov (United States)

    Maass, Ruca; Lindström, Bengt; Lillefjell, Monica

    2017-09-12

    Providing individuals with psychosocial resources such as sense of coherence (SOC) seems a beneficial strategy for health promotion in the neighborhood. In order to become a supporting theory for health promotion, Salutogenesis should renew its focus on resources for health, and explore how the development of a strong SOC can be facilitated. Relevant issues were explored using a Grounded Theory- approach. Three focus-group-sessions and three in-depth interviews were conducted with strategically sampled participants. The transcripts of the focus groups were initially analyzed line-by-line to ensure that insights emerged from the data. We then applied focused and systemic analyses to achieve axial coding, and to include insights into how social interactions during focus groups may reveal social processes in real-life-neighborhoods. The data from the in-depth interviews were used to validate and fill emerging categories, as well as to ensure data-saturation. Findings indicate the importance of repeated experiences with resources and every-day-challenges to develop a strong SOC. Active engagement with resources is a favorable condition for significant experiences, which enhance the internalization of resources. Core experiences are characterized by a re-organization of resources. Participation in intellectual meaning-making through equal power dialogue seems to broaden perspectives and promote the strengthening of SOC. A strong SOC can also be described as a deeper understanding of how and why resources work, which allows for a more flexible use of resources, including replacing missing resources. A new understanding of SOC as an intuitive understanding of how, why and under which circumstances resources work, as well as a new focus on everyday life and repeated experiences might facilitate new approaches to a purposeful strengthening of SOC through the planning and implementation of public measures.

  6. The fate of SOC during the processes of water erosion and subsequent deposition: a field study.

    Science.gov (United States)

    van Hemelryck, H.; Govers, G.; van Oost, K.; Merckx, R.

    2009-04-01

    Globally soils are the largest terrestrial pool of carbon (C). A relatively small increase or decrease in soil carbon content due to changes in land use or management practices could therefore result in a significant net exchange of C between the soil C reservoir and the atmosphere. As such, the geomorphic processes of water and tillage erosion have been identified to significantly impact on this large pool of soil organic carbon (SOC). Soil erosion, transport and deposition not only result in redistribution of sediments and associated carbon within a landscape, but also affect the exchange of C between the pedosphere and the atmosphere. The direction and magnitude of an erosion-induced change in the global C balance is however a topic of much debate as opposing processes interact: i) At eroding sites a net uptake of C could be the result of reduced respiration rates and continued inputs of newly produced carbon. ii) Colluvial deposition of eroded sediment and SOC leads to the burial of the original topsoil and this may constrain the decomposition of its containing SOC. iii) Eroded sediment could be transported to distal depositional environments or fluvial systems where it will either be conserved or become rapidly mineralized. iv) Increased emission of CO2 due to erosion may result from the disruptive energy of erosive forces causing the breakdown of aggregates and exposing previously protected SOC to microbial decomposition. The above-mentioned processes show a large spatial and temporal variability and assessing their impact requires an integrated modeling approach. However uncertainties about the basic processes that accompany SOC displacement are still large. This study focuses on one of these large information gaps: the fate of eroded and subsequently deposited SOC. A preceding experimental study (Van Hemelryck et al., 2008) was used to identify controlling factors (erosional intensity, changes in soil structure,…). However this experimental research

  7. Additional Evidence Is Needed to Recommend Acquiring a Dog to Families of Children with Autism Spectrum Disorder: A Response to Wright and Colleagues

    Science.gov (United States)

    Crossman, Molly K.; Kazdin, Alan E.

    2016-01-01

    Caregivers of children with autism spectrum disorder are vulnerable to overstated benefits of interventions, and such overstatements are common with interventions involving animals. This response to Wright, Hall, Hames, Hardmin, Mills, the Paws Team, and Mills' (2015) article, "Acquiring a Pet Dog Significantly Reduces Stress of Primary…

  8. Detecting small-scale spatial differences and temporal dynamics of soil organic carbon (SOC) stocks: a comparison between automatic chamber-derived C budgets and repeated soil inventories

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Juana; Albiac Borraz, Elisa; Schmidt, Marten; Huth, Vytas; Rogasik, Helmut; Rieckh, Helene; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2017-04-01

    Carbon (C) sequestration in soils plays a key role in the global C cycle. It is therefore crucial to adequately monitor dynamics in soil organic carbon (ΔSOC) stocks when aiming to reveal underlying processes and potential drivers. However, small-scale spatial and temporal changes in SOC stocks, particularly pronounced on arable lands, are hard to assess. The main reasons for this are limitations of the well-established methods. On the one hand, repeated soil inventories, often used in long-term field trials, reveal spatial patterns and trends in ΔSOC but require a longer observation period and a sufficient number of repetitions. On the other hand, eddy covariance measurements of C fluxes towards a complete C budget of the soil-plant-atmosphere system may help to obtain temporal ΔSOC patterns but lack small-scale spatial resolution. To overcome these limitations, this study presents a reliable method to detect both short-term temporal as well as small-scale spatial dynamics of ΔSOC. Therefore, a combination of automatic chamber (AC) measurements of CO2 exchange and empirically modeled aboveground biomass development (NPPshoot) was used. To verify our method, results were compared with ΔSOC observed by soil resampling. AC measurements were performed from 2010 to 2014 under a silage maize/winter fodder rye/sorghum-Sudan grass hybrid/alfalfa crop rotation at a colluvial depression located in the hummocky ground moraine landscape of NE Germany. Widespread in large areas of the formerly glaciated Northern Hemisphere, this depression type is characterized by a variable groundwater level (GWL) and pronounced small-scale spatial heterogeneity in soil properties, such as SOC and nitrogen (Nt). After monitoring the initial stage during 2010, soil erosion was experimentally simulated by incorporating topsoil material from an eroded midslope soil into the plough layer of the colluvial depression. SOC stocks were quantified before and after soil manipulation and at the end

  9. A Focused Fundamental Study of Predicting Materials Degradation & Fatigue. Volume 1

    Science.gov (United States)

    1997-05-31

    Eisentraut U.S. Air Force Thomas Faegg Thomas Optical Mike Foley Norton Company Kathleen Havey Wright Lab Bill Mandler Enceratec Karl Mecklenburg U.S. Air...F. Riley (ed.). Nitrogen Ceramics, Noordhoff, Leyden , release rate obtained is greater than or equal to its critical Netherlands, 1977, pp. 109-128...Noordhoff, Leyden , Netherlands, 1977, pp. 265-288. node will then be relaxed into two nodes and crack extension [5] D. Richerson, Am. Ceram. Soc. Bull

  10. Shemya AFB, Alaska 1992 IRP field investigation report

    Energy Technology Data Exchange (ETDEWEB)

    1993-02-01

    The US Air Force is currently investigating 22 sites on Shemya Air Force Base (AFB) to determine if past spill and disposal activities have caused environmental damage. These investigations are being carried out under the Air Force's Installation Restoration Program (IRP). Field investigations were performed in 1992 to obtain the information needed to assess what future actions will need to be carried out at each site. The island's drinking water supply was also investigated. Activities completed at 10 selected sites included surface sampling to determine the lateral extent of contamination, subsurface sampling to determine the vertical extent of contamination, and the installation of well points and monitoring wells to determine the direction of groundwater flow and if the groundwater has been affected by a site. Geophysical surveys were performed at most sites to identify site boundaries and check for the presence of buried metal to be avoided during drilling activities. This report, appendices B, C, and D contains information on the following: geophysical contour maps and profile plots; human health risk assessment; and ecological risk assessment.

  11. Shemya AFB, Alaska 1992 IRP field investigation report

    Energy Technology Data Exchange (ETDEWEB)

    1993-02-01

    The US Air Force is currently investigating 22 sites on Shemya Air Force Base (AFB) to determine if past spill and disposal activities have caused environmental damage. These investigations are being carried out under the Air Force's Installation Restoration Program (IRP). Field investigations were performed in 1992 to obtain the information needed to assess what future actions will need to be carried out at each site. The island's drinking water supply was also investigated. Activities completed at 10 selected sites included surface sampling to determine the lateral extent of contamination, subsurface sampling to determine the vertical extent of contamination, and the installation of well points and monitoring wells to determine the direction of groundwater flow and if the groundwater has been affected by a site. In addition, geophysical surveys were performed at most sites to identify site boundaries and check for the presence of buried metal, to be avoided during drilling activities. This report contains appendices E and F with information on the following: soil boring logs, and data validation of samples analyzed.

  12. Metal–organic frameworks to satisfy gas upgrading demands: fine-tuning the soc-MOF platform for the operative removal of H2S

    KAUST Repository

    Belmabkhout, Youssef

    2017-01-06

    A cooperative experimental/modeling strategy was used to unveil the structure/gas separation performance relationship for a series of isostructural metal-organic frameworks (MOFs) with soc-topology (square-octahedral) hosting different extra-framework counter ions (NO3-, Cl- and Br-). In3+-, Fe3+-, Ga3+-and the newly isolated Al(III)-based isostructural soc-MOF were extensively studied and evaluated for the separation-based production of high-quality fuels (i.e., CH4, C3H8 and n-C4H10) and olefins. The structural/chemical fine-tuning of the soc-MOF platform promoted equilibrium-based selectivity toward C2+ (C2H6, C2H4, C3H6 C3H8 and n-C4H10) and conferred the desired chemical stability toward H2S. The noted dual chemical stability and gas/vapor selectivity, which have rarely been reported for equilibrium-based separation agents, are essential for the production of high-purity H-2, CH4 and C2+ fractions in high yields. Interestingly, the evaluated soc-MOF analogues exhibited high selectivity for C2H4, C3H6 and n-C4H10. In particular, the Fe, Ga and Al analogues presented relatively enhanced C2+/CH4 adsorption selectivities. Notably, the Ga and Al analogues were found to be technically preferable because their structural integrities and separation performances were maintained upon exposure to H2S, indicating that these materials are highly tolerant to H2S. Therefore, the Ga-soc-MOF was further examined for the selective adsorption of H2S in the presence of CO2-and CH4-containing streams, such as refinery-off gases (ROG) and natural gas (NG). Grand canonical Monte Carlo (GCMC) simulations based on a specific force field describing the interactions between the guest molecules and the Ga sites supported and confirmed the considerably higher affinity of the Ga-soc-MOF for C2+ (as exemplified by n-C4H10) than for CH4. The careful selection of an appropriate metal for the trinuclear inorganic molecular building block (MBB), i. e., a Ga metal center, imbues the soc

  13. Shuffled Frog Leaping Algorithm for Preemptive Project Scheduling Problems with Resource Vacations Based on Patterson Set

    Directory of Open Access Journals (Sweden)

    Yi Han

    2013-01-01

    Full Text Available This paper presents a shuffled frog leaping algorithm (SFLA for the single-mode resource-constrained project scheduling problem where activities can be divided into equant units and interrupted during processing. Each activity consumes 0–3 types of resources which are renewable and temporarily not available due to resource vacations in each period. The presence of scarce resources and precedence relations between activities makes project scheduling a difficult and important task in project management. A recent popular metaheuristic shuffled frog leaping algorithm, which is enlightened by the predatory habit of frog group in a small pond, is adopted to investigate the project makespan improvement on Patterson benchmark sets which is composed of different small and medium size projects. Computational results demonstrate the effectiveness and efficiency of SFLA in reducing project makespan and minimizing activity splitting number within an average CPU runtime, 0.521 second. This paper exposes all the scheduling sequences for each project and shows that of the 23 best known solutions have been improved.

  14. Installation Restoration Program. Phase II. Confirmation/Quantification Stage I for Wright-Patterson Air Force Base, Dayton, Ohio.

    Science.gov (United States)

    1985-09-01

    nitrate, chlor- ide, sulfate, boron, iron manga - nese, sodium, TOC, TOX, and phenol. Sample six leachate seeps for specific conductance, chlor- ide...Primary Drinking Water Standard of 10 mg/l for nitrate. No boron, iron or manga - nese were found in MW-17. Sodium was detected at 35 mg/l in the well...Thomas, 1976, "Control Pro- Leis, W., and A. Metry, " Multimedia Pathways of Con- gram for Leachate Affecting a Multiple Aquifer System, taminant

  15. System on chip (SoC) microcontrollers (μC) as digitisers for ion beam analysis (IBA) instruments

    Energy Technology Data Exchange (ETDEWEB)

    Whitlow, Harry J., E-mail: harry.j@whitlow.se

    2016-09-15

    Data digitisation of the analogue signals from detectors to digital data is an essential process in ion beam analysis (IBA). The low-cost, easy availability and development environments that have a low learning threshold makes system-on-chip (SoC) microcontrollers (μC) attractive for this task. These μC combine, on one die, analogue and digital inputs and outputs with serial USB interfaces, which opens up simple implementation of tailor-made interfaces for specific IBA measurement systems. We have investigated the design and performance limitations based on development of three different digitisation interfaces for IBA. These were a two-channel nuclear instrumentation module (NIM) ADC event mode interface (EMI) for a high-resolution magnetic RBS spectrometer, a simple headless-multi-channel analyser (MCA) and a combined dual channel headless MCA and EMI. It is shown that SoC μC based interfaces for digitisation of analogue spectroscopy pulses in IBA systems can be implemented for material costs less than 100 €. The performance of the SoC devices for many IBA applications is close to what can be achieved with state-of-the-art instruments. The simple pulse spectroscopy interface circuit and software are included in the auxiliary archive.

  16. Soil organic carbon (SOC) accumulation in rice paddies under long-term agro-ecosystem experiments in southern China - VI. Changes in microbial community structure and respiratory activity

    Science.gov (United States)

    Liu, D.; Liu, X.; Liu, Y.; Li, L.; Pan, G.; Crowley, D.; Tippkötter, R.

    2011-02-01

    Biological stabilization within accumulated soil organic carbon (SOC) has not been well understood, while its role in physical and chemical protection as well as of chemical recalcitrance had been addressed in Chinese rice paddies. In this study, topsoil samples were collected and respiratory activity measured in situ following rice harvest under different fertilization treatments of three long-term experimental sites across southern China in 2009. The SOC contents, microbial biomass carbon (SMBC) and nitrogen (SMBN) were analysed using chemical digestion and microbial community structure assessment via clony dilute plate counting methods. While SOC contents were consistently higher under compound chemical fertilization (Comp-Fert) or combined organic and inorganic fertilization (Comb-Fert) compared to N fertilization only (N-Fert), there was significantly higher fungal-bacterial ratio under Comb-Fert than under N-Fert and Comp-Fert. When subtracting the background effect under no fertilization treatment (Non-Fert), the increase both in SMBC and SMBN under fertilization treatment was found very significantly correlated to the increase in SOC over controls across the sites. Also, the ratio of culturable fungal to bacterial population numbers (F/B ratio) was well correlated with soil organic carbon contents in all samples across the sites studied. SOC accumulation favoured a build-up the microbial community with increasing fungal dominance in the rice paddies under fertilization treatments. While soil respiration rates were high under Comb-Fert as a result of enhanced microbial community build-up, the specific soil respiratory activity based on microbial biomass carbon was found in a significantly negatively correlation with the SOC contents for overall samples. Thus, a fungal-dominated microbial community seemed to slow SOC turnover, thereby favouring SOC accumulation under Comp-Fert or under Comb-Fert in the rice paddies. Therefore, the biological stabilization

  17. Assessing SOC labile fractions through respiration test, density-size fractionation and thermal analysis - A comparison of methods

    Science.gov (United States)

    Soucemarianadin, Laure; Cécillon, Lauric; Chenu, Claire; Baudin, François; Nicolas, Manuel; Savignac, Florence; Barré, Pierre

    2017-04-01

    Soil organic matter (SOM) is the biggest terrestrial carbon reservoir, storing 3 to 4 times more carbon than the atmosphere. However, despite its major importance for climate regulation SOM dynamics remains insufficiently understood. For instance, there is still no widely accepted method to assess SOM lability. Soil respiration tests and particulate organic matter (POM) obtained by different fractionation schemes have been used for decades and are now considered as classical estimates of very labile and labile soil organic carbon (SOC), respectively. But the pertinence of these methods to characterize SOM turnover can be questioned. Moreover, they are very time-consuming and their reproducibility might be an issue. Alternate ways of determining the labile SOC component are thus well-needed. Thermal analyses have been used to characterize SOM among which Rock-Eval 6 (RE6) analysis of soil has shown promising results in the determination of SOM biogeochemical stability (Gregorich et al., 2015; Barré et al., 2016). Using a large set of samples of French forest soils representing contrasted pedoclimatic conditions, including deep samples (up to 1 m depth), we compared different techniques used for SOM lability assessment. We explored whether results from soil respiration test (10-week laboratory incubations), SOM size-density fractionation and RE6 thermal analysis were comparable and how they were correlated. A set of 222 (respiration test and RE6), 103 (SOM fractionation and RE6) and 93 (respiration test, SOM fractionation and RE6) forest soils samples were respectively analyzed and compared. The comparison of the three methods (n = 93) using a principal component analysis separated samples from the surface (0-10 cm) and deep (40-80 cm) layers, highlighting a clear effect of depth on the short-term persistence of SOC. A correlation analysis demonstrated that, for these samples, the two classical methods of labile SOC determination (respiration and SOM fractionation

  18. Evolutionary Game Dynamics in a Fitness-Dependent Wright-Fisher Process with Noise

    International Nuclear Information System (INIS)

    Quan Ji; Wang Xianjia

    2011-01-01

    Evolutionary game dynamics in finite size populations can be described by a fitness-dependent Wright-Fisher process. We consider symmetric 2x2 games in a well-mixed population. In our model, two parameters to describe the level of player's rationality and noise intensity in environment are introduced. In contrast with the fixation probability method that used in a noiseless case, the introducing of the noise intensity parameter makes the process an ergodic Markov process and based on the limit distribution of the process, we can analysis the evolutionary stable strategy (ESS) of the games. We illustrate the effects of the two parameters on the ESS of games using the Prisoner's dilemma games (PDG) and the snowdrift games (SG). We also compare the ESS of our model with that of the replicator dynamics in infinite size populations. The results are determined by simulation experiments. (general)

  19. E-CMIRC - towards a model for the integration of services between SOCs and CSIRTs

    CSIR Research Space (South Africa)

    Jacobs, P

    2015-07-01

    Full Text Available , including governments. While the focus of a SOC is on the monitoring of technical security controls and critical assets, and the response to attacks and threats, CSIRTs’ main focus is on response and incident management. One postulation is that a CSIRT...

  20. An Overview and Comparison of Online Implementable SOC Estimation Methods for Lithium-ion Battery

    DEFF Research Database (Denmark)

    Meng, Jinhao; Ricco, Mattia; Luo, Guangzhao

    2018-01-01

    With the popularity of Electrical Vehicles (EVs), Lithium-ion battery industry is developing rapidly. To ensure the battery safe usage and to reduce its average lifecycle cost, an accurate State of Charge (SOC) tracking algorithms for real-time implementation are required for different applications...

  1. SOCS3 Expression Correlates with Severity of Inflammation, Expression of Proinflammatory Cytokines, and Activation of STAT3 and p38 MAPK in LPS-Induced Inflammation In Vivo

    Directory of Open Access Journals (Sweden)

    João Antônio Chaves de Souza

    2013-01-01

    Full Text Available SOCS3 is an inducible endogenous negative regulator of JAK/STAT pathway, which is relevant in inflammatory conditions. We used a model of LPS-induced periodontal disease in rats to correlate SOCS3 expression with the inflammatory status. In vitro we used a murine macrophage cell line to assess the physical interaction between SOCS3 and STAT3 by coimmunoprecipitation. 30 ug of LPS from Escherichia coli were injected in the gingival tissues on the palatal aspect of first molars of the animals 3x/week for up to 4 weeks. Control animals were injected with the vehicle (PBS. The rats were sacrificed at 7, 15, and 30 days. Inflammation and gene expression were assessed by stereometric analysis, immunohistochemistry, RT-qPCR, and western blot. LPS injections increased inflammation, paralleled by an upregulation of SOCS3, of the proinflammatory cytokines IL-1β, IL-6, and TNF-α and increased phosphorylation of STAT3 and p38 MAPK. SOCS3 expression accompanied the severity of inflammation and the expression of proinflammatory cytokines, as well as the activation status of STAT3 and p38 MAPK. LPS stimulation in a macrophage cell line in vitro induced transient STAT3 activation, which was inversely correlated with a dynamic physical interaction with SOCS3, suggesting that this may be a mechanism for SOCS3 regulatory function.

  2. Functional network analysis of genes differentially expressed during xylogenesis in soc1ful woody Arabidopsis plants.

    Science.gov (United States)

    Davin, Nicolas; Edger, Patrick P; Hefer, Charles A; Mizrachi, Eshchar; Schuetz, Mathias; Smets, Erik; Myburg, Alexander A; Douglas, Carl J; Schranz, Michael E; Lens, Frederic

    2016-06-01

    Many plant genes are known to be involved in the development of cambium and wood, but how the expression and functional interaction of these genes determine the unique biology of wood remains largely unknown. We used the soc1ful loss of function mutant - the woodiest genotype known in the otherwise herbaceous model plant Arabidopsis - to investigate the expression and interactions of genes involved in secondary growth (wood formation). Detailed anatomical observations of the stem in combination with mRNA sequencing were used to assess transcriptome remodeling during xylogenesis in wild-type and woody soc1ful plants. To interpret the transcriptome changes, we constructed functional gene association networks of differentially expressed genes using the STRING database. This analysis revealed functionally enriched gene association hubs that are differentially expressed in herbaceous and woody tissues. In particular, we observed the differential expression of genes related to mechanical stress and jasmonate biosynthesis/signaling during wood formation in soc1ful plants that may be an effect of greater tension within woody tissues. Our results suggest that habit shifts from herbaceous to woody life forms observed in many angiosperm lineages could have evolved convergently by genetic changes that modulate the gene expression and interaction network, and thereby redeploy the conserved wood developmental program. © 2016 The Authors. The Plant Journal published by Society for Experimental Biology and John Wiley & Sons Ltd.

  3. Pope AFB, Fayetteville, North Carolina Revised Uniform Summary of Surface Weather Observations (RUSSWO). Parts A-F.

    Science.gov (United States)

    1984-06-01

    SERVICE/HAC T23030 POPE AFB NC 7[-81111 STAT ION STATION lNA&Ayl MSSgll’ TemN. WIT SULS TEMPERATURE DEPRESSIO (F) TOTAL TOTAL (F) 0 1.2 3 - 5 - 1- 19...STATINASha T PAGE 1 TWET BULB TEMPERATURE DEPRESSIO (F) TOTAL TOTAL * (F) 0 1.2 3-4 3-6 7-8 9.10 11-12113-14 15.16 17-1 19-.20 21.22123-24 25.2627.3 29.n 30 l

  4. Looking at the work of Raul Lino, in comparison with Frank Lloyd Wright: from the Arts & Crafts, the nature, the organic and the house

    Directory of Open Access Journals (Sweden)

    José Manuel Fernandes

    2016-11-01

    Full Text Available El texto hace un análisis comparativo de las obras de Raul Lino (1879-1974, portugués, y Frank Lloyd Wright (1867-1959, de Estados Unidos- dos arquitectos (casi contemporáneos, cada uno exponente de la cultura y la sociedad de su tiempo y de su espacio de vida y de trabajo.El artículo se refiere al contexto histórico y cultural del primer periodo creativo de estos autores. En este contexto trata de las obras de John Ruskin y William Morris, creadores del Arts & Crafts, así como de las características de este movimiento artístico, de las peculiaridades del Deutscher Werkbund y de los trabajos de Sullivan y Berlage. Acerca de Lino y Wright, este artículo presenta la “comprensión del mundo” que tenían: sus temas conceptuales y arquitectónicos, así como los movimientos estéticos y culturales asociados a sus trabajos - “Casa Portuguesa” y “Arquitectura Orgánica”. Se exponen y analizan los “seis principios” de Wright y los “seis principios” de Lino -destacando los aspectos comunes de las concepciones de ambos autores. Se describen y ejemplifican, también, algunos de los temas y materiales arquitectónicos comunes en la primera fase de las obras los arquitectos. Así mismo, se estudia con mayor profundidad el diseño de obras concretas, casas, de su autoría, en sus similitudes y contrastes.

  5. Universal interface on Zynq"® SoC with CAN, RS-232, Ethernet and AXI GPIO for instrumentation & control

    International Nuclear Information System (INIS)

    Kumar, Abhijeet; Rajpal, Rachana; Pujara, Harshad; Mandaliya, Hitesh; Edappala, Praveenalal

    2016-01-01

    Highlights: • We have designed Universal Interface on Zynq"® SoC with CAN, RS-232, Ethernet and AXI GPIO for Instrumentation & Control. This project is based on Zynq"®-7000 family xc7z020clg484-1 chip. • We explored the full design flow starting from the hardware development in Vivado to software development in SDK using APIs in C language and then interfacing the host application developed in LabVIEW. • We also explored how to make custom IP with AXI bus interface in Vivado. • Useful for those who wants to make custom hardware on Zynq"® SoC. - Abstract: This paper describes an application developed on the latest Zynq"®-7000 All Programmable SoC (AP SoC) [1] devices which integrate the software programmability of an ARM"®-based processor with the hardware programmability of an FPGA, on a single device. In this paper we have implemented application which uses various interfaces like CAN, RS-232, Ethernet and AXI GPIO, so that our host application running on PC in LabVIEW can communicates with any hardware which has at least any one of the available interface. Zynq-7000 All Programmable SoCs (System On Chip) infuse customizable intelligence into today’s embedded systems to suit your unique application requirements. This family of FPGA is meant for high end application because it has huge resources on single chip. It offers you to make your own custom hardware IP, in fact we have made our custom IP called myIP in our design. The beauty of this chip is that it can write drivers for your custom IP which has AXI bus layer attached. After exporting the hardware information to the Software Development Kit (SDK), the tool is able to write drivers for your custom IP. This simplifies your development to a great extent. In a way this application provides the universal interfacing option to user. User can also write the digital data on the GPIO (General Purpose Input Output) through LabVIEW Test application GUI. This project can be used for remote control and

  6. PL-1 program system for generalized Patterson superpositions. [PL1GEN, SYMPL1, and ALSPL1, in PL/1 for IBM 360/65 computer

    Energy Technology Data Exchange (ETDEWEB)

    Hubbard, C.R.; Babich, M.W.; Jacobson, R.A.

    1977-01-01

    A new system of three programs written in PL/1 can calculate symmetry and Patterson superposition maps for triclinic, monoclinic, and orthorhombic space groups as well as any space group reducible to one of these three. These programs are based on a system of FORTRAN programs developed at Ames Laboratory, but are more general and have expanded utility, especially with regard to large unit cells. The program PLIGEN calculates a direct access data set, SYMPL1 calculates a direct access symmetry map, and ALSPL1 calculates a superposition map using one or multiple superpositions. A detailed description of the use of these programs including symbolic program listings is included. 2 tables.

  7. Proposed Expansion of German Air Force Operations at Holloman AFB, New Mexico. Final Environmental Impact Statement. Volume III: Comment Letters and Responses to Comments

    National Research Council Canada - National Science Library

    1998-01-01

    The Final Environmental Impact Statement analyzed the potential environmental consequences from the proposal to beddown 30 additional German Air Force Tornado aircraft and 640 personnel at Holloman Air Force Base (AFB) New Mexico...

  8. The flowering of pathology as a medical discipline in Boston, 1892-c.1950: W.T. Councilman, FB Mallory, JH Wright, SB Wolbach and their descendants.

    Science.gov (United States)

    Louis, David N; O'Brien, Michael J; Young, Robert H

    2016-09-01

    During most of the nineteenth century, the discipline of pathology in Boston made substantial strides as a result of physicians and surgeons who practiced pathology on a part-time basis. The present essay tells the subsequent story, beginning in 1892, when full-time pathologists begin to staff the medical schools and hospitals of Boston. Three individuals from this era deserve special mention: William T Councilman, Frank Burr Mallory and James Homer Wright, with Councilman remembered primarily as a visionary and teacher, Mallory as a trainer of many pathologists, and Wright as a scientist. Together with S Burt Wolbach in the early-to-mid-twentieth century, these pathologists went on to train the next generation of pathologists-a generation that then populated the various hospitals that were developed in Boston in the early 1900s. This group of seminal pathologists in turn formed the diagnostically strong, academically productive, pathology departments that grew in Boston over the remainder of the twentieth century.

  9. The Wright Institute Sanctuary Project: Development and Proposed Evaluation of a Graduate Training Program Providing Clinical Services to Asylum Seekers in the Bay Area

    Science.gov (United States)

    Padilla, Brenda Lisa

    2012-01-01

    This study highlights the development of a graduate training program at The Wright Institute in Berkeley, CA, which provides assessment services for undocumented immigrants seeking asylum. This program focuses on the needs of a general asylum seeking population, with a specific relevance to some of the populations that may be served in the…

  10. Transient receptor potential ion channel Trpm7 regulates exocrine pancreatic epithelial proliferation by Mg2+-sensitive Socs3a signaling in development and cancer

    Directory of Open Access Journals (Sweden)

    Nelson S. Yee

    2011-03-01

    Genetic analysis of pancreatic development has provided new insights into the mechanisms underlying the formation of exocrine pancreatic neoplasia. Zebrafish sweetbread (swd mutants develop hypoplastic acini and dysmorphic ducts in the exocrine pancreas, with impeded progression of cell division cycle and of epithelial growth. Positional cloning and allelic complementation have revealed that the swd mutations affect the transient receptor potential melastatin-subfamily member 7 (trpm7 gene, which encodes a divalent cation-permeable channel with kinase activity. Supplementary Mg2+ partially rescued the exocrine pancreatic defects of the trpm7 mutants by improving cell-cycle progression and growth and repressing the suppressor of cytokine signaling 3a (socs3a gene. The role of Socs3a in Trpm7-mediated signaling is supported by the findings that socs3a mRNA level is elevated in the trpm7 mutants, and antisense inhibition of socs3a expression improved their exocrine pancreatic growth. TRPM7 is generally overexpressed in human pancreatic adenocarcinoma. TRPM7-deficient cells are impaired in proliferation and arrested in the G0-G1 phases of the cell division cycle. Supplementary Mg2+ rescued the proliferative defect of the TRPM7-deficient cells. Results of this study indicate that Trpm7 regulates exocrine pancreatic development via the Mg2+-sensitive Socs3a pathway, and suggest that aberrant TRPM7-mediated signaling contributes to pancreatic carcinogenesis.

  11. SoC Design Approach Using Convertibility Verification

    Directory of Open Access Journals (Sweden)

    Basu Samik

    2008-01-01

    Full Text Available Abstract Compositional design of systems on chip from preverified components helps to achieve shorter design cycles and time to market. However, the design process is affected by the issue of protocol mismatches, where two components fail to communicate with each other due to protocol differences. Convertibility verification, which involves the automatic generation of a converter to facilitate communication between two mismatched components, is a collection of techniques to address protocol mismatches. We present an approach to convertibility verification using module checking. We use Kripke structures to represent protocols and the temporal logic to describe desired system behavior. A tableau-based converter generation algorithm is presented which is shown to be sound and complete. We have developed a prototype implementation of the proposed algorithm and have used it to verify that it can handle many classical protocol mismatch problems along with SoC problems. The initial idea for -based convertibility verification was presented at SLA++P '07 as presented in the work by Roopak Sinha et al. 2008.

  12. Measurement of the complete core plasma flow across the LOC-SOC transition at ASDEX Upgrade

    Science.gov (United States)

    Lebschy, A.; McDermott, R. M.; Angioni, C.; Geiger, B.; Prisiazhniuk, D.; Cavedon, M.; Conway, G. D.; Dux, R.; Dunne, M. G.; Kappatou, A.; Pütterich, T.; Stroth, U.; Viezzer, E.; the ASDEX Upgrade Team

    2018-02-01

    A newly installed core charge exchange recombination spectroscopy (CXRS) diagnostic at ASDEX Upgrade (AUG) enables the evaluation of the core poloidal rotation (upol ) through the inboard-outboard asymmetry of the toroidal rotation with an accuracy of 0.5 to 1 km s-1 . Using this technique, the total plasma flow has been measured in Ohmic L-mode plasmas across the transition from the linear to saturated ohmic confinement (LOC-SOC) regimes. The core poloidal rotation of the plasma around mid-radius is found to be always in the ion diamagnetic direction, in disagreement with neoclassical (NC) predictions. The edge rotation is found to be electron-directed and consistent with NC codes. This measurement provides as well the missing ingredient to evaluate the core E×B velocity (uE×B ) from data only, which can then be compared to measurements of the perpendicular velocity of the turbulent fluctuations (u\\perp ) to gain information on the turbulent phase velocity (vph ). The non neoclassical upol from CXRS leads to good agreement between uE×B and u\\perp indicating that vph is small and at similar values as found with gyrokinetic simulations. Moreover, the data shows a shift of vph in the ion-diamagnetic direction at the edge after the transition from LOC to SOC consistent with a change in the dominant turbulence regime. The upgrade of the core CXRS system provides as well a deeper insight into the intrinsic rotation. This paper shows that the reversal of the core toroidal rotation occurs clearly after the LOC-SOC transition and concomitant with the peaking of the electron density.

  13. Universal interface on Zynq{sup ®} SoC with CAN, RS-232, Ethernet and AXI GPIO for instrumentation & control

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Abhijeet, E-mail: akumar@ipr.res.in; Rajpal, Rachana; Pujara, Harshad; Mandaliya, Hitesh; Edappala, Praveenalal

    2016-11-15

    Highlights: • We have designed Universal Interface on Zynq{sup ®} SoC with CAN, RS-232, Ethernet and AXI GPIO for Instrumentation & Control. This project is based on Zynq{sup ®}-7000 family xc7z020clg484-1 chip. • We explored the full design flow starting from the hardware development in Vivado to software development in SDK using APIs in C language and then interfacing the host application developed in LabVIEW. • We also explored how to make custom IP with AXI bus interface in Vivado. • Useful for those who wants to make custom hardware on Zynq{sup ®} SoC. - Abstract: This paper describes an application developed on the latest Zynq{sup ®}-7000 All Programmable SoC (AP SoC) [1] devices which integrate the software programmability of an ARM{sup ®}-based processor with the hardware programmability of an FPGA, on a single device. In this paper we have implemented application which uses various interfaces like CAN, RS-232, Ethernet and AXI GPIO, so that our host application running on PC in LabVIEW can communicates with any hardware which has at least any one of the available interface. Zynq-7000 All Programmable SoCs (System On Chip) infuse customizable intelligence into today’s embedded systems to suit your unique application requirements. This family of FPGA is meant for high end application because it has huge resources on single chip. It offers you to make your own custom hardware IP, in fact we have made our custom IP called myIP in our design. The beauty of this chip is that it can write drivers for your custom IP which has AXI bus layer attached. After exporting the hardware information to the Software Development Kit (SDK), the tool is able to write drivers for your custom IP. This simplifies your development to a great extent. In a way this application provides the universal interfacing option to user. User can also write the digital data on the GPIO (General Purpose Input Output) through LabVIEW Test application GUI. This project can be used

  14. Natural hybridization between Vanilla claviculata (W. Wright) Sw. and V. barbellata Rchb.f. (Orchidaceae): genetic, morphological, and pollination experimental data

    DEFF Research Database (Denmark)

    NIELSEN, LENE ROSTGAARD

    2000-01-01

    Vanilla claviculata (W. Wright) Sw. and V. barbellata Rchb.f. grow sympatrically in the southwestern part of herto Rico. At three localities in the range of the two species, individuals with flowers that appeared different from previously known species were found. To test whether these were hybrids...... the hypothesis that the individuals are hybrids, which is further augmented by interspecific pollination experiments between the putative parental species. This is the first case of natural hybridization reported in the genus Vanilla....

  15. Use of 'sense of coherence (SOC)' scale to measure resilience in Eritrea: interrogating both the data and the scale.

    Science.gov (United States)

    Almedom, Astier M; Tesfamichael, Berhe; Saeed Mohammed, Zein; Mascie-Taylor, C G N; Alemu, Zemui

    2007-01-01

    An adapted 'sense of coherence' scale short form (SOC-13) was administered in nine languages of Eritrea with a total of 265 participants (162 women and 103 men) in order to assess 'resilience' in quantitative terms. Statistical analysis yielded significant differences in SOC scores between the displaced and non-displaced: mean=54.84 (SD=6.48) in internally displaced person (IDP) camps, compared with mean=48.94 (SD=11.99) in urban and rural settlements (t=3.831, purban (non-displaced) residents. Those in rural but traditionally mobile (pastoralist or transhumant) communities scored more or less the same as the urban non-displaced - i.e. significantly higher than those in IDP camps (purban and pastoralist/transhumant groups are similar, while women in IDP camps are lower scoring (RR=0.268, p<0.001), Hamboka women being worst affected due to their experience of serial displacement. These findings are interpreted and discussed in the light of qualitative information gleaned from the study participants' interrogation of the content of the SOC scale; and in the wider context of historical, socio-political and cultural characteristics of Eritrea. The study's implications for humanitarian and public health policy are considered.

  16. Energy efficient HPC on embedded SoCs : optimization techniques for mali GPU

    OpenAIRE

    Grasso, Ivan; Radojkovic, Petar; Rajovic, Nikola; Gelado Fernandez, Isaac; Ramírez Bellido, Alejandro

    2014-01-01

    A lot of effort from academia and industry has been invested in exploring the suitability of low-power embedded technologies for HPC. Although state-of-the-art embedded systems-on-chip (SoCs) inherently contain GPUs that could be used for HPC, their performance and energy capabilities have never been evaluated. Two reasons contribute to the above. Primarily, embedded GPUs until now, have not supported 64-bit floating point arithmetic - a requirement for HPC. Secondly, embedded GPUs did not pr...

  17. An improved PNGV modeling and SOC estimation for lithium iron phosphate batteries

    Science.gov (United States)

    Li, Peng

    2017-11-01

    Because lithium iron phosphate battery has many advantages, it has been used more and more widely in the field of electric vehicle. The lithium iron phosphate battery, presents the improved PNGV model, and the batteries charge discharge characteristics and pulse charge discharge experiments, identification of parameters of the battery model by interpolation and least square fitting method, to achieve a more accurate modeling of lithium iron phosphate battery, and the extended Calman filter algorithm (EKF) is completed state nuclear power battery (SOC) estimate.

  18. Nonlocal collisionless and collisional electron transport in low temperature plasmas

    Science.gov (United States)

    Kaganovich, Igor

    2009-10-01

    The purpose of the talk is to describe recent advances in nonlocal electron kinetics in low-pressure plasmas. A distinctive property of partially ionized plasmas is that such plasmas are always in a non-equilibrium state: the electrons are not in thermal equilibrium with the neutral species and ions, and the electrons are also not in thermodynamic equilibrium within their own ensemble, which results in a significant departure of the electron velocity distribution function from a Maxwellian. These non-equilibrium conditions provide considerable freedom to choose optimal plasma parameters for applications, which make gas discharge plasmas remarkable tools for a variety of plasma applications, including plasma processing, discharge lighting, plasma propulsion, particle beam sources, and nanotechnology. Typical phenomena in such discharges include nonlocal electron kinetics, nonlocal electrodynamics with collisionless electron heating, and nonlinear processes in the sheaths and in the bounded plasmas. Significant progress in understanding the interaction of electromagnetic fields with real bounded plasma created by this field and the resulting changes in the structure of the applied electromagnetic field has been one of the major achievements of the last decade in this area of research [1-3]. We show on specific examples that this progress was made possible by synergy between full scale particle-in-cell simulations, analytical models, and experiments. In collaboration with Y. Raitses, A.V. Khrabrov, Princeton Plasma Physics Laboratory, Princeton, NJ, USA; V.I. Demidov, UES, Inc., 4401 Dayton-Xenia Rd., Beavercreek, OH 45322, USA and AFRL, Wright-Patterson AFB, OH 45433, USA; and D. Sydorenko, University of Alberta, Edmonton, Canada. [4pt] [1] D. Sydorenko, A. Smolyakov, I. Kaganovich, and Y. Raitses, IEEE Trans. Plasma Science 34, 895 (2006); Phys. Plasmas 13, 014501 (2006); 14 013508 (2007); 15, 053506 (2008). [0pt] [2] I. D. Kaganovich, Y. Raitses, D. Sydorenko, and

  19. Factors which contributed for low quality sputum smears for the detection of acid fast bacilli (AFB) at selected health centers in Ethiopia: A quality control perspective.

    Science.gov (United States)

    Mekonen, Ayehu; Ayele, Yeshi; Berhan, Yifru; Woldeyohannes, Desalegn; Erku, Woldaregay; Sisay, Solomon

    2018-01-01

    Quality of tuberculosis (TB) microscopy diagnosis is not a guarantee despite implementation of External Quality Assurance (EQA) service in all laboratories of health facilities. Hence, we aimed at evaluating the technical quality and the findings of sputum smear microscopy for acid fast bacilli (AFB) at health centers in Hararge Zone, Oromia Region, Ethiopia. A cross-sectional study was carried out between July 8, 2014 and July 7, 2015.A pre-tested structured questionnaire was used to collect data. Lot Quality Assurance Sampling (LQAS) method was put into practice for collecting all necessary sample slides. Data were analyzed by using SPSS (Statistical Package for Social Sciences) version 20 software. P-value quality, smear size, smear thickness, staining and evenness were indicated in 40 (72.7%), 39 (70.9%), 37 (67.3%), 27(49.1%) and 37 (67.3%) of the collected samples, respectively. False negative AFB findings were significantly associated with lack of Internal Quality Control (IQC) measures (AOR (Adjusted Odds Ratio): 2.90 (95% CI (Confidence Interval): 1.25,6.75) and poor staining procedures (AOR: 2.16(95% CI: 1.01, 5.11). The qualities of AFB smear microscopy reading and smearing were low in most of the laboratories of the health centers. Therefore, it is essential to strength EQA program through building the capacity of laboratory professionals.

  20. Approche complète de développement des IPs pour les SoCs A ...

    African Journals Online (AJOL)

    CoFluent and Quartus II tools have helped us to get the different models. ... Key words : IPs – SoC - Design and Reuse – UML – System C ... nouvelles techniques de conception doivent- .... obtenir un prototype fabriqué et testé. ..... Information Technology and Control, ... A new logic circuit design methodology with UMLl.

  1. Early secreted antigen ESAT-6 of Mycobacterium Tuberculosis promotes apoptosis of macrophages via targeting the microRNA155-SOCS1 interaction.

    Science.gov (United States)

    Yang, Shaojun; Li, Fake; Jia, Shuangrong; Zhang, Kejun; Jiang, Wenbing; Shang, Ya; Chang, Kai; Deng, Shaoli; Chen, Ming

    2015-01-01

    The early secreted antigenic target 6-kDa protein (ESAT-6) of Mycobacterium tuberculosis (Mtb) not only acts as a key player for virulence but also exhibits a strong immunotherapeutic potential against Mtb. However, little is known about the molecular basis for its potential in immunotherapy. The present study was designed to unravel the role of miRNA-155 in ESAT-6-mediated enhancement of host immunity and apoptosis in macrophages. Lentivirus-mediated miR-155 sponge and miR-155 and SOCS1 overexpression vectors were developed in macrophages. TLR2- or p65-specific siRNA knockdown was employed to silence TLR2 or p65. Quantitative polymerase chain reaction and western blotting analyses were performed to determine mRNA and protein expression levels, respectively. Macrophage apoptosis was analyzed by flow cytometry. ESAT-6 significantly increased miR-155 expression, which was dependent on TLR2/NF-κB activation in macrophages. Induced expression of miRNA-155 was required for the ESAT-6-mediated protective immune response and macrophage apoptosis. ESAT-6 promoted macrophage apoptosis by targeting the miR-155-SOCS1 pathway. The differential expression levels of TLR2, BIC, and SOCS1 were involved in regulating the immune response in human peripheral blood mononuclear cells of patients with active tuberculosis (TB) and latent TB (LTB). ESAT-6 promotes apoptosis of macrophages via targeting the miRNA155-SOCS1 interaction. © 2015 S. Karger AG, Basel.

  2. Early Secreted Antigen ESAT-6 of Mycobacterium Tuberculosis Promotes Apoptosis of Macrophages via Targeting the MicroRNA155-SOCS1 Interaction

    Directory of Open Access Journals (Sweden)

    Shaojun Yang

    2015-02-01

    Full Text Available Background: The early secreted antigenic target 6-kDa protein (ESAT-6 of Mycobacterium tuberculosis (Mtb not only acts as a key player for virulence but also exhibits a strong immunotherapeutic potential against Mtb. However, little is known about the molecular basis for its potential in immunotherapy. The present study was designed to unravel the role of miRNA-155 in ESAT-6-mediated enhancement of host immunity and apoptosis in macrophages. Methods: Lentivirus-mediated miR-155 sponge and miR-155 and SOCS1 overexpression vectors were developed in macrophages. TLR2- or p65-specific siRNA knockdown was employed to silence TLR2 or p65. Quantitative polymerase chain reaction and western blotting analyses were performed to determine mRNA and protein expression levels, respectively. Macrophage apoptosis was analyzed by flow cytometry. Results: ESAT-6 significantly increased miR-155 expression, which was dependent on TLR2/NF-κB activation in macrophages. Induced expression of miRNA-155 was required for the ESAT-6-mediated protective immune response and macrophage apoptosis. ESAT-6 promoted macrophage apoptosis by targeting the miR-155-SOCS1 pathway. The differential expression levels of TLR2, BIC, and SOCS1 were involved in regulating the immune response in human peripheral blood mononuclear cells of patients with active tuberculosis (TB and latent TB (LTB. Conclusion: ESAT-6 promotes apoptosis of macrophages via targeting the miRNA155-SOCS1 interaction.

  3. Clock generators for SOC processors circuits and architectures

    CERN Document Server

    Fahim, Amr

    2004-01-01

    This book explores the design of fully-integrated frequency synthesizers suitable for system-on-a-chip (SOC) processors. The text takes a more global design perspective in jointly examining the design space at the circuit level as well as at the architectural level. The comprehensive coverage includes summary chapters on circuit theory as well as feedback control theory relevant to the operation of phase locked loops (PLLs). On the circuit level, the discussion includes low-voltage analog design in deep submicron digital CMOS processes, effects of supply noise, substrate noise, as well device noise. On the architectural level, the discussion includes PLL analysis using continuous-time as well as discrete-time models, linear and nonlinear effects of PLL performance, and detailed analysis of locking behavior. The book provides numerous real world applications, as well as practical rules-of-thumb for modern designers to use at the system, architectural, as well as the circuit level.

  4. Angiotensin II (AngII) induces the expression of suppressor of cytokine signaling (SOCS)-3 in rat hypothalamus - a mechanism for desensitization of AngII signaling.

    Science.gov (United States)

    Torsoni, Márcio A; Carvalheira, José B; Calegari, Vivian C; Bezerra, Rosangela M N; Saad, Mário J A; Gontijo, José A; Velloso, Lício A

    2004-04-01

    Angiotensin II exerts a potent dypsogenic stimulus on the hypothalamus, which contributes to its centrally mediated participation in the control of water balance and blood pressure. Repetitive intracerebroventricular (i.c.v.) injections of angiotensin II lead to a loss of effect characterized as physiological desensitization to the peptide's action. In the present study, we demonstrate that angiotensin II induces the expression of suppressor of cytokine signaling (SOCS)-3 via angiotensin receptor 1 (AT1) and JAK-2, mostly located at the median preoptic lateral and anterodorsal preoptic nuclei. SOCS-3 produces an inhibitory effect upon the signal transduction pathways of several cytokines and hormones that employ members of the JAK/STAT families as intermediaries. The partial inhibition of SOCS-3 translation by antisense oligonucleotide was sufficient to significantly reduce the refractoriness of repetitive i.c.v. angiotensin II injections, as evaluated by water ingestion. Thus, by acting through AT1 on the hypothalamus, angiotensin II induces the expression of SOCS-3 which, in turn, blocks further activation of the pathway and consequently leads to desensitization to angiotensin II stimuli concerning its dypsogenic effect.

  5. An ESL Approach for Energy Consumption Analysis of Cache Memories in SoC Platforms

    Directory of Open Access Journals (Sweden)

    Abel G. Silva-Filho

    2011-01-01

    Full Text Available The design of complex circuits as SoCs presents two great challenges to designers. One is the speeding up of system functionality modeling and the second is the implementation of the system in an architecture that meets performance and power consumption requirements. Thus, developing new high-level specification mechanisms for the reduction of the design effort with automatic architecture exploration is a necessity. This paper proposes an Electronic-System-Level (ESL approach for system modeling and cache energy consumption analysis of SoCs called PCacheEnergyAnalyzer. It uses as entry a high-level UML-2.0 profile model of the system and it generates a simulation model of a multicore platform that can be analyzed for cache tuning. PCacheEnergyAnalyzer performs static/dynamic energy consumption analysis of caches on platforms that may have different processors. Architecture exploration is achieved by letting designers choose different processors for platform generation and different mechanisms for cache optimization. PCacheEnergyAnalyzer has been validated with several applications of Mibench, Mediabench, and PowerStone benchmarks, and results show that it provides analysis with reduced simulation effort.

  6. Insulin resistance, adipokine profile and hepatic expression of SOCS-3 gene in chronic hepatitis C.

    Science.gov (United States)

    Wójcik, Kamila; Jabłonowska, Elżbieta; Omulecka, Aleksandra; Piekarska, Anna

    2014-08-14

    To analyze adipokine concentrations, insulin resistance and hepatic expression of suppressor of cytokine signaling 3 (SOCS-3) in patients with chronic hepatitis C genotype 1 with normal body weight, glucose and lipid profile. The study group consisted of 31 patients with chronic hepatitis C and 9 healthy subjects. Total levels of adiponectin, leptin, resistin, visfatin, omentin, osteopontin and insulin were measured using an ELISA kit. The hepatic expression of SOCS-3 was determined by the use of the reverse transcription polymerase chain reaction method. Homeostasis model assessment for insulin resistance (HOMA-IR) values were significantly higher in hepatitis C virus (HCV) infected patients without metabolic disorders compared to healthy controls (2.24 vs 0.59, P = 0.0003). Hepatic steatosis was observed in 32.2% of patients with HCV infection and was found in patients with increased HOMA-IR index (2.81 vs 1.99, P = 0.05) and reduced adiponectin level (5.96 vs 8.37, P = 0.04). Inflammatory activity (G ≥ 2) was related to increased osteopontin concentration (34.04 vs 23.35, P = 0.03). Advanced liver fibrosis (S ≥ 2) was associated with increased levels of omentin and osteopontin (436.94 vs 360.09, P = 0.03 and 32.84 vs 20.29, P = 0.03) and reduced resistin concentration (1.40 vs 1.74, P = 0.047). No correlations were reported between adipokine profile, HOMA-IR values and hepatic expression of the SOCS-3 gene. We speculated that no relationship between adipokines and HOMA-IR values may indicate that HCV can induce insulin resistance itself. Some adipokines appear to be biochemical markers of steatosis, inflammation and fibrosis in patients with chronic HCV infection. © 2014 Baishideng Publishing Group Inc. All rights reserved.

  7. Community Readiness Within Systems of Care: The Validity and Reliability of the System of Care Readiness and Implementation Measurement Scale (SOC-RIMS).

    Science.gov (United States)

    Rosas, Scott R; Behar, Lenore B; Hydaker, William M

    2016-01-01

    Establishing a system of care requires communities to identify ways to successfully implement strategies and support positive outcomes for children and their families. Such community transformation is complex and communities vary in terms of their readiness for implementing sustainable community interventions. Assessing community readiness and guiding implementation, specifically for the funded communities implementing a system of care, requires a well-designed tool with sound psychometric properties. This scale development study used the results of a previously published concept mapping study to create, administer, and assess the psychometric characteristics of the System of Care Readiness and Implementation Measurement Scale (SOC-RIMS). The results indicate the SOC-RIMS possesses excellent internal consistency characteristics, measures clearly discernible dimensions of community readiness, and demonstrates the target constructs exist within a broad network of content. The SOC-RIMS can be a useful part of a comprehensive assessment in communities where system of care practices, principles, and philosophies are implemented and evaluated.

  8. Digital approach for the design of statistical analog data acquisition on SoCs

    OpenAIRE

    Adao Antonio de Souza Junior

    2005-01-01

    With the current demand for mixed-signal SoCs, an increasing number of designers are looking for ADC architectures that can be easily implemented over digital substrates. Since ADC performance is strongly dependent upon physical and electrical features, it gets more difficult for them to benefit from more recent technologies, where these features are more variable. This way, analog signal acquisition is not allowed to follow an evolutionary trend compatible with Moore’s Law. In fact, such tre...

  9. The pea branching RMS2 gene encodes the PsAFB4/5 auxin receptor and is involved in an auxin-strigolactone regulation loop.

    Science.gov (United States)

    Ligerot, Yasmine; de Saint Germain, Alexandre; Waldie, Tanya; Troadec, Christelle; Citerne, Sylvie; Kadakia, Nikita; Pillot, Jean-Paul; Prigge, Michael; Aubert, Grégoire; Bendahmane, Abdelhafid; Leyser, Ottoline; Estelle, Mark; Debellé, Frédéric; Rameau, Catherine

    2017-12-01

    Strigolactones (SLs) are well known for their role in repressing shoot branching. In pea, increased transcript levels of SL biosynthesis genes are observed in stems of highly branched SL deficient (ramosus1 (rms1) and rms5) and SL response (rms3 and rms4) mutants indicative of negative feedback control. In contrast, the highly branched rms2 mutant has reduced transcript levels of SL biosynthesis genes. Grafting studies and hormone quantification led to a model where RMS2 mediates a shoot-to-root feedback signal that regulates both SL biosynthesis gene transcript levels and xylem sap levels of cytokinin exported from roots. Here we cloned RMS2 using synteny with Medicago truncatula and demonstrated that it encodes a putative auxin receptor of the AFB4/5 clade. Phenotypes similar to rms2 were found in Arabidopsis afb4/5 mutants, including increased shoot branching, low expression of SL biosynthesis genes and high auxin levels in stems. Moreover, afb4/5 and rms2 display a specific resistance to the herbicide picloram. Yeast-two-hybrid experiments supported the hypothesis that the RMS2 protein functions as an auxin receptor. SL root feeding using hydroponics repressed auxin levels in stems and down-regulated transcript levels of auxin biosynthesis genes within one hour. This auxin down-regulation was also observed in plants treated with the polar auxin transport inhibitor NPA. Together these data suggest a homeostatic feedback loop in which auxin up-regulates SL synthesis in an RMS2-dependent manner and SL down-regulates auxin synthesis in an RMS3 and RMS4-dependent manner.

  10. Comments on "Precise model measurements versus theoretical prediction of barrier insertion loss in presence of the ground" [J. Acoust. Soc. Am. 73, 44–54 (1983)

    DEFF Research Database (Denmark)

    Rasmussen, Karsten Bo

    1983-01-01

    Some of the theoretical curves in the article by J. Nicolas et al. [J. Acoust. soc. Am. 73, 44–54 (1983)] appear to be erroneous.......Some of the theoretical curves in the article by J. Nicolas et al. [J. Acoust. soc. Am. 73, 44–54 (1983)] appear to be erroneous....

  11. Reduced expression of TAC1, PENK and SOCS2 in Hcrtr-2 mutated narcoleptic dog brain

    Directory of Open Access Journals (Sweden)

    Mignot Emmanuel

    2007-05-01

    Full Text Available Abstract Background Narcolepsy causes dramatic behavioral alterations in both humans and dogs, with excessive sleepiness and cataplexy triggered by emotional stimuli. Deficiencies in the hypocretin system are well established as the origin of the condition; both from studies in humans who lack the hypocretin ligand (HCRT and in dogs with a mutation in hypocretin receptor 2 (HCRTR2. However, little is known about molecular alterations downstream of the hypocretin signals. Results By using microarray technology we have screened the expression of 29760 genes in the brains of Doberman dogs with a heritable form of narcolepsy (homozygous for the canarc-1 [HCRTR-2-2] mutation, and their unaffected heterozygous siblings. We identified two neuropeptide precursor molecules, Tachykinin precursor 1 (TAC1 and Proenkephalin (PENK, that together with Suppressor of cytokine signaling 2 (SOCS2, showed reduced expression in narcoleptic brains. The difference was particularly pronounced in the amygdala, where mRNA levels of PENK were 6.2 fold lower in narcoleptic dogs than in heterozygous siblings, and TAC1 and SOCS2 showed 4.4 fold and 2.8 fold decrease in expression, respectively. The results obtained from microarray experiments were confirmed by real-time RT-PCR. Interestingly, it was previously shown that a single dose of amphetamine-like stimulants able to increase wakefulness in the dogs, also produce an increase in the expression of both TAC1 and PENK in mice. Conclusion These results suggest that TAC1, PENK and SOCS2 might be intimately connected with the excessive daytime sleepiness not only in dogs, but also in other species, possibly including humans.

  12. Effects of nonlinear error correction of measurements obtained by peak flowmeter using the Wright scale to assess asthma attack severity in children

    Directory of Open Access Journals (Sweden)

    Stamatović Dragana

    2007-01-01

    Full Text Available Introduction: Monitoring of peak expiratory flow (PEF is recommended in numerous guidelines for management of asthma. Improvements in calibration methods have demonstrated the inaccuracy of original Wright scale of peak flowmeter. A new standard, EN 13826 that was applied to peak flowmeter was adopted on 1st September 2004 by some European countries. Correction of PEF readings obtained with old type devices for measurement is possible by Dr M. Miller’s original predictive equation. Objective. Assessment of PEF correction effect on the interpretation of measurement results and management decisions. Method. In children with intermittent (35 or stable persistent asthma (75 aged 6-16 years, there were performed 8393 measurements of PEF by Vitalograph normal-range peak flowmeter with traditional Wright scale. Readings were expressed as percentage of individual best values (PB before and after correction. The effect of correction was analyzed based on The British Thoracic Society guidelines for asthma attack treatment. Results. In general, correction reduced the values of PEF (p<0.01. The highest mean percentage error (20.70% in the measured values was found in the subgroup in which PB ranged between 250 and 350 l/min. Nevertheless, the interpretation of PEF after the correction in this subgroup changed in only 2.41% of measurements. The lowest mean percentage error (15.72%, and, at the same time, the highest effect of correction on measurement results interpretation (in 22.65% readings were in children with PB above 450 l/min. In 73 (66.37% subjects, the correction changed the clinical interpretation of some values of PEF after correction. In 13 (11.8% patients, some corrected values indicated the absence or a milder degree of airflow obstruction. In 27 (24.54% children, more than 10%, and in 12 (10.93%, more than 20% of the corrected readings indicated a severe degree of asthma exacerbation that needed more aggressive treatment. Conclusion

  13. An acceleration system for Laplacian image fusion based on SoC

    Science.gov (United States)

    Gao, Liwen; Zhao, Hongtu; Qu, Xiujie; Wei, Tianbo; Du, Peng

    2018-04-01

    Based on the analysis of Laplacian image fusion algorithm, this paper proposes a partial pipelining and modular processing architecture, and a SoC based acceleration system is implemented accordingly. Full pipelining method is used for the design of each module, and modules in series form the partial pipelining with unified data formation, which is easy for management and reuse. Integrated with ARM processor, DMA and embedded bare-mental program, this system achieves 4 layers of Laplacian pyramid on the Zynq-7000 board. Experiments show that, with small resources consumption, a couple of 256×256 images can be fused within 1ms, maintaining a fine fusion effect at the same time.

  14. 3D Embedded Reconfigurable SoC for Expediting Magnetometric Space Missions

    Science.gov (United States)

    Dekoulis, George

    2016-07-01

    This paper describes the development of a state-of-the-art three-dimensional embedded reconfigurable System-on-Chip (SoC) for accelerating the design of future magnetometric space missions. This involves measurements of planetary magnetic fields or measurements of heliospheric physics events' signatures superimposed on the aggregate measurements of the stronger planetary fields. The functionality of the embedded core is fully customizable, therefore, its operation is independent of the magnetic sensor being used. Standard calibration procedures still apply for setting the magnetometer measurements to the desired initial state and removing any seriatim interference inferred by the adjacent environment. The system acts as a pathfinder for future high-resolution heliospheric space missions.

  15. Study of Reversible Logic Synthesis with Application in SOC: A Review

    Science.gov (United States)

    Sharma, Chinmay; Pahuja, Hitesh; Dadhwal, Mandeep; Singh, Balwinder

    2017-08-01

    The prime concern in today’s SOC designs is the power dissipation which increases with technology scaling. The reversible logic possesses very high potential in reducing power dissipation in these designs. It finds its application in latest research fields such as DNA computing, quantum computing, ultra-low power CMOS design and nanotechnology. The reversible circuits can be easily designed using the conventional CMOS technology at a cost of a garbage output which maintains the reversibility. The purpose of this paper is to provide an overview of the developments that have occurred till date in this concept and how the new reversible logic gates are used to design the logic functions.

  16. Protein Interaction Screening for the Ankyrin Repeats and Suppressor of Cytokine Signaling (SOCS) Box (ASB) Family Identify Asb11 as a Novel Endoplasmic Reticulum Resident Ubiquitin Ligase

    DEFF Research Database (Denmark)

    Andresen, Christina Aaen; Smedegaard, Stine; Sylvestersen, Kathrine Beck

    2014-01-01

    The Ankyrin and SOCS (Suppressor of Cytokine Signaling) box (ASB) family of proteins function as the substrate recognition subunit in a subset of Elongin-Cullin-SOCS (ECS) E3 ubiquitin ligases. Despite counting with 18 members in humans, the identity of the physiological targets of the Asb protei...

  17. Observation of self-organized criticality (SOC) behavior during edge biasing experiment on TEXTOR

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.H.; Jachmich, S.; Weynants, R.R. [Ecole Royale Militaire/Koninklijke Militaire School, Laboratory for Plasma Physics, Euratom-Belgian State Association, Brussels, Belgium, Partner in the Trilateral Euregio Cluster (Belgium)

    2004-07-01

    The self-organized criticality (SOC) behavior of the edge plasma transport has been investigated using the fluctuation data measured in the plasma edge and the scrape-off layer of TEXTOR tokamak before and during the edge electrode biasing experiments. In the 'non-shear' discharge phase before biasing, both the potential and density fluctuations clearly exhibit some of the characteristics associated with SOC: (1) existence of f{sup -1} power-law dependence in the frequency spectrum, (2) slowly decaying long tails in the autocorrelation function, (3) values of Hurst parameters larger than 0.5 at all the detected radial locations, (4) non-Gaussian probability density function of fluctuations and (5) radial propagation of avalanche-like events in the edge plasma area. During the biasing phase, with the generation of an edge radial electric field E{sub r} and hence a sheared E{sub r} x B flow, the local turbulence is found to be well de-correlated by the E{sub r} x B velocity shear, consistent with theoretical predictions. Nevertheless, it is concomitantly found that the Hurst parameters are substantially enhanced in the negative flow shear region and in the scrape-off layer as well, which is contrary to theoretical expectation. Implication of these observations to our understanding of plasma transport mechanisms is discussed. (authors)

  18. Environmental Assessment Preparation for Air Force Test Mission in the 21st Century: Upgrade and Improve the Test Capability at the Edwards AFB Test Complex

    Science.gov (United States)

    2015-04-01

    waste NAA Nonattainment Area NAAQS National Ambient Air Quality Standards NAGPRA Native American Graves Protection and Repatriation Act NDMA N...nitrate, and N- Nitrosodimethylamine ( NDMA ) plumes exist in these OUs. Locations of groundwater plumes at Edwards AFB are indicated by their respective

  19. Assessment of Wearable Technology for Integrated Decision Support

    Science.gov (United States)

    2016-05-01

    absorption of red  light by oxygen‐bound (or unbound)  hemoglobin   in the blood (see figure 9).  The measurement  is achieved by  shining both  red  light...Leadership  &  Education , Personnel, and Facilities (DOTMLPF) assessment. There may, however, be a clear  value  in keeping these decision support tools closer...Soldier mission sets.  The “Signature TrRacking for Optimized  Nutrition  and traininG” (STRONG) Lab at Air Force Research Labs at Wright Patterson Air

  20. The Wonder and Enrichment of Teaching Wright Morris's "A Fight between a White Boy and a Black Boy in the Dusk of a Fall Afternoon in Omaha, Nebraska."

    Science.gov (United States)

    McCaffrey, Jerrine

    2001-01-01

    Outlines a teaching method for Wright Morris's "A Fight Between a White Boy and a Black Boy in the Dusk of a Fall Afternoon in Omaha, Nebraska." Proposes that the story provides and opportunity to introduce stream-of-consciousness writing and to convey the significance of memory recall. (PM)

  1. Eielson AFB, Alaska. Revised Uniform Summary of Surface Weather Observations (RUSSWO). Parts A-F.

    Science.gov (United States)

    1983-07-22

    PERCENTACE FREQUENCY Of WIND DIRECTION AND SPEED (FROM HOURLY OBSERVATIONS) 7- 2650 EIELSON AF6 AK 73-8? *pp ALL WEATHER ISO -1 700 (KNEED I .3 4.6 7. 10...HOURLY OBSERVATIONS) 7 265C EIELSON AFB AX 73-82 DEC mn~y.. .y.rm,Io u ii. n~lu Sr.m ALL kEATHER ISO -1700 CLAm -- IL.S T.) SPEED i( MEA (KNTS) 1 - 3...fis r, i- &hS.2? q i- 7 &S2 kL%- &.S-2. fq 7Sf..7:p U8000 7Z.1 73. 73. 73. 73. ?6 73. 73. 38?8le 73.8 73.8 73.8 73.81 73., 27000 ’ ,i. L.bi~2~~ 800 I 8t

  2. An OCP Compliant Network Adapter for GALS-based SoC Design Using the MANGO Network-on-Chip

    DEFF Research Database (Denmark)

    Bjerregaard, Tobias; Mahadevan, Shankar; Olsen, Rasmus Grøndahl

    2005-01-01

    decouples communication and computation, providing memory-mapped OCP transactions based on primitive message-passing services of the network. Also, it facilitates GALS-type systems, by adapting to the clockless network. This helps leverage a modular SoC design flow. We evaluate performance and cost of 0...

  3. Design and implementation of a reconfigurable mixed-signal SoC based on field programmable analog arrays

    Science.gov (United States)

    Liu, Lintao; Gao, Yuhan; Deng, Jun

    2017-11-01

    This work presents a reconfigurable mixed-signal system-on-chip (SoC), which integrates switched-capacitor-based field programmable analog arrays (FPAA), analog-to-digital converter (ADC), digital-to-analog converter, digital down converter , digital up converter, 32-bit reduced instruction-set computer central processing unit (CPU) and other digital IPs on a single chip with 0.18 μm CMOS technology. The FPAA intellectual property could be reconfigured as different function circuits, such as gain amplifier, divider, sine generator, and so on. This single-chip integrated mixed-signal system is a complete modern signal processing system, occupying a die area of 7 × 8 mm 2 and consuming 719 mW with a clock frequency of 150 MHz for CPU and 200 MHz for ADC/DAC. This SoC chip can help customers to shorten design cycles, save board area, reduce the system power consumption and depress the system integration risk, which would afford a big prospect of application for wireless communication. Project supported by the National High Technology and Development Program of China (No. 2012AA012303).

  4. Hemolytic disease of the newborn caused by anti-Wright (anti-Wra): case report and review of literature.

    Science.gov (United States)

    Squires, Amanda; Nasef, Nehad; Lin, Yulia; Callum, Jeannie; Khadawardi, Emad M; Drolet, Christine; Core, David; Simmons, Brian

    2012-01-01

    Antibodies to red cell antigens that are found at low frequency in the general population are rare causes of hemolytic disease of the newborn. To understand how to detect these cases, we provide a basic review of routine antenatal maternal antibody testing and report a case of a neonate with severe HDN caused by anti-Wright (anti-Wra), successfully managed with transfusion, phototherapy, and high-dose intravenous immunoglobulin. When hemolysis in a newborn is suspected in the absence of major blood group incompatibility or commonly detected maternal red cell antibodies, a direct antiglobulin test should be performed. A positive DAT should alert the clinician to the presence of maternal antibodies against low-incidence antigens. Antibodies to the Wra antigen are one such rare cause of HDN.

  5. SOCS3 deficiency in leptin receptor-expressing cells mitigates the development of pregnancy-induced metabolic changes

    Directory of Open Access Journals (Sweden)

    Thais T. Zampieri

    2015-03-01

    Conclusions: Our study identified the increased hypothalamic expression of SOCS3 as a key mechanism responsible for triggering pregnancy-induced leptin resistance and metabolic adaptations. These findings not only help to explain a common phenomenon of the mammalian physiology, but it may also aid in the development of approaches to prevent and treat gestational metabolic imbalances.

  6. Shemya AFB, Alaska 1992 IRP field investigation report. Volume 1: Final report

    Energy Technology Data Exchange (ETDEWEB)

    1993-02-01

    The US Air Force is currently investigating 22 sites on Shemya Air Force Base (AFB) to determine if past spill and disposal activities have caused environmental damage. These investigations are being carried out under the Air Force`s Installation Restoration Program (IRP). As a part of the IRP program, field investigations were performed in 1992 to obtain the information needed to assess what future actions willneed to be carried out at each site. The island`s drinking water supply was also investigated. Activities completed at 10 selected sites during the 1992 field investigation included surface sampling to determine the lateral extent of contamination, subsurface sampling to determine the vertical extent of contamination, and the installation of well points and monitoring wells to determine the direction of groundwater flow and if the groundwater has been affected by a site. In addition, geophysical surveys were performed at most sites to identify site boundaries and check for the presence of buried metal to be avoided during drilling activities.

  7. A 6.45 μW Self-Powered SoC With Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems.

    Science.gov (United States)

    Roy, Abhishek; Klinefelter, Alicia; Yahya, Farah B; Chen, Xing; Gonzalez-Guerrero, Luisa Patricia; Lukas, Christopher J; Kamakshi, Divya Akella; Boley, James; Craig, Kyle; Faisal, Muhammad; Oh, Seunghyun; Roberts, Nathan E; Shakhsheer, Yousef; Shrivastava, Aatmesh; Vasudevan, Dilip P; Wentzloff, David D; Calhoun, Benton H

    2015-12-01

    This paper presents a batteryless system-on-chip (SoC) that operates off energy harvested from indoor solar cells and/or thermoelectric generators (TEGs) on the body. Fabricated in a commercial 0.13 μW process, this SoC sensing platform consists of an integrated energy harvesting and power management unit (EH-PMU) with maximum power point tracking, multiple sensing modalities, programmable core and a low power microcontroller with several hardware accelerators to enable energy-efficient digital signal processing, ultra-low-power (ULP) asymmetric radios for wireless transmission, and a 100 nW wake-up radio. The EH-PMU achieves a peak end-to-end efficiency of 75% delivering power to a 100 μA load. In an example motion detection application, the SoC reads data from an accelerometer through SPI, processes it, and sends it over the radio. The SPI and digital processing consume only 2.27 μW, while the integrated radio consumes 4.18 μW when transmitting at 187.5 kbps for a total of 6.45 μW.

  8. Environmental Assessment Addressing FTFA07-1174, Repair Approach Lighting System at the North End of Runway 01/19 at Eglin AFB, Florida

    Science.gov (United States)

    2013-05-01

    Hyla andersonii Mammals American beaver Castor canadensis Florida black bear2 Ursus americanus floridanus Raccoon Procyon lotor Source: Eglin...water at a frequency and duration to support, and that under normal circumstances do support, a prevalence of vegetation typically adapted to life...virginiana American Beaver Castor canadensis Redbay Persea borbonia Little Blue Heron Egretta caerulea Source: USAF 2006 E-3 E.2 Eglin AFB

  9. The Impact of Strong Cathodic Polarization on SOC Electrolyte Materials

    DEFF Research Database (Denmark)

    Kreka, Kosova; Hansen, Karin Vels; Jacobsen, Torben

    2016-01-01

    One of the most promising reversible energy conversion/storage technologies is that of Solid Oxide Fuel/Electrolysis Cells (SOFC/SOEC, collectively termed SOC). Long term durability is typically required for such devises to become economically feasible, hence considerable amount of work has...... of impurities at the grain boundaries, electrode poisoning, delamination or cracks of the electrolyte etc., have been observed in cells operated at such conditions, lowering the lifetime of the cell1,2. High polarizations are observed at the electrolyte/cathode interface of an electrolysis cell operated at high...... current density. In case of a cell voltage above 1.6 V, p-type and n-type electronic conductivity are often observed at the anode and cathode respectively3. Hence, a considerable part of the current is lost as leakage through the electrolyte, thus lowering the efficiency of the cell considerably....

  10. Hypomethylation of inflammatory genes (COX2, EGR1, and SOCS3) and increased urinary 8-nitroguanine in arsenic-exposed newborns and children

    Energy Technology Data Exchange (ETDEWEB)

    Phookphan, Preeyaphan; Navasumrit, Panida [Laboratory of Environmental Toxicology, Chulabhorn Research Institute, Laksi, Bangkok (Thailand); Post-graduate Program in Environmental Toxicology, Chulabhorn Graduate Institute, Laksi, Bangkok (Thailand); Center of Excellence on Environmental Health, Toxicology (EHT), Office of the Higher Education Commission, Ministry of Education (Thailand); Waraprasit, Somchamai; Promvijit, Jeerawan; Chaisatra, Krittinee; Ngaotepprutaram, Thitirat [Laboratory of Environmental Toxicology, Chulabhorn Research Institute, Laksi, Bangkok (Thailand); Ruchirawat, Mathuros, E-mail: mathuros@cri.or.th [Laboratory of Environmental Toxicology, Chulabhorn Research Institute, Laksi, Bangkok (Thailand); Center of Excellence on Environmental Health, Toxicology (EHT), Office of the Higher Education Commission, Ministry of Education (Thailand)

    2017-02-01

    Early-life exposure to arsenic increases risk of developing a variety of non-malignant and malignant diseases. Arsenic-induced carcinogenesis may be mediated through epigenetic mechanisms and pathways leading to inflammation. Our previous study reported that prenatal arsenic exposure leads to increased mRNA expression of several genes related to inflammation, including COX2, EGR1, and SOCS3. This study aimed to investigate the effects of arsenic exposure on promoter DNA methylation and mRNA expression of these inflammatory genes (COX2, EGR1, and SOCS3), as well as the generation of 8-nitroguanine, which is a mutagenic DNA lesion involved in inflammation-related carcinogenesis. Prenatally arsenic-exposed newborns had promoter hypomethylation of COX2, EGR1, and SOCS3 in cord blood lymphocytes (p < 0.01). A follow-up study in these prenatally arsenic-exposed children showed a significant hypomethylation of these genes in salivary DNA (p < 0.01). In vitro experiments confirmed that arsenite treatment at short-term high doses (10–100 μM) and long-term low doses (0.5–1 μM) in human lymphoblasts (RPMI 1788) caused promoter hypomethylation of these genes, which was in concordance with an increase in their mRNA expression. Additionally, the level of urinary 8-nitroguanine was significantly higher (p < 0.01) in exposed newborns and children, by 1.4- and 1.8-fold, respectively. Arsenic accumulation in toenails was negatively correlated with hypomethylation of these genes and positively correlated with levels of 8-nitroguanine. These results indicated that early-life exposure to arsenic causes hypomethylation of COX2, EGR1, and SOCS3, increases mRNA expression of these genes, and increases 8-nitroguanine formation. These effects may be linked to mechanisms of arsenic-induced inflammation and cancer development later in life. - Highlight: • Early-life arsenic exposure caused promoter hypomethylation of COX2, EGR1 and SOCS3. • Hypomethylation of these genes is

  11. Hypomethylation of inflammatory genes (COX2, EGR1, and SOCS3) and increased urinary 8-nitroguanine in arsenic-exposed newborns and children

    International Nuclear Information System (INIS)

    Phookphan, Preeyaphan; Navasumrit, Panida; Waraprasit, Somchamai; Promvijit, Jeerawan; Chaisatra, Krittinee; Ngaotepprutaram, Thitirat; Ruchirawat, Mathuros

    2017-01-01

    Early-life exposure to arsenic increases risk of developing a variety of non-malignant and malignant diseases. Arsenic-induced carcinogenesis may be mediated through epigenetic mechanisms and pathways leading to inflammation. Our previous study reported that prenatal arsenic exposure leads to increased mRNA expression of several genes related to inflammation, including COX2, EGR1, and SOCS3. This study aimed to investigate the effects of arsenic exposure on promoter DNA methylation and mRNA expression of these inflammatory genes (COX2, EGR1, and SOCS3), as well as the generation of 8-nitroguanine, which is a mutagenic DNA lesion involved in inflammation-related carcinogenesis. Prenatally arsenic-exposed newborns had promoter hypomethylation of COX2, EGR1, and SOCS3 in cord blood lymphocytes (p < 0.01). A follow-up study in these prenatally arsenic-exposed children showed a significant hypomethylation of these genes in salivary DNA (p < 0.01). In vitro experiments confirmed that arsenite treatment at short-term high doses (10–100 μM) and long-term low doses (0.5–1 μM) in human lymphoblasts (RPMI 1788) caused promoter hypomethylation of these genes, which was in concordance with an increase in their mRNA expression. Additionally, the level of urinary 8-nitroguanine was significantly higher (p < 0.01) in exposed newborns and children, by 1.4- and 1.8-fold, respectively. Arsenic accumulation in toenails was negatively correlated with hypomethylation of these genes and positively correlated with levels of 8-nitroguanine. These results indicated that early-life exposure to arsenic causes hypomethylation of COX2, EGR1, and SOCS3, increases mRNA expression of these genes, and increases 8-nitroguanine formation. These effects may be linked to mechanisms of arsenic-induced inflammation and cancer development later in life. - Highlight: • Early-life arsenic exposure caused promoter hypomethylation of COX2, EGR1 and SOCS3. • Hypomethylation of these genes is

  12. Statistical analysis of ground-water contamination at the alert apron and northern landfill areas of Wurtsmith AFB, Michigan. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Hunter, P.; Naber, S.; Verducci, J.

    1988-07-01

    Two plumes of contamination are analyzed to determine their extent, composition, and movement. The large number of ground-water monitoring wells sampled over the past eight years at Wurtsmith AFB allow this analysis to be performed directly from empirical data, with minimal assumptions about solute transport mechanisms. Conclusions are drawn about the likely sources of contamination in the two plumes, the adequacy of the data for making risk assessments, and the likely consequences of alternative programs of remediation.

  13. Egr2 enhances insulin resistance via JAK2/STAT3/SOCS-1 pathway in HepG2 cells treated with palmitate.

    Science.gov (United States)

    Lu, Lin; Ye, Xinhua; Yao, Qing; Lu, Aijiao; Zhao, Zhen; Ding, Yang; Meng, Chuchen; Yu, Wenlong; Du, Yunfeng; Cheng, JinLuo

    2018-05-01

    Insulin resistance is generally responsible for the pathogenesis of type 2 diabetes mellitus (T2DM). Early growth response proteins-2 (Egr2) has been reported to be able to increase the expression of the suppressors of cytokine signaling-1 (SOCS-1), and impair insulin signaling pathway through suppression of insulin receptor substrates (IRS), including IRS-1 and IRS-2. However, whether Egr2 is directly involved in the development of insulin resistance, and how its potential contributions to insulin resistance still remain unknown. Here, our present investigation found that the expression levels of Egr2 were up-regulated when insulin resistance occurs, and knockdown of Egr2 abolished the effect of insulin resistance in HepG2 cells induced with palmitate (PA). Importantly, inhibition of Egr2 decreased the expression of SOCS-1 as well as reduced phosphorylation of JAK2 and STAT3. And, our data indicated that silencing of Egr2 accelerated hepatic glucose uptake and reversed the impaired lipid metabolism upon insulin resistance. In summary, the present study confirms that Egr2 could deteriorate insulin resistance via the pathway of JAK2/STAT3/SOCS-1 and may shed light on resolving insulin resistance and further the pathogenesis of T2DM. Copyright © 2017 Elsevier Inc. All rights reserved.

  14. Applications of population genetics to animal breeding, from wright, fisher and lush to genomic prediction.

    Science.gov (United States)

    Hill, William G

    2014-01-01

    Although animal breeding was practiced long before the science of genetics and the relevant disciplines of population and quantitative genetics were known, breeding programs have mainly relied on simply selecting and mating the best individuals on their own or relatives' performance. This is based on sound quantitative genetic principles, developed and expounded by Lush, who attributed much of his understanding to Wright, and formalized in Fisher's infinitesimal model. Analysis at the level of individual loci and gene frequency distributions has had relatively little impact. Now with access to genomic data, a revolution in which molecular information is being used to enhance response with "genomic selection" is occurring. The predictions of breeding value still utilize multiple loci throughout the genome and, indeed, are largely compatible with additive and specifically infinitesimal model assumptions. I discuss some of the history and genetic issues as applied to the science of livestock improvement, which has had and continues to have major spin-offs into ideas and applications in other areas.

  15. Gold Medal Award for Life Achievement in Psychology in the Public Interest: Beatrice A. Wright.

    Science.gov (United States)

    2016-01-01

    The American Psychological Foundation (APF) Gold Medal Awards recognize distinguished and enduring records of accomplishment in 4 areas of psychology. The 2016 recipient of Gold Medal Award for Life Achievement in Psychology in the Public Interest is Beatrice A. Wright. Dorothy W. Cantor, president of the APF, will present the APF Gold Medal Awards at the 124th Annual Convention of the American Psychological Association on August 5, 2016, at 4:00 p.m. Members of the 2016 APF Board of Trustees are: Dorothy W. Cantor, president; David H. Barlow, vice president; Melba J. T. Vasquez, secretary; Richard C. McCarty, treasurer; Elisabeth R. Straus, executive vice president/executive director; Cynthia Belar; Camilla Benbow; Rosie Phillips Bingham; Connie S. Chan; Anthony Jackson; Terence M. Keane; Archie L. Turner; W. Bruce Walsh; and Bonnie Markham and Rick McGraw, APA Board of Directors liaisons. (PsycINFO Database Record (c) 2016 APA, all rights reserved).

  16. Perception SoC Based on an Ultrasonic Array of Sensors: Efficient DSP Core Implementation and Subsequent Experimental Results

    Directory of Open Access Journals (Sweden)

    A. Haidar

    2005-05-01

    Full Text Available We are concerned with the design, implementation, and validation of a perception SoC based on an ultrasonic array of sensors. The proposed SoC is dedicated to ultrasonic echography applications. A rapid prototyping platform is used to implement and validate the new architecture of the digital signal processing (DSP core. The proposed DSP core efficiently integrates all of the necessary ultrasonic B-mode processing modules. It includes digital beamforming, quadrature demodulation of RF signals, digital filtering, and envelope detection of the received signals. This system handles 128 scan lines and 6400 samples per scan line with a 90° angle of view span. The design uses a minimum size lookup memory to store the initial scan information. Rapid prototyping using an ARM/FPGA combination is used to validate the operation of the described system. This system offers significant advantages of portability and a rapid time to market.

  17. Perception SoC Based on an Ultrasonic Array of Sensors: Efficient DSP Core Implementation and Subsequent Experimental Results

    Science.gov (United States)

    Kassem, A.; Sawan, M.; Boukadoum, M.; Haidar, A.

    2005-12-01

    We are concerned with the design, implementation, and validation of a perception SoC based on an ultrasonic array of sensors. The proposed SoC is dedicated to ultrasonic echography applications. A rapid prototyping platform is used to implement and validate the new architecture of the digital signal processing (DSP) core. The proposed DSP core efficiently integrates all of the necessary ultrasonic B-mode processing modules. It includes digital beamforming, quadrature demodulation of RF signals, digital filtering, and envelope detection of the received signals. This system handles 128 scan lines and 6400 samples per scan line with a[InlineEquation not available: see fulltext.] angle of view span. The design uses a minimum size lookup memory to store the initial scan information. Rapid prototyping using an ARM/FPGA combination is used to validate the operation of the described system. This system offers significant advantages of portability and a rapid time to market.

  18. Soc stock in different forest-related land-uses in central Stara planina mountain, Bulgaria

    Directory of Open Access Journals (Sweden)

    Zhiyanski Miglena

    2009-01-01

    Full Text Available Forest conversions may lead to an accumulation of carbon in vegetation, but little is known about changes in soil C storage with establishment of plantation forests. Understanding these effects is important to addressing issues relevant to ecosystem function and productivity, and to global balance of carbon. The study investigated the effects of the created coniferous plantations on former beech and pasture sites on the soil organic carbon storage. The major forest-related land-uses in the high mountainous regions of central Stara Planina Mountain were investigated: mountainous pasture, coniferous plantations (planted on previous pasture and beech forests between four and five decades ago and natural beech forests. The experimental data of soil properties, conducted in 2005, 2006 and 2007, were used in determining the variations in organic carbon storage in forest litter and in mineral soil under different land-use patterns. At each site five representative soil profiles were opened and described giving a total 75 soil samples from the soil layers respectively at 0-10, 10-30 and 30-50 cm depth. A total of 55 samples from forest floor layers (Aol, Aof, Aoh and greensward were collected with 25:25 cm plastic frame. The main soil properties were determined in accordance with the standardized methods in the Laboratory of soil science at the Forest Research Institute - BAS. The IPCC Good Practice Guidance for Land Use, Land Use Change and Forestry was used to estimate the soil organic carbon stock in soil and litter. The results obtained showed that the SOC stock was quite similar among forest land-uses. The conversion of natural beech forests to coniferous plantations in studied region is related with slightly expressed decrease in soil carbon storage. The values of SOC stocks in 0-50 cm soil layer in these sites were 8.5 (±2.1 tones/ha for pine and 11.0 (±1.4 tones/ha for spruce, while under the natural beech forest it was 14.8 (±1.0 tones

  19. Brad Patterson, Tom Brooking, and Jim McAloon, Unpacking the Kist: The Scots in New Zealand. McGill-Queen's Studies in Ethnic History Series, No. 2.33. Montreal: McGill-Queen’s University Press, 2013. Pp. 412. ISBN 978-0-7735-4190-0. CAD $100.00.

    Directory of Open Access Journals (Sweden)

    Seán Gerard Brosnahan

    2014-11-01

    Full Text Available Brad Patterson, Tom Brooking, and Jim McAloon, Unpacking the Kist: The Scots in New Zealand. McGill-Queen's Studies in Ethnic History Series, No. 2.33. Montreal: McGill-Queen’s University Press, 2013. Pp. 412. ISBN 978-0-7735-4190-0. CAD $100.00.

  20. One-chip Integrated Module of MEMS Shock Sensor and Sensing Amplifier LSI using Pseudo-SOC Technology

    Science.gov (United States)

    Iida, Atsuko; Onozuka, Yutaka; Nishigaki, Michihiko; Yamada, Hiroshi; Funaki, Hideyuki; Itaya, Kazuhiko

    We have been developing the pseudo-SOC technology for one-chip module integration of heterogeneous devices that realizes high electrical performance and high density of devices embodying the advantages of both SOC technology and SIP technology. Especially, this technology is available for MEMS-LSI integration. We developed a 0.2mm-thickness one-chip module integrating a MEMS shock sensor and a sensing amplifier LSI by applying this technology. The MEMS shock sensor and the sensing amplifier LSI were connected by high-rigidity epoxy resin optimized the material constants to reduce the stress and the warpage resulting from resin shrinkage due to curing. Then the planar insulating layer and the redistributed conducting layer were formed on it for the global layer. The MEMS shock sensor was preformed to be modularized with a glass cap. Electrical contacts were achieved by bonding of Au bumps on the MEMS fixed electrodes and via holes filled with Ag paste of the glass cap. Functional performance was confirmed by obtaining signal corresponding to the reference signal of the pick-up sensor. Furthermore, stress analysis was performed using the FEM model simulation considering the resin shrinkage.