WorldWideScience

Sample records for soc system analysis

  1. Space Operations Center system analysis. Volume 3, book 2: SOC system definition report, revision A

    Science.gov (United States)

    1982-01-01

    The Space Operations Center (SOC) orbital space station program operations are described. A work breakdown structure for the general purpose support equipment, construction and transportation support, and resupply and logistics support systems is given. The basis for the design of each element is presented, and a mass estimate for each element supplied. The SOC build-up operation, construction, flight support, and satellite servicing operations are described. Detailed programmatics and cost analysis are presented.

  2. Process modeling of a reversible solid oxide cell (r-SOC) energy storage system utilizing commercially available SOC reactor

    International Nuclear Information System (INIS)

    Mottaghizadeh, Pegah; Santhanam, Srikanth; Heddrich, Marc P.; Friedrich, K. Andreas; Rinaldi, Fabio

    2017-01-01

    Highlights: • An electric energy storage system was developed based on a commercially available SOC reactor. • Heat generated in SOFC mode of r-SOC is utilized in SOEC operation of r-SOC using latent heat storage. • A round trip efficiency of 54.3% was reached for the reference system at atmospheric pressure. • An improved process system design achieved a round-trip efficiency of 60.4% at 25 bar. - Abstract: The increase of intermittent renewable energy contribution in power grids has urged us to seek means for temporal decoupling of electricity production and consumption. A reversible solid oxide cell (r-SOC) enables storage of surplus electricity through electrochemical reactions when it is in electrolysis mode. The reserved energy in form of chemical compounds is then converted to electricity when the cell operates as a fuel cell. A process system model was implemented using Aspen Plus® V8.8 based on a commercially available r-SOC reactor experimentally characterized at DLR. In this study a complete self-sustaining system configuration is designed by optimal thermal integration and balance of plant. Under reference conditions a round trip efficiency of 54.3% was achieved. Generated heat in fuel cell mode is exploited by latent heat storage tanks to enable endothermic operation of reactor in its electrolysis mode. In total, out of 100 units of thermal energy stored in heat storage tanks during fuel cell mode, 90% was utilized to offset heat demand of system in its electrolysis mode. Parametric analysis revealed the significance of heat storage tanks in thermal management even when reactor entered its exothermic mode of electrolysis. An improved process system design demonstrates a system round-trip efficiency of 60.4% at 25 bar.

  3. System on chip (SoC) microcontrollers (μC) as digitisers for ion beam analysis (IBA) instruments

    Energy Technology Data Exchange (ETDEWEB)

    Whitlow, Harry J., E-mail: harry.j@whitlow.se

    2016-09-15

    Data digitisation of the analogue signals from detectors to digital data is an essential process in ion beam analysis (IBA). The low-cost, easy availability and development environments that have a low learning threshold makes system-on-chip (SoC) microcontrollers (μC) attractive for this task. These μC combine, on one die, analogue and digital inputs and outputs with serial USB interfaces, which opens up simple implementation of tailor-made interfaces for specific IBA measurement systems. We have investigated the design and performance limitations based on development of three different digitisation interfaces for IBA. These were a two-channel nuclear instrumentation module (NIM) ADC event mode interface (EMI) for a high-resolution magnetic RBS spectrometer, a simple headless-multi-channel analyser (MCA) and a combined dual channel headless MCA and EMI. It is shown that SoC μC based interfaces for digitisation of analogue spectroscopy pulses in IBA systems can be implemented for material costs less than 100 €. The performance of the SoC devices for many IBA applications is close to what can be achieved with state-of-the-art instruments. The simple pulse spectroscopy interface circuit and software are included in the auxiliary archive.

  4. Study on SOC wavelet analysis for LiFePO4 battery

    Science.gov (United States)

    Liu, Xuepeng; Zhao, Dongmei

    2017-08-01

    Improving the prediction accuracy of SOC can reduce the complexity of the conservative and control strategy of the strategy such as the scheduling, optimization and planning of LiFePO4 battery system. Based on the analysis of the relationship between the SOC historical data and the external stress factors, the SOC Estimation-Correction Prediction Model based on wavelet analysis is established. Using wavelet neural network prediction model is of high precision to achieve forecast link, external stress measured data is used to update parameters estimation in the model, implement correction link, makes the forecast model can adapt to the LiFePO4 battery under rated condition of charge and discharge the operating point of the variable operation area. The test results show that the method can obtain higher precision prediction model when the input and output of LiFePO4 battery are changed frequently.

  5. TARGETED ANALYSIS OF JAK-STAT-SOCS GENES IN DAIRY CATTLE

    Directory of Open Access Journals (Sweden)

    Arun Sondur Jayappa

    2015-12-01

    Full Text Available The Janus kinase and signal transducer and activator of transcription (JAK-STAT pathway genes along with suppressors of cytokine signalling (SOCS family genes play a crucial role in controlling cytokine signals in the mammary gland and thus mammary gland development. Mammary gene expression studies showed differential expression patterns for all the JAK-STAT pathway genes. Gene expression studies using qRT-PCR revealed differential expression of SOCS2, SOCS4 and SOCS5 genes across the lactation cycle in dairy cows. Using genotypes from 1,546 Australian Holstein- Friesian bulls, a statistical model based on SNPs within 500kb of JAK-STAT pathway genes, and SOCS genes alone was carried out. The analysis suggested that these genes and pathways make a significant contribution to the Australian milk production traits. Selection of 24 SNPs close to SOCS1, SOCS3, SOCS5, SOCS7 and CISH genes were significantly associated with, Australian Profit Ranking (APR, Australian Selection Index (ASI and protein yield (PY. This study supports the view that there may be some merit in choosing SNPs around functionally relevant genes for the selection and genetic improvement schemes for dairy production traits.

  6. Essential issues in SOC design designing complex systems-on-chip

    CERN Document Server

    Lin, Youn-long Steve

    2007-01-01

    Covers issues related to system-on-chip (SoC) design. This book covers IP development, verification, integration, chip implementation, testing and software. It contains valuable academic and industrial examples for those involved with the design of complex SOCs.

  7. NIRS as an alternative to conventional soil analysis for Greenland soils (focus on SOC)

    DEFF Research Database (Denmark)

    Knadel, Maria; Ogric, Mateja; Adhikari, Kabindra

    Soil organic carbon (SOC) is an important soil property. It is the main constituents of soil organic matter and a good indicator of soil quality. The estimation and mapping of SOC content could be used to select potential agricultural areas in the Arctic areas. However, conventional analysis of SOC...... are time consuming and expensive. They involve a lot of sample preparation, and chemicals and are destructive. Near infrared spectroscopy (NIRS) in the range between 400 and 2500 nm is an alternative method for SOC analysis. It is fast and non-destructive. The aims of this study where to test...... the feasibility of using NIRS to estimate SOC content on a landscape and field scale in Greenland. Partial Least squares regression models were built to correlated soil spectra and their reference SOC data to develop calibration models. Very good predictive ability for both landscape and field scale were obtained...

  8. An ESL Approach for Energy Consumption Analysis of Cache Memories in SoC Platforms

    Directory of Open Access Journals (Sweden)

    Abel G. Silva-Filho

    2011-01-01

    Full Text Available The design of complex circuits as SoCs presents two great challenges to designers. One is the speeding up of system functionality modeling and the second is the implementation of the system in an architecture that meets performance and power consumption requirements. Thus, developing new high-level specification mechanisms for the reduction of the design effort with automatic architecture exploration is a necessity. This paper proposes an Electronic-System-Level (ESL approach for system modeling and cache energy consumption analysis of SoCs called PCacheEnergyAnalyzer. It uses as entry a high-level UML-2.0 profile model of the system and it generates a simulation model of a multicore platform that can be analyzed for cache tuning. PCacheEnergyAnalyzer performs static/dynamic energy consumption analysis of caches on platforms that may have different processors. Architecture exploration is achieved by letting designers choose different processors for platform generation and different mechanisms for cache optimization. PCacheEnergyAnalyzer has been validated with several applications of Mibench, Mediabench, and PowerStone benchmarks, and results show that it provides analysis with reduced simulation effort.

  9. Advanced Technology for Ultra-Low Power System-on-Chip (SoC)

    Science.gov (United States)

    2017-06-01

    was proposed for lower power applications with Ioff=10pA/μm and VDD=0.5V. In this project, the optimized structure shows great potential in both Lg...AFRL-RY-WP-TR-2017-0115 ADVANCED TECHNOLOGY FOR ULTRA-LOW POWER SYSTEM-ON-CHIP (SoC) Jason Woo, Weicong Li, and Peng Lu University of California...September 2015 – 31 March 2017 4. TITLE AND SUBTITLE ADVANCED TECHNOLOGY FOR ULTRA-LOW POWER SYSTEM-ON- CHIP (SoC) 5a. CONTRACT NUMBER FA8650-15-1-7574 5b

  10. Methylation profiling of SOCS1, SOCS2, SOCS3, CISH and SHP1 in Philadelphia-negative myeloproliferative neoplasm.

    Science.gov (United States)

    Zhang, Min Yue; Fung, Tsz Kin; Chen, Fang Yuan; Chim, Chor Sang

    2013-10-01

    Janus kinase-signal transducer and activator of transcription (JAK/STAT) signalling, pivotal in Philadelphia-negative (Ph-ve) myeloproliferative neoplasm (MPN), is negatively regulated by molecules including SOCSs, CISH and SHP1. SOCS1, SOCS2 and SOCS3 methylation have been studied in MPN with discordant results. Herein, we studied the methylation status of SOCS1, SOCS2 and SOCS3, CISH and SHP1 by methylation-specific polymerase chain reaction (MSP) in cell lines and 45 diagnostic marrow samples of Ph-ve MPN. Moreover, we attempted to explain the discordance of methylation frequency by mapping the studied MSP primers to the respective genes. Methylation was detected in normal controls using SOCS2 MSP primers in the 3'translated exonic sequence, but not primers around the transcription start site in the 5' untranslated regions (5'UTR). SOCS1, SOCS2, SOCS3 and CISH were completely unmethylated in primary MPN samples and cell lines. In contrast, methylation of SHP1 was detected in 8.9% primary marrow samples. Moreover, SHP1 was completely methylated in K562 cell line, leading to reversible SHP1 silencing. A review of methylation studies of SOCS1 and SOCS3 showed that spuriously high rates of SOCS methylation had been reported using MSP primers targeting CpG sites in the 3'translated exonic sequence, which is also methylated in normal controls. However, using MSP primers localized to the 5'UTR, methylation of SOCS1, SOCS2 and SOCS3 is infrequent across all studies. In summary, methylation of SOCS1, SOCS2, SOCS3 and CISH is infrequent in Ph-ve MPN. Appropriate MSP primers are important for accurate estimation of the methylation frequency. The role of SHP1 methylation in the pathogenesis of MPN warrants further investigation. © 2013 The Authors. Journal of Cellular and Molecular Medicine published by John Wiley & Sons Ltd and Foundation for Cellular and Molecular Medicine.

  11. IGF-1 induces SOCS-2 but not SOCS-1 and SOCS-3 transcription in juvenile Nile tilapia (Oreochromis niloticus).

    Science.gov (United States)

    Liu, Cai-Zhi; Luo, Yuan; Limbu, Samwel Mchele; Chen, Li-Qiao; Du, Zhen-Yu

    2018-05-20

    Insulin-like growth factor-1 (IGF-1) plays a crucial role in regulating growth in vertebrates whereas suppressors of cytokine signaling (SOCS) act as feedback inhibitors of the GH/IGF-1 axis. Although SOCS-2 binds the IGF-1 receptor and inhibits IGF-1-induced STAT3 activation, presently there is no clear evidence as to whether IGF-1 could induce SOCS gene expression. The current study aimed to determine whether IGF-1 could induce the transcription of SOCS in juvenile Nile tilapia ( Oreochromis niloticus ). We show that there is a common positive relationship between the mRNA expression of IGF-I and SOCS-2 under different nutritional statuses and stimulants, but not the mRNA expression of SOCS-1 and SOCS-3 Furthermore, rhIGF-1 treatment and transcriptional activity assay confirmed the hypothesis that IGF-1 could induce SOCS-2 expression, whereas it had no effect or even decreased the expression of SOCS-1 and SOCS-3 Overall, we obtained evidence that the transcription of SOCS-2, but not SOCS-1 or SOCS-3, could be induced by IGF signaling, suggesting that SOCS-2 serves as a feedback suppressor of the IGF-1 axis in juvenile Nile tilapia. © 2018. Published by The Company of Biologists Ltd.

  12. The Immunohistochemical Analysis of SOCS3 Protein Identifies a Subgroup of Prostatic Cancer Biopsies With Aggressive Behavior.

    Science.gov (United States)

    Pierconti, Francesco; Martini, Maurizio; Cenci, Tonia; Larocca, Luigi M

    Recently, we demonstrated that hypermethylation of SOCS3 determines a significant reduction of its mRNA and protein expression and identifies a subgroup of prostate cancer with aggressive behavior. In this paper, our objective was to investigate whether the immunohistochemical expression of the SOCS3 protein could represent an alternative method to molecular analysis for the individualization of aggressive prostate carcinoma. We analyzed the SOCS3 immunohistochemical expression in 65 patients undergoing biopsies at the Institute of Urology of our hospital between September 2011 and October 2011 (median age, 66.4 y; range, 50 to 73 y), and in 35 cases, a subset of 65 cases originally used for the immunohistochemical study, we studied the methylation status of the SOCS3 promoter. We found that the percentage of cases with SOCS3 negativity (-) or with SOCS3 weak staining in <50% of the neoplastic glands (+/-) correlated to the worst prognosis in terms of the Gleason score (P=0.0001; Fisher's exact test), the pT stage (P=0.012; Fisher's exact test), and progression-free survival (P=0.0334; hazard ratio, 0.34; and 95% confidence interval, from 0.1261 to 0.9188). Moreover, some cases with an SOCS3 unmethylated pattern showed SOCS3-negative immunostaining (-) or SOCS3-negative glands with weak cytoplasmatic staining in <50% of the neoplastic glands (+/-). Our data suggest that in prostatic cancer biopsies, the immunohistochemical analysis of SOCS3 protein expression may provide a method that is less expensive and easier to apply than SOCS3 methylation analysis for the distinction of a subgroup of prostate cancer with a more aggressive behavior.

  13. An acceleration system for Laplacian image fusion based on SoC

    Science.gov (United States)

    Gao, Liwen; Zhao, Hongtu; Qu, Xiujie; Wei, Tianbo; Du, Peng

    2018-04-01

    Based on the analysis of Laplacian image fusion algorithm, this paper proposes a partial pipelining and modular processing architecture, and a SoC based acceleration system is implemented accordingly. Full pipelining method is used for the design of each module, and modules in series form the partial pipelining with unified data formation, which is easy for management and reuse. Integrated with ARM processor, DMA and embedded bare-mental program, this system achieves 4 layers of Laplacian pyramid on the Zynq-7000 board. Experiments show that, with small resources consumption, a couple of 256×256 images can be fused within 1ms, maintaining a fine fusion effect at the same time.

  14. Fundamentals of IP and SoC security design, verification, and debug

    CERN Document Server

    Ray, Sandip; Sur-Kolay, Susmita

    2017-01-01

    This book is about security in embedded systems and it provides an authoritative reference to all aspects of security in system-on-chip (SoC) designs. The authors discuss issues ranging from security requirements in SoC designs, definition of architectures and design choices to enforce and validate security policies, and trade-offs and conflicts involving security, functionality, and debug requirements. Coverage also includes case studies from the “trenches” of current industrial practice in design, implementation, and validation of security-critical embedded systems. Provides an authoritative reference and summary of the current state-of-the-art in security for embedded systems, hardware IPs and SoC designs; Takes a "cross-cutting" view of security that interacts with different design and validation components such as architecture, implementation, verification, and debug, each enforcing unique trade-offs; Includes high-level overview, detailed analysis on implementation, and relevant case studies on desi...

  15. Variation tolerant SoC design

    Science.gov (United States)

    Kozhikkottu, Vivek J.

    performance distribution. This task is particularly complex and challenging due to the inter-dependencies between components' execution, indirect effects of shared resources, and interactions between multiple system-level "execution paths". We argue that accurate variation-aware performance analysis requires Monte-Carlo based repeated system execution. Our proposed analysis framework leverages emulation to significantly speedup performance analysis without sacrificing the generality and accuracy achieved by Monte-Carlo based simulations. Our experiments show performance improvements of around 60x compared to state-of-the-art hardware-software co-simulation tools and also underscore the framework's potential to enable variation-aware design and exploration at the system level. Our second contribution addresses the problem of designing variation-tolerant SoCs using recovery based design, a popular circuit design paradigm that addresses variations by eliminating guard-bands and operating circuits at close to "zero margins" while detecting and recovering from timing errors. While previous efforts have demonstrated the potential benefits of recovery based design, we identify several challenges that need to be addressed in order to apply this technique to SoCs. We present a systematic design framework to apply recovery based design at the system level. We propose to partition SoCs into "recovery islands", wherein each recovery island consists of one or more SoC components that can recover independent of the rest of the SoC. We present a variation-aware design methodology that partitions a given SoC into recovery islands and computes the optimal operating points for each island, taking into account the various trade-offs involved. Our experiments demonstrate that the proposed design framework achieves an average of 32% energy savings over conventional worst-case designs, with negligible losses in performance. The third contribution of this thesis introduces disproportionate

  16. Parametric Dense Stereovision Implementation on a System-on Chip (SoC

    Directory of Open Access Journals (Sweden)

    Pablo Montejo

    2012-02-01

    Full Text Available This paper proposes a novel hardware implementation of a dense recovery of stereovision 3D measurements. Traditionally 3D stereo systems have imposed the maximum number of stereo correspondences, introducing a large restriction on artificial vision algorithms. The proposed system-on-chip (SoC provides great performance and efficiency, with a scalable architecture available for many different situations, addressing real time processing of stereo image flow. Using double buffering techniques properly combined with pipelined processing, the use of reconfigurable hardware achieves a parametrisable SoC which gives the designer the opportunity to decide its right dimension and features. The proposed architecture does not need any external memory because the processing is done as image flow arrives. Our SoC provides 3D data directly without the storage of whole stereo images. Our goal is to obtain high processing speed while maintaining the accuracy of 3D data using minimum resources. Configurable parameters may be controlled by later/parallel stages of the vision algorithm executed on an embedded processor. Considering hardware FPGA clock of 100 MHz, image flows up to 50 frames per second (fps of dense stereo maps of more than 30,000 depth points could be obtained considering 2 Mpix images, with a minimum initial latency. The implementation of computer vision algorithms on reconfigurable hardware, explicitly low level processing, opens up the prospect of its use in autonomous systems, and they can act as a coprocessor to reconstruct 3D images with high density information in real time.

  17. Parametric dense stereovision implementation on a system-on chip (SoC).

    Science.gov (United States)

    Gardel, Alfredo; Montejo, Pablo; García, Jorge; Bravo, Ignacio; Lázaro, José L

    2012-01-01

    This paper proposes a novel hardware implementation of a dense recovery of stereovision 3D measurements. Traditionally 3D stereo systems have imposed the maximum number of stereo correspondences, introducing a large restriction on artificial vision algorithms. The proposed system-on-chip (SoC) provides great performance and efficiency, with a scalable architecture available for many different situations, addressing real time processing of stereo image flow. Using double buffering techniques properly combined with pipelined processing, the use of reconfigurable hardware achieves a parametrisable SoC which gives the designer the opportunity to decide its right dimension and features. The proposed architecture does not need any external memory because the processing is done as image flow arrives. Our SoC provides 3D data directly without the storage of whole stereo images. Our goal is to obtain high processing speed while maintaining the accuracy of 3D data using minimum resources. Configurable parameters may be controlled by later/parallel stages of the vision algorithm executed on an embedded processor. Considering hardware FPGA clock of 100 MHz, image flows up to 50 frames per second (fps) of dense stereo maps of more than 30,000 depth points could be obtained considering 2 Mpix images, with a minimum initial latency. The implementation of computer vision algorithms on reconfigurable hardware, explicitly low level processing, opens up the prospect of its use in autonomous systems, and they can act as a coprocessor to reconstruct 3D images with high density information in real time.

  18. A Cryptographic SoC for Robust Protection of Secret Keys in IPTV DRM Systems

    Science.gov (United States)

    Lee, Sanghan; Yang, Hae-Yong; Yeom, Yongjin; Park, Jongsik

    The security level of an internet protocol television (IPTV) digital right management (DRM) system ultimately relies on protection of secret keys. Well known devices for the key protection include smartcards and battery backup SRAMs (BB-SRAMs); however, these devices could be vulnerable to various physical attacks. In this paper, we propose a secure and cost-effective design of a cryptographic system on chip (SoC) that integrates the BB-SRAM with a cell-based design technique. The proposed SoC provides robust safeguard against the physical attacks, and satisfies high-speed and low-price requirements of IPTV set-top boxes. Our implementation results show that the maximum encryption rate of the SoC is 633Mb/s. In order to verify the data retention capabilities, we made a prototype chip using 0.18µm standard cell technology. The experimental results show that the integrated BB-SRAM can reliably retain data with a 1.4µA leakage current.

  19. Interleaved Subtask Scheduling on Multi Processor SOC

    NARCIS (Netherlands)

    Zhe, M.

    2006-01-01

    The ever-progressing semiconductor processing technique has integrated more and more embedded processors on a single system-on-achip (SoC). With such powerful SoC platforms, and also due to the stringent time-to-market deadlines, many functionalities which used to be implemented in ASICs are

  20. Correlation between the model accuracy and model-based SOC estimation

    International Nuclear Information System (INIS)

    Wang, Qianqian; Wang, Jiao; Zhao, Pengju; Kang, Jianqiang; Yan, Few; Du, Changqing

    2017-01-01

    State-of-charge (SOC) estimation is a core technology for battery management systems. Considerable progress has been achieved in the study of SOC estimation algorithms, especially the algorithm on the basis of Kalman filter to meet the increasing demand of model-based battery management systems. The Kalman filter weakens the influence of white noise and initial error during SOC estimation but cannot eliminate the existing error of the battery model itself. As such, the accuracy of SOC estimation is directly related to the accuracy of the battery model. Thus far, the quantitative relationship between model accuracy and model-based SOC estimation remains unknown. This study summarizes three equivalent circuit lithium-ion battery models, namely, Thevenin, PNGV, and DP models. The model parameters are identified through hybrid pulse power characterization test. The three models are evaluated, and SOC estimation conducted by EKF-Ah method under three operating conditions are quantitatively studied. The regression and correlation of the standard deviation and normalized RMSE are studied and compared between the model error and the SOC estimation error. These parameters exhibit a strong linear relationship. Results indicate that the model accuracy affects the SOC estimation accuracy mainly in two ways: dispersion of the frequency distribution of the error and the overall level of the error. On the basis of the relationship between model error and SOC estimation error, our study provides a strategy for selecting a suitable cell model to meet the requirements of SOC precision using Kalman filter.

  1. Design of a Bidirectional Energy Storage System for a Vanadium Redox Flow Battery in a Microgrid with SOC Estimation

    Directory of Open Access Journals (Sweden)

    Qingwu Gong

    2017-03-01

    Full Text Available This paper used a Vanadium Redox flow Battery (VRB as the storage battery and designed a two-stage topology of a VRB energy storage system in which a phase-shifted full bridge dc-dc converter and three-phase inverter were used, considering the low terminal voltage of the VRB. Following this, a model of the VRB was simplified, according to the operational characteristics of the VRB in this designed topology of a VRB energy storage system (ESS. By using the simplified equivalent model of the VRB, the control parameters of the ESS were designed. For effectively estimating the state of charge (SOC of the VRB, a traditional method for providing the SOC estimation was simplified, and a simple and effective SOC estimation method was proposed in this paper. Finally, to illustrate the proper design of the VRB ESS and the proposed SOC estimation method, a corresponding simulation was designed by Simulink. The test results have demonstrated that this proposed SOC estimation method is feasible and effective for indicating the SOC of a VRB and the proper design of this VRB ESS is very reasonable for VRB applications.

  2. High organic inputs explain shallow and deep SOC storage in a long-term agroforestry system - combining experimental and modeling approaches

    Science.gov (United States)

    Cardinael, Rémi; Guenet, Bertrand; Chevallier, Tiphaine; Dupraz, Christian; Cozzi, Thomas; Chenu, Claire

    2018-01-01

    Agroforestry is an increasingly popular farming system enabling agricultural diversification and providing several ecosystem services. In agroforestry systems, soil organic carbon (SOC) stocks are generally increased, but it is difficult to disentangle the different factors responsible for this storage. Organic carbon (OC) inputs to the soil may be larger, but SOC decomposition rates may be modified owing to microclimate, physical protection, or priming effect from roots, especially at depth. We used an 18-year-old silvoarable system associating hybrid walnut trees (Juglans regia × nigra) and durum wheat (Triticum turgidum L. subsp. durum) and an adjacent agricultural control plot to quantify all OC inputs to the soil - leaf litter, tree fine root senescence, crop residues, and tree row herbaceous vegetation - and measured SOC stocks down to 2 m of depth at varying distances from the trees. We then proposed a model that simulates SOC dynamics in agroforestry accounting for both the whole soil profile and the lateral spatial heterogeneity. The model was calibrated to the control plot only. Measured OC inputs to soil were increased by about 40 % (+ 1.11 t C ha-1 yr-1) down to 2 m of depth in the agroforestry plot compared to the control, resulting in an additional SOC stock of 6.3 t C ha-1 down to 1 m of depth. However, most of the SOC storage occurred in the first 30 cm of soil and in the tree rows. The model was strongly validated, properly describing the measured SOC stocks and distribution with depth in agroforestry tree rows and alleys. It showed that the increased inputs of fresh biomass to soil explained the observed additional SOC storage in the agroforestry plot. Moreover, only a priming effect variant of the model was able to capture the depth distribution of SOC stocks, suggesting the priming effect as a possible mechanism driving deep SOC dynamics. This result questions the potential of soils to store large amounts of carbon, especially at depth. Deep

  3. An Online SOC and SOH Estimation Model for Lithium-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Shyh-Chin Huang

    2017-04-01

    Full Text Available The monitoring and prognosis of cell degradation in lithium-ion (Li-ion batteries are essential for assuring the reliability and safety of electric and hybrid vehicles. This paper aims to develop a reliable and accurate model for online, simultaneous state-of-charge (SOC and state-of-health (SOH estimations of Li-ion batteries. Through the analysis of battery cycle-life test data, the instantaneous discharging voltage (V and its unit time voltage drop, V′, are proposed as the model parameters for the SOC equation. The SOH equation is found to have a linear relationship with 1/V′ times the modification factor, which is a function of SOC. Four batteries are tested in the laboratory, and the data are regressed for the model coefficients. The results show that the model built upon the data from one single cell is able to estimate the SOC and SOH of the three other cells within a 5% error bound. The derived model is also proven to be robust. A random sampling test to simulate the online real-time SOC and SOH estimation proves that this model is accurate and can be potentially used in an electric vehicle battery management system (BMS.

  4. Identification of suppressor of cytokine signalling (SOCS) 6, 7, 9 and CISH in rainbow trout Oncorhynchus mykiss and analysis of their expression in relation to other known trout SOCS.

    Science.gov (United States)

    Wang, Tiehui; Gao, Qian; Nie, Pin; Secombes, Christopher J

    2010-10-01

    Four new members of the SOCS family of molecules in rainbow trout (Oncorhynchus mykiss), CISH and SOCS6, 7 and 9, are described for the first time in this species. The genes had a wide tissue distribution in trout, and were detected in gills, skin, muscle, liver, spleen, head kidney, intestine and brain, with brain having the highest expression levels. Stimulation of a rainbow trout leucocyte cell line, RTS-11, (mononuclear/macrophage-like cells) with LPS or Poly I:C had no effect on the expression of these genes, although in both cases the previously identified SOCS1-3 genes were up-regulated. Similarly, stimulation of RTS-11 or RTG-2 (fibroblasts) cells with the trout recombinant cytokines IFN-gamma or IL-1beta had no effect on CISH or SOCS6, 7 and 9 expression. However, PMA stimulation did impact on SOCS6 and SOCS9 expression, and LPS stimulation of primary cultures or bacterial infection (Yersinia ruckeri) increased significantly CISH expression (as well as SOCS1 and SOCS2 or SOCS3 respectively). It is apparent that the type II SOCS genes (CISH, SOCS1-3) are particularly relevant to immune regulation in fish, although the intriguing expansion of the SOCS4/5 subgroup in fish requires further investigation as to their role and functional divergence. Copyright 2010 Elsevier Ltd. All rights reserved.

  5. SPAD array based TOF SoC design for unmanned vehicle

    Science.gov (United States)

    Pan, An; Xu, Yuan; Xie, Gang; Huang, Zhiyu; Zheng, Yanghao; Shi, Weiwei

    2018-03-01

    As for the requirement of unmanned-vehicle mobile Lidar system, this paper presents a SoC design based on pulsed TOF depth image sensor. This SoC has a detection range of 300m and detecting resolution of 1.5cm. Pixels are made of SPAD. Meanwhile, SoC adopts a structure of multi-pixel sharing TDC, which significantly reduces chip area and improve the fill factor of light-sensing surface area. SoC integrates a TCSPC module to achieve the functionality of receiving each photon, measuring photon flight time and processing depth information in one chip. The SOC is designed in the SMIC 0.13μm CIS CMOS technology

  6. Research on SOC Calibration of Large Capacity Lead Acid Battery

    Science.gov (United States)

    Ye, W. Q.; Guo, Y. X.

    2018-05-01

    Large capacity lead-acid battery is used in track electric locomotive, and State of Charge (SOC) is an important quantitative parameter of locomotive power output and operating mileage of power emergency recovery vehicle. But State of Charge estimation has been a difficult part in the battery management system. In order to reduce the SOC estimation error better, this paper uses the linear relationship of Open Circuit Voltage (OCV) and State of Charge to fit the SOC-OCV curve equation by MATLAB. The method proposed in this paper is small, easy to implement and can be used in the battery non-working state SOC estimation correction, improve the estimation accuracy of SOC.

  7. Community Readiness Within Systems of Care: The Validity and Reliability of the System of Care Readiness and Implementation Measurement Scale (SOC-RIMS).

    Science.gov (United States)

    Rosas, Scott R; Behar, Lenore B; Hydaker, William M

    2016-01-01

    Establishing a system of care requires communities to identify ways to successfully implement strategies and support positive outcomes for children and their families. Such community transformation is complex and communities vary in terms of their readiness for implementing sustainable community interventions. Assessing community readiness and guiding implementation, specifically for the funded communities implementing a system of care, requires a well-designed tool with sound psychometric properties. This scale development study used the results of a previously published concept mapping study to create, administer, and assess the psychometric characteristics of the System of Care Readiness and Implementation Measurement Scale (SOC-RIMS). The results indicate the SOC-RIMS possesses excellent internal consistency characteristics, measures clearly discernible dimensions of community readiness, and demonstrates the target constructs exist within a broad network of content. The SOC-RIMS can be a useful part of a comprehensive assessment in communities where system of care practices, principles, and philosophies are implemented and evaluated.

  8. Respiratory Syncytial Virus Nonstructural Proteins Upregulate SOCS1 and SOCS3 in the Different Manner from Endogenous IFN Signaling

    Directory of Open Access Journals (Sweden)

    Junwen Zheng

    2015-01-01

    Full Text Available Respiratory syncytial virus (RSV infection upregulates genes of the suppressor of cytokine signaling (SOCS family, which utilize a feedback loop to inhibit type I interferon dependent antiviral signaling pathway. Here, we reconstituted RSV nonstructural (NS protein expression plasmids (pNS1, pNS2, and pNS1/2 and tested whether NS1 or NS2 would trigger SOCS1 and SOCS3 protein expression. These NS proteins inhibited interferon- (IFN- α signaling through a mechanism involving the induction of SOCS1 and SOCS3, which appeared to be different from autocrine IFN dependent. NS1 induced both SOCS1 and SOCS3 upregulation, while NS2 only induced SOCS1 expression. The induced expression of SOCS1 and SOCS3 preceded endogenous IFN-signaling activation and inhibited the IFN-inducible antiviral response as well as chemokine induction. Treatments with INF-α and NS proteins both induced SOCS1 expression; however, they had opposing effects on IFN-α-dependent antiviral gene expression. Our results indicate that NS1 and NS2, which induce the expression of SOCS1 or SOCS3, might represent an independent pathway of stimulating endogenous IFN signaling.

  9. SOCS-1 localizes to the microtubule organizing complex-associated 20S proteasome.

    Science.gov (United States)

    Vuong, Bao Q; Arenzana, Teresita L; Showalter, Brian M; Losman, Julie; Chen, X Peter; Mostecki, Justin; Banks, Alexander S; Limnander, Andre; Fernandez, Neil; Rothman, Paul B

    2004-10-01

    The regulation of cytokine signaling is critical for controlling cellular proliferation and activation during an immune response. SOCS-1 is a potent inhibitor of Jak kinase activity and of signaling initiated by several cytokines. SOCS-1 protein levels are tightly regulated, and recent data suggest that SOCS-1 may regulate the protein levels of some signaling proteins by the ubiquitin proteasome pathway; however, the cellular mechanism by which SOCS-1 directs proteins for degradation is unknown. In this report, SOCS-1 is found to colocalize and biochemically copurify with the microtubule organizing complex (MTOC) and its associated 20S proteasome. The SOCS-1 SH2 domain is required for the localization of SOCS-1 to the MTOC. Overexpression of SOCS-1 targets Jak1 in an SH2-dependent manner to a perinuclear distribution resembling the MTOC-associated 20S proteasome. Analysis of MTOCs fractionated from SOCS-1-deficient cells demonstrates that SOCS-1 may function redundantly to regulate the localization of Jak1 to the MTOC. Nocodazole inhibits the protein turnover of SOCS-1, demonstrating that the minus-end transport of SOCS-1 to the MTOC-associated 20S proteasome is required to regulate SOCS-1 protein levels. These data link SOCS-1 directly with the proteasome pathway and suggest another function for the SH2 domain of SOCS-1 in the regulation of Jak/STAT signaling.

  10. Rapid Development of System-on-Chip (SoC for Network-Enabled Visible Light Communications

    Directory of Open Access Journals (Sweden)

    Trio Adiono

    2018-03-01

    Full Text Available Visible Light Communication (VLC is an emerging optical communication technology with rapid development nowadays. VLC is considered as a compliment and successor of radio-frequency (RF wireless communication. There are various typical implementations of VLC in which one of them is for exchanging data TCP/IP packets, thus the user can browse the internet as in established Wireless fidelity (Wi-Fi technology. Briefly, we can call it by Light fidelity (Li-Fi. This paper described the design and implementation of System-on-Chip (SoC subsystem for Li-Fi application where the implemented SoC consists of hardware (H/W and software (S/W. In the H/W aspect, Physical Layer (PHY is made by using UART communication with Ethernet connection to communicate with Host/Device personal-computer (PC. In the S/W aspect, Xillinux operating system (OS is used. The H/W- as well as S/W-SoC, are realized in FPGA Zybo Zynq-7000 EPP development board. The functional test result shows (without optical channel or Zybo-to-Zybo only that the implemented SoC is working as expected. It is able to exchange TCP/IP packets between two PCs. Moreover, Ethernet connection has bandwidth up to 83.6 Mbps and PHY layer baud rate has bandwidth up to 921600 bps.

  11. High organic inputs explain shallow and deep SOC storage in a long-term agroforestry system – combining experimental and modeling approaches

    Directory of Open Access Journals (Sweden)

    R. Cardinael

    2018-01-01

    Full Text Available Agroforestry is an increasingly popular farming system enabling agricultural diversification and providing several ecosystem services. In agroforestry systems, soil organic carbon (SOC stocks are generally increased, but it is difficult to disentangle the different factors responsible for this storage. Organic carbon (OC inputs to the soil may be larger, but SOC decomposition rates may be modified owing to microclimate, physical protection, or priming effect from roots, especially at depth. We used an 18-year-old silvoarable system associating hybrid walnut trees (Juglans regia  ×  nigra and durum wheat (Triticum turgidum L. subsp. durum and an adjacent agricultural control plot to quantify all OC inputs to the soil – leaf litter, tree fine root senescence, crop residues, and tree row herbaceous vegetation – and measured SOC stocks down to 2 m of depth at varying distances from the trees. We then proposed a model that simulates SOC dynamics in agroforestry accounting for both the whole soil profile and the lateral spatial heterogeneity. The model was calibrated to the control plot only. Measured OC inputs to soil were increased by about 40 % (+ 1.11 t C ha−1 yr−1 down to 2 m of depth in the agroforestry plot compared to the control, resulting in an additional SOC stock of 6.3 t C ha−1 down to 1 m of depth. However, most of the SOC storage occurred in the first 30 cm of soil and in the tree rows. The model was strongly validated, properly describing the measured SOC stocks and distribution with depth in agroforestry tree rows and alleys. It showed that the increased inputs of fresh biomass to soil explained the observed additional SOC storage in the agroforestry plot. Moreover, only a priming effect variant of the model was able to capture the depth distribution of SOC stocks, suggesting the priming effect as a possible mechanism driving deep SOC dynamics. This result questions the potential of soils to

  12. SOCS proteins in development and disease

    Science.gov (United States)

    Trengove, Monique C; Ward, Alister C

    2013-01-01

    Cytokine and growth factor signaling mediates essential roles in the differentiation, proliferation, survival and function of a number of cell lineages. This is achieved via specific receptors located on the surface of target cells, with ligand binding activating key intracellular signal transduction cascades to mediate the requisite cellular outcome. Effective resolution of receptor signaling is also essential, with excessive signaling having the potential for pathological consequences. The Suppressor of cytokine signaling (SOCS) family of proteins represent one important mechanism to extinguish cytokine and growth factor receptor signaling. There are 8 SOCS proteins in mammals; SOCS1-7 and the alternatively named Cytokine-inducible SH2-containing protein (CISH). SOCS1-3 and CISH are predominantly associated with the regulation of cytokine receptor signaling, while SOCS4-7 are more commonly involved in the control of Receptor tyrosine kinase (RTK) signaling. Individual SOCS proteins are typically induced by specific cytokines and growth factors, thereby generating a negative feedback loop. As a consequence of their regulatory properties, SOCS proteins have important functions in development and homeostasis, with increasing recognition of their role in disease, particularly their tumor suppressor and anti-inflammatory functions. This review provides a synthesis of our current understanding of the SOCS family, with an emphasis on their immune and hematopoietic roles. PMID:23885323

  13. Assessing SOC labile fractions through respiration test, density-size fractionation and thermal analysis - A comparison of methods

    Science.gov (United States)

    Soucemarianadin, Laure; Cécillon, Lauric; Chenu, Claire; Baudin, François; Nicolas, Manuel; Savignac, Florence; Barré, Pierre

    2017-04-01

    Soil organic matter (SOM) is the biggest terrestrial carbon reservoir, storing 3 to 4 times more carbon than the atmosphere. However, despite its major importance for climate regulation SOM dynamics remains insufficiently understood. For instance, there is still no widely accepted method to assess SOM lability. Soil respiration tests and particulate organic matter (POM) obtained by different fractionation schemes have been used for decades and are now considered as classical estimates of very labile and labile soil organic carbon (SOC), respectively. But the pertinence of these methods to characterize SOM turnover can be questioned. Moreover, they are very time-consuming and their reproducibility might be an issue. Alternate ways of determining the labile SOC component are thus well-needed. Thermal analyses have been used to characterize SOM among which Rock-Eval 6 (RE6) analysis of soil has shown promising results in the determination of SOM biogeochemical stability (Gregorich et al., 2015; Barré et al., 2016). Using a large set of samples of French forest soils representing contrasted pedoclimatic conditions, including deep samples (up to 1 m depth), we compared different techniques used for SOM lability assessment. We explored whether results from soil respiration test (10-week laboratory incubations), SOM size-density fractionation and RE6 thermal analysis were comparable and how they were correlated. A set of 222 (respiration test and RE6), 103 (SOM fractionation and RE6) and 93 (respiration test, SOM fractionation and RE6) forest soils samples were respectively analyzed and compared. The comparison of the three methods (n = 93) using a principal component analysis separated samples from the surface (0-10 cm) and deep (40-80 cm) layers, highlighting a clear effect of depth on the short-term persistence of SOC. A correlation analysis demonstrated that, for these samples, the two classical methods of labile SOC determination (respiration and SOM fractionation

  14. Calcineurin inhibitor-induced complement system activation via ERK1/2 signalling is inhibited by SOCS-3 in human renal tubule cells.

    Science.gov (United States)

    Loeschenberger, Beatrix; Niess, Lea; Würzner, Reinhard; Schwelberger, Hubert; Eder, Iris E; Puhr, Martin; Guenther, Julia; Troppmair, Jakob; Rudnicki, Michael; Neuwirt, Hannes

    2018-02-01

    One factor that significantly contributes to renal allograft loss is chronic calcineurin inhibitor (CNI) nephrotoxicity (CIN). Among other factors, the complement (C-) system has been proposed to be involved CIN development. Hence, we investigated the impact of CNIs on intracellular signalling and the effects on the C-system in human renal tubule cells. In a qPCR array, CNI treatment upregulated C-factors and downregulated SOCS-3 and the complement inhibitors CD46 and CD55. Additionally, ERK1/-2 was required for these regulations. Following knock-down and overexpression of SOCS-3, we found that SOCS-3 inhibits ERK1/-2 signalling. Finally, we assessed terminal complement complex formation, cell viability and apoptosis. Terminal complement complex formation was induced by CNIs. Cell viability was significantly decreased, whereas apoptosis was increased. Both effects were reversed under complement component-depleted conditions. In vivo, increased ERK1/-2 phosphorylation and SOCS-3 downregulation were observed at the time of transplantation in renal allograft patients who developed a progressive decline of renal function in the follow-up compared to stable patients. The progressive cohort also had lower total C3 levels, suggesting higher complement activity at baseline. In conclusion, our data suggest that SOCS-3 inhibits CNI-induced ERK1/-2 signalling, thereby blunting the negative control of C-system activation. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Expression of the SOCS family in human chronic wound tissues: Potential implications for SOCS in chronic wound healing

    Science.gov (United States)

    Feng, Yi; Sanders, Andrew J.; Ruge, Fiona; Morris, Ceri-Ann; Harding, Keith G.; Jiang, Wen G.

    2016-01-01

    Cytokines play important roles in the wound healing process through various signalling pathways. The JAK-STAT pathway is utilised by most cytokines for signal transduction and is regulated by a variety of molecules, including suppressor of cytokine signalling (SOCS) proteins. SOCS are associated with inflammatory diseases and have an impact on cytokines, growth factors and key cell types involved in the wound-healing process. SOCS, a negative regulator of cytokine signalling, may hold the potential to regulate cytokine-induced signalling in the chronic wound-healing process. Wound edge tissues were collected from chronic venous leg ulcer patients and classified as non-healing and healing wounds. The expression pattern of seven SOCSs members, at the transcript and protein level, were examined in these tissues using qPCR and immunohistochemistry. Significantly higher levels of SOCS3 (P=0.0284) and SOCS4 (P=0.0376) in non-healing chronic wounds compared to the healing/healed chronic wounds were observed at the transcript level. Relocalisation of SOCS3 protein in the non-healing wound environment was evident in the investigated chronic biopsies. Thus, the results show that the expression of SOCS transcript indicated that SOCS members may act as a prognostic biomarker of chronic wounds. PMID:27635428

  16. Algorithm & SoC design for automotive vision systems for smart safe driving system

    CERN Document Server

    Shin, Hyunchul

    2014-01-01

    An emerging trend in the automobile industry is its convergence with information technology (IT). Indeed, it has been estimated that almost 90% of new automobile technologies involve IT in some form. Smart driving technologies that improve safety as well as green fuel technologies are quite representative of the convergence between IT and automobiles. The smart driving technologies include three key elements: sensing of driving environments, detection of objects and potential hazards, and the generation of driving control signals including warning signals. Although radar-based systems are primarily used for sensing the driving environments, the camera has gained importance in advanced driver assistance systems(ADAS). This book covers system-on-a-chip (SoC) designs—including both algorithms and hardware—related with image sensing and object detection by using the camera for smart driving systems. It introduces a variety of algorithms such as lens correction, super resolution, image enhancement, and object ...

  17. SOCS2 and SOCS3 expression in ulcerative colitis and their correlation with inflammatory response and immune response

    Directory of Open Access Journals (Sweden)

    Le Huang1

    2017-05-01

    Full Text Available Objective: To study the correlation of SOCS2 and SOCS3 expression in ulcerative colitis tissue with inflammatory response and immune response. Methods: Ulcerative colitis lesions and normal mucosa from colonoscopic biopsy in Central Hospital of Zibo Mining Refco Group Ltd between May 2014 and July 2016 were selected and enrolled in UC group and control group respectively. RNA was extracted to determine mRNA expression of SOCS2 and SOCS3 as well as inflammatory response JAKs/STATs pathway molecules; protein was extracted to determine the contents of immune response cytokines. Results: SOCS2 mRNA expression in intestinal mucosa of UC group was not significantly different from that of control group, and SOCS3 mRNA expression was significantly lower than that of control group; JAK1, JAK2, JAK3, STAT1, STAT3 and STAT5 mRNA expression as well as IFN-γ and IL-17 protein contents in intestinal mucosa of UC group were significantly higher than those of control group while IL-4 and IL-10 protein contents were significantly lower than those of control group; JAK1, JAK2, JAK3, STAT1, STAT3 and STAT5 mRNA expression as well as IFN-γ and IL-17 protein contents in UC group of intestinal mucosa with low SOCS3 expression were significantly higher than those of intestinal mucosa with high SOCS3 expression while IL-4 and IL-10 protein contents were significantly lower than those of intestinal mucosa with high SOCS3 expression. Conclusion: Low expression of SOCS3 in ulcerative colitis can aggravate the inflammatory reaction and cause the imbalance of Th1/Th2 and Th17/Treg immune response.

  18. Modulation of SOCS protein expression influences the interferon responsiveness of human melanoma cells

    International Nuclear Information System (INIS)

    Lesinski, Gregory B; Zimmerer, Jason M; Kreiner, Melanie; Trefry, John; Bill, Matthew A; Young, Gregory S; Becknell, Brian; Carson, William E III

    2010-01-01

    Endogenously produced interferons can regulate the growth of melanoma cells and are administered exogenously as therapeutic agents to patients with advanced cancer. We investigated the role of negative regulators of interferon signaling known as suppressors of cytokine signaling (SOCS) in mediating interferon-resistance in human melanoma cells. Basal and interferon-alpha (IFN-α) or interferon-gamma (IFN-γ)-induced expression of SOCS1 and SOCS3 proteins was evaluated by immunoblot analysis in a panel of n = 10 metastatic human melanoma cell lines, in human embryonic melanocytes (HEM), and radial or vertical growth phase melanoma cells. Over-expression of SOCS1 and SOCS3 proteins in melanoma cells was achieved using the PINCO retroviral vector, while siRNA were used to inhibit SOCS1 and SOCS3 expression. Tyr 701 -phosphorylated STAT1 (P-STAT1) was measured by intracellular flow cytometry and IFN-stimulated gene expression was measured by Real Time PCR. SOCS1 and SOCS3 proteins were expressed at basal levels in melanocytes and in all melanoma cell lines examined. Expression of the SOCS1 and SOCS3 proteins was also enhanced following stimulation of a subset of cell lines with IFN-α or IFN-γ. Over-expression of SOCS proteins in melanoma cell lines led to significant inhibition of Tyr 701 -phosphorylated STAT1 (P-STAT1) and gene expression following stimulation with IFN-α (IFIT2, OAS-1, ISG-15) or IFN-γ (IRF1). Conversely, siRNA inhibition of SOCS1 and SOCS3 expression in melanoma cells enhanced their responsiveness to interferon stimulation. These data demonstrate that SOCS proteins are expressed in human melanoma cell lines and their modulation can influence the responsiveness of melanoma cells to IFN-α and IFN-γ

  19. Constitutive expression of the K-domain of a Vaccinium corymbosum SOC1-like (VcSOC1-K) MADS-box gene is sufficient to promote flowering in tobacco.

    Science.gov (United States)

    Song, Guo-qing; Walworth, Aaron; Zhao, Dongyan; Hildebrandt, Britton; Leasia, Michael

    2013-11-01

    The K-domain of a blueberry-derived SOC1 -like gene promotes flowering in tobacco without negatively impacting yield, demonstrating potential for manipulation of flowering time in horticultural crops. The SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (SOC1) and SOC1-likes, belonging to the MIKC(c) (type II) MADS-box gene subfamily, are major floral activators and integrators of plant flowering. Both MADS-domains and K (Keratin)-domains are highly conserved in MIKC(c)-type MADS proteins. While there are many reports on overexpression of intact MIKC(c)-type MADS-box genes, few studies have been conducted to investigate the effects of the K-domains. In this report, a 474-bp K-domain of Vaccinium SOC1-like (VcSOC1-K) was cloned from the cDNA library of the northern highbush blueberry (Vaccinium corymbosum L.). Functional analysis of the VcSOC1-K was conducted by ectopically expressing of 35S:VcSOC1-K in tobacco. Reverse transcription PCR confirmed expression of the VcSOC1-K in T0 plants. Phenotypically, T1 transgenic plants (10 T1 plants/event) flowered sooner after seeding, and were shorter with fewer leaves at the time of flowering, than nontransgenic plants; but seed pod production of transgenic plants was not significantly affected. These results demonstrate that overexpression of the K-domain of a MIKC(c)-type MADS-box gene alone is sufficient to promote early flowering and more importantly without affecting seed production.

  20. EXPRESSION OF SOCS3 AND SOCS5 MRNAS IN PERIPHERAL BLOOD MONONUCLEARS FROM THE PATIENTS WITH BRONCHIAL ASTHMA

    Directory of Open Access Journals (Sweden)

    V. V. Lim

    2014-01-01

    Full Text Available We observed sixty patients with allergic bronchial asthma (ABA and 54 with non-allergic bronchial asthma (NABA. Quantitative SOCS3 and SOCS5 mRNA expression was evaluated by means of real-time PCR. Eighteen healthy persons served as a control group. In patients with bronchial asthma (irrespectively of pathogenetic form, a significant increase of SOCS3 transcription factor expression was detected in peripheral blood mononuclears, as compared with control group. This increase was more pronounced in NABA group. The mRNA SOCS5 level was significantly decreased in bronchial asthma patients, as compared to control group, especially, in ABA subgroup rather than in NABA patients. Thus, an increased expression of SOCS3 mRNA in BA patients could be regarded as a protective antiinflammatory response Decrease of SOCS5 mRNA expression in patients with bronchial asthma (being more pronounced in ABA, may be indicative for a deficiency in negative feedback regulation of gene transcription in allergic bronchial asthma.

  1. Applying transport-distance specific SOC distribution to calibrate soil erosion model WaTEM

    Science.gov (United States)

    Hu, Yaxian; Heckrath, Goswin J.; Kuhn, Nikolaus J.

    2016-04-01

    Slope-scale soil erosion, transport and deposition fundamentally decide the spatial redistribution of eroded sediments in terrestrial and aquatic systems, which further affect the burial and decomposition of eroded SOC. However, comparisons of SOC contents between upper eroding slope and lower depositional site cannot fully reflect the movement of eroded SOC in-transit along hillslopes. The actual transport distance of eroded SOC is decided by its settling velocity. So far, the settling velocity distribution of eroded SOC is mostly calculated from mineral particle specific SOC distribution. Yet, soil is mostly eroded in form of aggregates, and the movement of aggregates differs significantly from individual mineral particles. This urges a SOC erodibility parameter based on actual transport distance distribution of eroded fractions to better calibrate soil erosion models. Previous field investigation on a freshly seeded cropland in Denmark has shown immediate deposition of fast settling soil fractions and the associated SOC at footslopes, followed by a fining trend at the slope tail. To further quantify the long-term effects of topography on erosional redistribution of eroded SOC, the actual transport-distance specific SOC distribution observed on the field was applied to a soil erosion model WaTEM (based on USLE). After integrating with local DEM, our calibrated model succeeded in locating the hotspots of enrichment/depletion of eroded SOC on different topographic positions, much better corresponding to the real-world field observation. By extrapolating into repeated erosion events, our projected results on the spatial distribution of eroded SOC are also adequately consistent with the SOC properties in the consecutive sample profiles along the slope.

  2. Rock-Eval analysis of French forest soils: the influence of depth, soil and vegetation types on SOC thermal stability and bulk chemistry

    Science.gov (United States)

    Soucemarianadin, Laure; Cécillon, Lauric; Baudin, François; Cecchini, Sébastien; Chenu, Claire; Mériguet, Jacques; Nicolas, Manuel; Savignac, Florence; Barré, Pierre

    2017-04-01

    Soil organic matter (SOM) is the largest terrestrial carbon pool and SOM degradation has multiple consequences on key ecosystem properties like nutrients cycling, soil emissions of greenhouse gases or carbon sequestration potential. With the strong feedbacks between SOM and climate change, it becomes particularly urgent to develop reliable routine methodologies capable of indicating the turnover time of soil organic carbon (SOC) stocks. Thermal analyses have been used to characterize SOM and among them, Rock-Eval 6 (RE6) analysis of soil has shown promising results in the determination of in-situ SOC biogeochemical stability. This technique combines a phase of pyrolysis followed by a phase of oxidation to provide information on both the SOC bulk chemistry and thermal stability. We analyzed with RE6 a set of 495 soils samples from 102 permanent forest sites of the French national network for the long-term monitoring of forest ecosystems (''RENECOFOR'' network). Along with covering pedoclimatic variability at a national level, these samples include a range of 5 depths up to 1 meter (0-10 cm, 10-20 cm, 20-40 cm, 40-80 cm and 80-100 cm). Using RE6 parameters that were previously shown to be correlated to short-term (hydrogen index, HI; T50 CH pyrolysis) or long-term (T50 CO2 oxidation and HI) SOC persistence, and that characterize SOM bulk chemical composition (oxygen index, OI and HI), we tested the influence of depth (n = 5), soil class (n = 6) and vegetation type (n = 3; deciduous, coniferous-fir, coniferous-pine) on SOM thermal stability and bulk chemistry. Results showed that depth was the dominant discriminating factor, affecting significantly all RE6 parameters. With depth, we observed a decrease of the thermally labile SOC pool and an increase of the thermally stable SOC pool, along with an oxidation and a depletion of hydrogen-rich moieties of the SOC. Soil class and vegetation type had contrasted effects on the RE6 parameters but both affected significantly T

  3. Online cell SOC estimation of Li-ion battery packs using a dual time-scale Kalman filtering for EV applications

    International Nuclear Information System (INIS)

    Dai, Haifeng; Wei, Xuezhe; Sun, Zechang; Wang, Jiayuan; Gu, Weijun

    2012-01-01

    Highlights: ► We use an equivalent circuit model to describe the characteristics of battery. ► A dual time-scale estimator is used to calculate pack average SOC and cell SOC. ► The estimator is based on the dynamic descriptions and extended Kalman filter. ► Three different test cases are designed to validate the proposed method. ► Test results indicate a good performance of the method for EV applications. -- Abstract: For the vehicular operation, due to the voltage and power/energy requirements, the battery systems are usually composed of up to hundreds of cells connected in series or parallel. To accommodate the operation conditions, the battery management system (BMS) should estimate State of Charge (SOC) to facilitate safe and efficient utilization of the battery. The performance difference among the cells makes a pure pack SOC estimation hardly provide sufficient information, which at last affects the computation of available energy and power and the safety of the battery system. So for a reliable and accurate management, the BMS should “know” the SOC of each individual cell. Several possible solutions on this issue have been reported in the recent years. This paper studies a method to determine online all individual cell SOCs of a series-connected battery pack. This method, with an equivalent circuit based “averaged cell” model, estimates the battery pack’s average SOC first, and then incorporates the performance divergences between the “averaged cell” and each individual cell to generate the SOC estimations for all cells. This method is developed based on extended Kalman filter (EKF), and to reduce the computation cost, a dual time-scale implementation is designed. The method is validated using results obtained from the measurements of a Li-ion battery pack under three different tests, and analysis indicates the good performance of the algorithm.

  4. NEW EPICS/RTEMS IOC BASED ON ALTERA SOC AT JEFFERSON LAB

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Jianxun [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Seaton, Chad [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Allison, Trent L. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Bevins, Brian S. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Cuffe, Anthony W. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States)

    2018-02-01

    A new EPICS/RTEMS IOC based on the Altera System-on-Chip (SoC) FPGA is being designed at Jefferson Lab. The Altera SoC FPGA integrates a dual ARM Cortex-A9 Hard Processor System (HPS) consisting of processor, peripherals and memory interfaces tied seamlessly with the FPGA fabric using a high-bandwidth interconnect backbone. The embedded Altera SoC IOC has features of remote network boot via U-Boot from SD card or QSPI Flash, 1Gig Ethernet, 1GB DDR3 SDRAM on HPS, UART serial ports, and ISA bus interface. RTEMS for the ARM processor BSP were built with CEXP shell, which will dynamically load the EPICS applications at runtime. U-Boot is the primary bootloader to remotely load the kernel image into local memory from a DHCP/TFTP server over Ethernet, and automatically run RTEMS and EPICS. The first design of the SoC IOC will be compatible with Jefferson Lab’s current PC104 IOCs, which have been running in CEBAF 10 years. The next design would be mounting in a chassis and connected to a daughter card via standard HSMC connectors. This standard SoC IOC will become the next generation of low-level IOC for the accelerator controls at Jefferson Lab.

  5. Correct-by-construction approaches for SoC design

    CERN Document Server

    Sinha, Roopak; Basu, Samik

    2013-01-01

    This book describes an approach for designing Systems-on-Chip such that the system meets precise mathematical requirements. The methodologies presented enable embedded systems designers to reuse intellectual property (IP) blocks from existing designs in an efficient, reliable manner, automatically generating correct SoCs from multiple, possibly mismatching, components.

  6. Development of control system for multi-converter High voltage Power supply using programmable SoC

    Science.gov (United States)

    Dave, Rasesh; Dharangutti, Jagruti; Singh, N. P.; Thakar, Aruna; Dhola, Hitesh; Gajjar, Sandip; Parmar, Darshan; Zaveri, Tanish; Baruah, Ujjwal

    2017-04-01

    Multi-converter based High Voltage Power Supplies (HVPSs) find application in multi-megawatt accelerators, RF systems. Control system for HVPS must be a combination of superior parallel processing, real time performance, fast computation and versatile connectivity. The hardware platform is expected to be robust, easily scalable for future developments with minimal overheads. This paper describes development of control system on Zynq All Programmable SoC (System on Chip) for HVPS. Typical HVPS control mechanism involves communication, generation of precise control signals/pulses for few hundred numbers of chopper and closed loop control in microsecond range for regulated output. Such kind of requirements can be met with Zynq All Programmable SoC, which is a combination of Dual core ARM Cortex A-9 Processing System (PS) and Xilinx 7 series FPGA based Programmable Logic (PL). Deterministic functions of power supply control system such as generation of control signals with precise inter-channel delay of nanosecond range and communication with individual chopper at 100kbps can be implemented on PL. PS should implement corrective tasks based on field feedback received from individual chopper, user interface and OS management that allows to take full advantage of system capabilities. PS and PL are connected with on-chip AXI-4 interface with low latency and higher bandwidth through 9 AXI ports. Typically PS boots first, this ensures secure booting and prevents external environment from tampering PL.

  7. A step toward 'plug and play' robotics with SoC technology

    DEFF Research Database (Denmark)

    Sørensen, Anders Stengaard; Falsig, Simon; Ugilt, Rolf

    p, li { white-space: pre-wrap; } This article describe our progress toward simplifying and streamlining the low level systems integration of experimental robots, combining a System on Chip (SoC) approach with conventional modular approaches. The combined approach has increased flexibility, improved...... the embedded integration, and decreased the complexity of programming, compared to conventional modular approaches. We show the impact of the SoC approach in a simple demonstration and teaching model of a walking robot....

  8. Effects of SOC-dependent electrolyte viscosity on performance of vanadium redox flow batteries

    International Nuclear Information System (INIS)

    Xu, Q.; Zhao, T.S.; Zhang, C.

    2014-01-01

    Highlights: • The correlations of electrolyte viscosity and SOC are obtained. • Effect of SOC-dependent electrolyte viscosity is considered in this model. • This model enables a more realistic simulation of variable distributions. • It provides accurate estimations of pumping work and system efficiency. - Abstract: The viscosity of the electrolyte in vanadium redox flow batteries (VRFBs) varies during charge and discharge as the concentrations of acid and vanadium ions in the electrolyte continuously change with the state of charge (SOC). In previous VRFB models, however, the electrolyte has been treated as a constant-viscosity solution. In this work, a mass-transport and electrochemical model taking account of the effect of SOC-dependent electrolyte viscosity is developed. The comparison between the present model and the model with the constant-viscosity simplification indicates that the consideration of the SOC-dependent electrolyte viscosity enables (i) a more realistic simulation of the distributions of overpotential and current density in the electrodes, and (ii) more accurate estimations of pumping work and the system efficiency of VRFBs

  9. Development of control system for multi-converter high voltage power supply using programmable SoC

    International Nuclear Information System (INIS)

    Dave, Rasesh; Singh, N.P.; Thakar, Aruna; Dhola, Hitesh; Gajjar, Sandip; Parmar, Darshan Kumar; Baruah, Ujjwal Kumar; Dharangutti, Jagruti; Zaveri, Tanish

    2015-01-01

    Multi-converter based High Voltage Power Supplies (HVPSs) find application in multi-megawatt accelerators, RF systems. Control system for HVPS must be a combination of superior parallel processing, real time performance, fast computation and versatile connectivity. The hardware platform is expected to be robust, easily scalable for future developments without any cost overhead. Typical HVPS control mechanism involves communication, generation of precise control signals/pulses for few hundred Nos of chopper and closed loop control in microsecond range for regulated output. Such kind of requirements can be met with Zynq All Programmable SoC, which is a combination of Dual core ARM Cortex A-9 Processing System (PS) and Xilinx 7 series FPGA based Programmable Logic (PL). Deterministic functions of power supply control system such as generation of control signals with precise inter-channel delay of nanosecond range and communication with individual chopper at 100kbps can be implemented on PL. PS should implement corrective tasks based on field feedback received from individual chopper, user interface and OS management that allows to take full advantage of system capabilities. PS and PL are connected with on-chip AXI-4 interface with low latency and higher bandwidth through 9 AXI ports. Typically PS boots first, this ensures secure booting and prevents external environment from tampering PL. This paper describes development of control system on Zynq All Programmable SoC for HVPS. (author)

  10. Robustness of SOC Estimation Algorithms for EV Lithium-Ion Batteries against Modeling Errors and Measurement Noise

    Directory of Open Access Journals (Sweden)

    Xue Li

    2015-01-01

    Full Text Available State of charge (SOC is one of the most important parameters in battery management system (BMS. There are numerous algorithms for SOC estimation, mostly of model-based observer/filter types such as Kalman filters, closed-loop observers, and robust observers. Modeling errors and measurement noises have critical impact on accuracy of SOC estimation in these algorithms. This paper is a comparative study of robustness of SOC estimation algorithms against modeling errors and measurement noises. By using a typical battery platform for vehicle applications with sensor noise and battery aging characterization, three popular and representative SOC estimation methods (extended Kalman filter, PI-controlled observer, and H∞ observer are compared on such robustness. The simulation and experimental results demonstrate that deterioration of SOC estimation accuracy under modeling errors resulted from aging and larger measurement noise, which is quantitatively characterized. The findings of this paper provide useful information on the following aspects: (1 how SOC estimation accuracy depends on modeling reliability and voltage measurement accuracy; (2 pros and cons of typical SOC estimators in their robustness and reliability; (3 guidelines for requirements on battery system identification and sensor selections.

  11. Functional network analysis of genes differentially expressed during xylogenesis in soc1ful woody Arabidopsis plants.

    Science.gov (United States)

    Davin, Nicolas; Edger, Patrick P; Hefer, Charles A; Mizrachi, Eshchar; Schuetz, Mathias; Smets, Erik; Myburg, Alexander A; Douglas, Carl J; Schranz, Michael E; Lens, Frederic

    2016-06-01

    Many plant genes are known to be involved in the development of cambium and wood, but how the expression and functional interaction of these genes determine the unique biology of wood remains largely unknown. We used the soc1ful loss of function mutant - the woodiest genotype known in the otherwise herbaceous model plant Arabidopsis - to investigate the expression and interactions of genes involved in secondary growth (wood formation). Detailed anatomical observations of the stem in combination with mRNA sequencing were used to assess transcriptome remodeling during xylogenesis in wild-type and woody soc1ful plants. To interpret the transcriptome changes, we constructed functional gene association networks of differentially expressed genes using the STRING database. This analysis revealed functionally enriched gene association hubs that are differentially expressed in herbaceous and woody tissues. In particular, we observed the differential expression of genes related to mechanical stress and jasmonate biosynthesis/signaling during wood formation in soc1ful plants that may be an effect of greater tension within woody tissues. Our results suggest that habit shifts from herbaceous to woody life forms observed in many angiosperm lineages could have evolved convergently by genetic changes that modulate the gene expression and interaction network, and thereby redeploy the conserved wood developmental program. © 2016 The Authors. The Plant Journal published by Society for Experimental Biology and John Wiley & Sons Ltd.

  12. Clock generators for SOC processors circuits and architectures

    CERN Document Server

    Fahim, Amr

    2004-01-01

    This book explores the design of fully-integrated frequency synthesizers suitable for system-on-a-chip (SOC) processors. The text takes a more global design perspective in jointly examining the design space at the circuit level as well as at the architectural level. The comprehensive coverage includes summary chapters on circuit theory as well as feedback control theory relevant to the operation of phase locked loops (PLLs). On the circuit level, the discussion includes low-voltage analog design in deep submicron digital CMOS processes, effects of supply noise, substrate noise, as well device noise. On the architectural level, the discussion includes PLL analysis using continuous-time as well as discrete-time models, linear and nonlinear effects of PLL performance, and detailed analysis of locking behavior. The book provides numerous real world applications, as well as practical rules-of-thumb for modern designers to use at the system, architectural, as well as the circuit level.

  13. A Generalized SOC-OCV Model for Lithium-Ion Batteries and the SOC Estimation for LNMCO Battery

    Directory of Open Access Journals (Sweden)

    Caiping Zhang

    2016-11-01

    Full Text Available A state-of-charge (SOC versus open-circuit-voltage (OCV model developed for batteries should preferably be simple, especially for real-time SOC estimation. It should also be capable of representing different types of lithium-ion batteries (LIBs, regardless of temperature change and battery degradation. It must therefore be generic, robust and adaptive, in addition to being accurate. These challenges have now been addressed by proposing a generalized SOC-OCV model for representing a few most widely used LIBs. The model is developed from analyzing electrochemical processes of the LIBs, before arriving at the sum of a logarithmic, a linear and an exponential function with six parameters. Values for these parameters are determined by a nonlinear estimation algorithm, which progressively shows that only four parameters need to be updated in real time. The remaining two parameters can be kept constant, regardless of temperature change and aging. Fitting errors demonstrated with different types of LIBs have been found to be within 0.5%. The proposed model is thus accurate, and can be flexibly applied to different LIBs, as verified by hardware-in-the-loop simulation designed for real-time SOC estimation.

  14. SOCS-1 deficiency does not prevent diet-induced insulin resistance

    DEFF Research Database (Denmark)

    Emanuelli, Brice; Macotela, Yazmin; Boucher, Jérémie

    2008-01-01

    Obesity is associated with inflammation and increased expression of suppressor of cytokine signaling (SOCS) proteins, which inhibit cytokine and insulin signaling. Thus, reducing SOCS expression could prevent the development of obesity-induced insulin resistance. Using SOCS-1 knockout mice, we...... investigated the contribution of SOCS-1 in the development of insulin resistance induced by a high-fat diet (HFD). SOCS-1 knockout mice on HFD gained 70% more weight, displayed a 2.3-fold increase in epididymal fat pads mass and increased hepatic lipid content. This was accompanied by increased mRNA expression...... of leptin and the macrophage marker CD68 in white adipose tissue and of SREBP1c and FAS in liver. HFD also induced hyperglycemia in SOCS-1 deficient mice with impairment of glucose and insulin tolerance tests. Thus, despite the role of SOCS proteins in obesity-related insulin resistance, SOCS-1 deficiency...

  15. Settling-velocity specific SOC distribution on hillslopes

    DEFF Research Database (Denmark)

    Hu, Yaxian; Berhe, Asmeret Asefaw; Fogel, Marilyn L.

    The net effect of soil erosion by water, as a sink or source of atmospheric CO2, is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC). The depositional position of eroded SOC is a function of the transport distances of soil fractions where the SOC...... fractions. Eroding sandy soils and sediment were sampled after a series of rainfall events along a slope on a freshly seeded cropland in Jutland, Denmark. All the soil samples were fractionated into five settling classes using a settling tube apparatus. The spatial distribution of soil settling classes...... shows a coarsening effect immediately below the eroding slope, followed by a fining trend at the slope tail. The 13C values of soil fractions were more positive at the footslope than on the slope shoulder or at the slope tail, suggesting enhanced decomposition rate of fresh SOC input at the footslope...

  16. SoCRocket: A Virtual Platform for SoC Design

    Science.gov (United States)

    Fossati, Luca; Schuster, Thomas; Meyer, Rolf; Berekovic, Mladen

    2013-08-01

    Both in the commercial and in the aerospace domain, the continuous increase of transistor density on a single die is leading towards the production of more and more complex systems on a single chip, with an increasing number of components. This brought to the introduction of the System-On-Chip (SoC) architecture, that integrates on a single circuit all the elements of a full system. This strive for efficient utilization of the available silicon has triggered several paradigm shifts in system design. Similarly to what happened in the early 1990s, when VHDL and Verilog took over from schematic design, today SystemC and Transaction Level Modeling [1] are about to further raise the design abstraction level. Such descriptions have to be accurate enough to describe the entire system throughout the phases of its development, and has to provide enough flexibility to be refined iteratively up to the point where the actual device can be produced using current process technology. Besides requiring new languages and methodologies, the complexity of current and future SoCs (SCOC3 [16] and NGMP [5] are example in the space domain) forces the SoC design process to rely on pre-designed or third party components. Components obtained from different providers, and even those designed by different teams of the same company, may be heterogeneous on several aspects: design domains, interfaces, abstraction levels, granularity, etc. Therefore, component integration is required at system level. Only by applying design re-use it is possible to successfully and timely design such complex SoCs. This transition to new languages and design methods is also motivated by the implementation with software of an increasing amount of system functionalities. Hence the need for methodologies to enable early software development and which allow the analysis of the performance of the combined Hw/Sw system, as their design and configuration cannot be performed separately. Virtual Prototyping is a key

  17. Monolithic distributed power management for systems-on-chip (SoC); Gestion monolithique distribuee de puissance pour les systemes sur puce (SOC)

    Energy Technology Data Exchange (ETDEWEB)

    Abedinpour, S. [Motorola, Semiconductor Products Sector, Tempe (United States); Bakkaloglu, B. [Texas Instruments, Broadband Communications Group, Dallas, Texas (United States); Kiaei, S. [Arizona State Univ., Connection one Research Center, Tempe (United States)

    2004-08-01

    With increasing drive towards higher level of integration, lower cost, and longer battery life in wireless applications, there is a need for efficient monolithic DC-DC power converters. This tutorial paper summarizes the topology tradeoffs that are involved in the implementation of monolithic distributed power management in the future generations of SoCs for portable wireless applications. These circuits have a broad range of requirements including high power density, high energy efficiency, low noise, small size, and low cost. The advantages and disadvantages of each of the competing topologies, namely low-dropout linear, switched capacitor, and switched-mode DC-DC converters are examined in light of these requirements. (authors)

  18. Defective interleukin-4/Stat6 activity correlates with increased constitutive expression of negative regulators SOCS-3, SOCS-7, and CISH in colon cancer cells.

    Science.gov (United States)

    Liu, Xiao Hong; Xu, Shuang Bing; Yuan, Jia; Li, Ben Hui; Zhang, Yan; Yuan, Qin; Li, Pin Dong; Li, Feng; Zhang, Wen Jie

    2009-12-01

    Interleukin-4 (IL-4)-induced Stat6 activities (phenotypes) vary among human cancer cells, of which the HT-29 cell line carries an active Stat6(high) phenotype, while Caco-2 carries a defective Stat6(null) phenotype, respectively. Cancer cells with Stat6(high) show resistance to apoptosis and exaggerated metastasis, suggesting the clinical significance of Stat6 phenotypes. We previously showed that Stat6(high) HT-29 cells exhibited low constitutive expression of Stat6-negative regulators SOCS-1 and SHP-1 because of gene hypermethylation. This study further examined the constitutive expression of other closely related SOCS family numbers including SOCS-3, SOCS-5, SOCS-7, and CISH using RT-PCR. Similar to SOCS-1 and SHP-1, Stat6(high) HT-29 cells expressed low constitutive mRNA of SOCS-3, SOCS-7, and CISH than Stat6(null) Caco-2 cells. Interestingly, DNA demethylation using 5-aza-2'-deoxycytidine in HT-29 cells up-regulated mRNA expression of the above genes, indicating a hypermethylation status, which was confirmed by methylation-specific sequencing in selected SOCS-3 gene. Furthermore, defective Stat6(null) Caco-2 exhibited impaired phosphorylation of Stat6 after IL-4 stimulation by flow cytometry, in keeping with the notion of an over-performed negative regulation. The findings that IL-4/Stat6 phenotypes show differential expression of multiple negative regulators suggest a model that a collective force of powerful negative regulators, directly and indirectly, acts on Stat6 activation, which may result in differential Stat6 phenotypes.

  19. Analysis of Suppressor of Cytokine Signaling 2 Gene (SOCS2 Polymorphism in Different Dog Breeds

    Directory of Open Access Journals (Sweden)

    Martina Miluchová

    2011-05-01

    Full Text Available SOCS2 is a negative regulator of growth hormone signaling. The deletion of SOCS2 in mice results in a 30-50% increase in post-natal growth. The aim of the paper was to identify of suppressor of cytokine signaling 2 gene (SOCS2 polymorphism in different dog breeds. The material involved 77 dogs from 14 different breeds. Canine genomic DNA was isolated from saliva by modified method with using DNAzol® (Molecular Research Center and linear polyacrylamide (LPA carrier and from blood by using NucleospinBlood (Macherey-Nagel and used in order to estimate SOCS2 genotypes by PCR-RFLP method. The PCR products were digested with TaqI restriction enzyme. The T allele was distributed among large dog breeds (Czech pointer, Golden retriever, Rottweiler with an allele frequency ranging from 0.2857 to 1.00. In the population of Czech pointer we detected all genotypes. There were detected homozygote genotype GG with frequency 0.5476, heterozygote genotype GT with frequency 0.3333 and homozygote genotype TT with frequency 0.1191. Results point out that frequency of G allele was high and was represented 0.7143. Frequency of T allele was 0.2857. In Rottweiler was detected homozygote genotype TT. Genotypes GG and GT has not been observed. In Golden retriever we detected only heterozygote genotype GT.

  20. Comparison Study on the Battery SoC Estimation with EKF and UKF Algorithms

    Directory of Open Access Journals (Sweden)

    Hongwen He

    2013-09-01

    Full Text Available The battery state of charge (SoC, whose estimation is one of the basic functions of battery management system (BMS, is a vital input parameter in the energy management and power distribution control of electric vehicles (EVs. In this paper, two methods based on an extended Kalman filter (EKF and unscented Kalman filter (UKF, respectively, are proposed to estimate the SoC of a lithium-ion battery used in EVs. The lithium-ion battery is modeled with the Thevenin model and the model parameters are identified based on experimental data and validated with the Beijing Driving Cycle. Then space equations used for SoC estimation are established. The SoC estimation results with EKF and UKF are compared in aspects of accuracy and convergence. It is concluded that the two algorithms both perform well, while the UKF algorithm is much better with a faster convergence ability and a higher accuracy.

  1. Favorable prognostic value of SOCS2 and IGF-I in breast cancer

    International Nuclear Information System (INIS)

    Haffner, Michael C; Petridou, Barbara; Peyrat, Jean Phillipe; Révillion, Françoise; Müller-Holzner, Elisabeth; Daxenbichler, Günter; Marth, Christian; Doppler, Wolfgang

    2007-01-01

    Suppressor of cytokine signaling (SOCS) proteins comprise a protein family, which has initially been described as STAT induced inhibitors of the Jak/Stat pathway. Recent in vivo and in vitro studies suggest that SOCS proteins are also implicated in cancer. The STAT5 induced IGF-I acts as an endocrine and para/autocrine growth and differentiation factor in mammary gland development. Whereas high levels of circulating IGF-I have been associated with increased cancer risk, the role of autocrine acting IGF-I is less clear. The present study is aimed to elucidate the clinicopathological features associated with SOCS1, SOCS2, SOCS3, CIS and IGF-I expression in breast cancer. We determined the mRNA expression levels of SOCS1, SOCS2, SOCS3, CIS and IGF-I in 89 primary breast cancers by reverse transcriptase PCR. SOCS2 protein expression was further evaluated by immuno-blot and immunohistochemistry. SOCS2 expression inversely correlated with histopathological grade and ER positive tumors exhibited higher SOCS2 levels. Patients with high SOCS2 expression lived significantly longer (108.7 vs. 77.7 months; P = 0.015) and high SOCS2 expression proved to be an independent predictor for good prognosis (HR = 0.45, 95% CI 0.23 – 0.91, P = 0.026). In analogy to SOCS2, high IGF-I expression was an independent predictor for good prognosis in the entire patient cohort. In the subgroup of patients with lymph-node negative disease, high IGF-I was a strong predictor for favorable outcome in terms of overall survival and relapse free survival (HR = 0.075, 95% CI 0.014 – 0.388, P = 0.002). This is the first report on the favorable prognostic value of high SOCS2 expression in primary mammary carcinomas. Furthermore a strong association of high IGF-I expression levels with good prognosis was observed especially in lymph-node negative patients. Our results suggest that high expression of the STAT5 target genes SOCS2 and IGF-I is a feature of differentiated and less malignant tumors

  2. Soft error evaluation and vulnerability analysis in Xilinx Zynq-7010 system-on chip

    Energy Technology Data Exchange (ETDEWEB)

    Du, Xuecheng; He, Chaohui; Liu, Shuhuan, E-mail: liushuhuan@mail.xjtu.edu.cn; Zhang, Yao; Li, Yonghong; Xiong, Ceng; Tan, Pengkang

    2016-09-21

    Radiation-induced soft errors are an increasingly important threat to the reliability of modern electronic systems. In order to evaluate system-on chip's reliability and soft error, the fault tree analysis method was used in this work. The system fault tree was constructed based on Xilinx Zynq-7010 All Programmable SoC. Moreover, the soft error rates of different components in Zynq-7010 SoC were tested by americium-241 alpha radiation source. Furthermore, some parameters that used to evaluate the system's reliability and safety were calculated using Isograph Reliability Workbench 11.0, such as failure rate, unavailability and mean time to failure (MTTF). According to fault tree analysis for system-on chip, the critical blocks and system reliability were evaluated through the qualitative and quantitative analysis.

  3. SoC Estimation for Lithium-ion Batteries: Review and Future Challenges

    Directory of Open Access Journals (Sweden)

    Juan Pablo Rivera-Barrera

    2017-11-01

    Full Text Available Energy storage emerged as a top concern for the modern cities, and the choice of the lithium-ion chemistry battery technology as an effective solution for storage applications proved to be a highly efficient option. State of charge (SoC represents the available battery capacity and is one of the most important states that need to be monitored to optimize the performance and extend the lifetime of batteries. This review summarizes the methods for SoC estimation for lithium-ion batteries (LiBs. The SoC estimation methods are presented focusing on the description of the techniques and the elaboration of their weaknesses for the use in on-line battery management systems (BMS applications. SoC estimation is a challenging task hindered by considerable changes in battery characteristics over its lifetime due to aging and to the distinct nonlinear behavior. This has led scholars to propose different methods that clearly raised the challenge of establishing a relationship between the accuracy and robustness of the methods, and their low complexity to be implemented. This paper publishes an exhaustive review of the works presented during the last five years, where the tendency of the estimation techniques has been oriented toward a mixture of probabilistic techniques and some artificial intelligence.

  4. Favorable prognostic value of SOCS2 and IGF-I in breast cancer

    Directory of Open Access Journals (Sweden)

    Daxenbichler Günter

    2007-07-01

    Full Text Available Abstract Background Suppressor of cytokine signaling (SOCS proteins comprise a protein family, which has initially been described as STAT induced inhibitors of the Jak/Stat pathway. Recent in vivo and in vitro studies suggest that SOCS proteins are also implicated in cancer. The STAT5 induced IGF-I acts as an endocrine and para/autocrine growth and differentiation factor in mammary gland development. Whereas high levels of circulating IGF-I have been associated with increased cancer risk, the role of autocrine acting IGF-I is less clear. The present study is aimed to elucidate the clinicopathological features associated with SOCS1, SOCS2, SOCS3, CIS and IGF-I expression in breast cancer. Methods We determined the mRNA expression levels of SOCS1, SOCS2, SOCS3, CIS and IGF-I in 89 primary breast cancers by reverse transcriptase PCR. SOCS2 protein expression was further evaluated by immuno-blot and immunohistochemistry. Results SOCS2 expression inversely correlated with histopathological grade and ER positive tumors exhibited higher SOCS2 levels. Patients with high SOCS2 expression lived significantly longer (108.7 vs. 77.7 months; P = 0.015 and high SOCS2 expression proved to be an independent predictor for good prognosis (HR = 0.45, 95% CI 0.23 – 0.91, P = 0.026. In analogy to SOCS2, high IGF-I expression was an independent predictor for good prognosis in the entire patient cohort. In the subgroup of patients with lymph-node negative disease, high IGF-I was a strong predictor for favorable outcome in terms of overall survival and relapse free survival (HR = 0.075, 95% CI 0.014 – 0.388, P = 0.002. Conclusion This is the first report on the favorable prognostic value of high SOCS2 expression in primary mammary carcinomas. Furthermore a strong association of high IGF-I expression levels with good prognosis was observed especially in lymph-node negative patients. Our results suggest that high expression of the STAT5 target genes SOCS2 and IGF

  5. A 0.7-V 17.4- μ W 3-lead wireless ECG SoC.

    Science.gov (United States)

    Khayatzadeh, Mahmood; Zhang, Xiaoyang; Tan, Jun; Liew, Wen-Sin; Lian, Yong

    2013-10-01

    This paper presents a fully integrated sub-1 V 3-lead wireless ECG System-on-Chip (SoC) for wireless body sensor network applications. The SoC includes a two-channel ECG front-end with a driven-right-leg circuit, an 8-bit SAR ADC, a custom-designed 16-bit microcontroller, two banks of 16 kb SRAM, and a MICS band transceiver. The microcontroller and SRAM blocks are able to operate at sub-/near-threshold regime for the best energy consumption. The proposed SoC has been implemented in a standard 0.13- μ m CMOS process. Measurement results show the microcontroller consumes only 2.62 pJ per instruction at 0.35 V . Both microcontroller and memory blocks are functional down to 0.25 V. The entire SoC is capable of working at single 0.7-V supply. At the best case, it consumes 17.4 μ W in heart rate detection mode and 74.8 μW in raw data acquisition mode under sampling rate of 500 Hz. This makes it one of the best ECG SoCs among state-of-the-art biomedical chips.

  6. IL-10 and socs3 Are Predictive Biomarkers of Dengue Hemorrhagic Fever

    Directory of Open Access Journals (Sweden)

    Lilian Karem Flores-Mendoza

    2017-01-01

    Full Text Available Background. Cytokines play important roles in the physiopathology of dengue infection; therefore, the suppressors of cytokine signaling (socs that control the type and timing of cytokine functions could be involved in the origin of immune alterations in dengue. Objective. To explore the association of cytokine and socs levels with disease severity in dengue patients. Methods. Blood samples of 48 patients with confirmed dengue infection were analyzed. Amounts of interleukins IL-2, IL-4, IL-6, and IL-10, interferon- (IFN- γ, and tumor necrosis factor- (TNF- α were quantified by flow cytometry, and the relative expression of socs1 and socs3 mRNA was quantified by real-time RT-PCR. Results. Increased levels of IL-10 and socs3 and lower expression of socs1 were found in patients with dengue hemorrhagic fever (DHF with respect to those with dengue fever (DF (p199.8-fold, socs1 (134 pg/ml have the highest sensitivity and specificity to discriminate between DF and DHF. Conclusion. Simultaneous changes in IL-10 and socs1/socs3 could be used as prognostic biomarkers of dengue severity.

  7. The simple art of SoC design

    CERN Document Server

    Keating, Michael

    2011-01-01

    This book tackles head-on the challenges of digital design in the era of billion-transistor SoCs. It discusses fundamental design concepts in design and coding required to produce robust, functionally correct designs. It also provides specific techniques for measuring and minimizing complexity in RTL code. Finally, it discusses the tradeoff between RTL and high-level (C-based) design and how tools and languages must progress to address the needs of tomorrow's SoC designs.

  8. A microprocessor from AVR to embedded SoC

    International Nuclear Information System (INIS)

    Jeong, Geum Seoh

    2005-01-01

    This book was divided into two parts. The first part consists of ten chapter, which are basic knowledge, code vision AVR compiler, analysis on code vision, introduction and characteristic of AVR, I/O ports, interrupt and timer/counter, LCD, serial communication, analog comparator and stepping Motor and digital control of DC Motor. In the second part, it introduces Embedded Soc including application field, its characteristic, general description, functional description, designs with Quartus II.

  9. A Framework for Hardware-Accelerated Services Using Partially Reconfigurable SoCs

    Directory of Open Access Journals (Sweden)

    MACHIDON, O. M.

    2016-05-01

    Full Text Available The current trend towards ?Everything as a Service? fosters a new approach on reconfigurable hardware resources. This innovative, service-oriented approach has the potential of bringing a series of benefits for both reconfigurable and distributed computing fields by favoring a hardware-based acceleration of web services and increasing service performance. This paper proposes a framework for accelerating web services by offloading the compute-intensive tasks to reconfigurable System-on-Chip (SoC devices, as integrated IP (Intellectual Property cores. The framework provides a scalable, dynamic management of the tasks and hardware processing cores, based on dynamic partial reconfiguration of the SoC. We have enhanced security of the entire system by making use of the built-in detection features of the hardware device and also by implementing active counter-measures that protect the sensitive data.

  10. Fish Suppressors of Cytokine Signaling (SOCS): Gene Discovery, Modulation of Expression and Function

    Science.gov (United States)

    Wang, Tiehui; Gorgoglione, Bartolomeo; Maehr, Tanja; Holland, Jason W.; Vecino, Jose L. González; Wadsworth, Simon; Secombes, Christopher J.

    2011-01-01

    The intracellular suppressors of cytokine signaling (SOCS) family members, including CISH and SOCS1 to 7 in mammals, are important regulators of cytokine signaling pathways. So far, the orthologues of all the eight mammalian SOCS members have been identified in fish, with several of them having multiple copies. Whilst fish CISH, SOCS3, and SOCS5 paralogues are possibly the result of the fish-specific whole genome duplication event, gene duplication or lineage-specific genome duplication may also contribute to some paralogues, as with the three trout SOCS2s and three zebrafish SOCS5s. Fish SOCS genes are broadly expressed and also show species-specific expression patterns. They can be upregulated by cytokines, such as IFN-γ, TNF-α, IL-1β, IL-6, and IL-21, by immune stimulants such as LPS, poly I:C, and PMA, as well as by viral, bacterial, and parasitic infections in member- and species-dependent manners. Initial functional studies demonstrate conserved mechanisms of fish SOCS action via JAK/STAT pathways. PMID:22203897

  11. Effect of SOCS1 overexpression on RPE cell activation by proinflammatory cytokines.

    Science.gov (United States)

    Bazewicz, Magdalena; Draganova, Dafina; Makhoul, Maya; Chtarto, Abdel; Elmaleh, Valerie; Tenenbaum, Liliane; Caspers, Laure; Bruyns, Catherine; Willermain, François

    2016-09-06

    The purpose of this study was to investigate the in vitro effect of Suppressor Of Cytokine Signaling 1 (SOCS1) overexpression in retinal pigment epithelium (RPE) cells on their activation by pro-inflammatory cytokines IFNγ, TNFα and IL-17. Retinal pigment epithelium cells (ARPE-19) were stably transfected with the control plasmid pIRES2-AcGFP1 or the plasmid pSOCS1-IRES2-AcGFP1. They were stimulated by IFNγ (150ng/ml), TNFα (30ng/ml) or IL-17 (100ng/ml). The levels of SOCS1 mRNA were measured by real-time PCR. Signal Transducer and Activator of Transcription 1 (STAT1) phosphorylation and IκBα expression were analysed by western Blot (WB). IL-8 secretion was analysed by ELISA and expression of MHCII molecules and ICAM-1/CD54 by flow cytometry. Our data show that SOCS1 mRNA overexpression in RPE cells prevents IFNγ-induced SOCS1 mRNA increase and IFNγ-mediated STAT1 phosphorylation. Moreover, SOCS1 overexpression in RPE cells inhibits IFNγ-induced decrease of IL-8 secretion and prevents IFNγ-induced MHC II and ICAM1/CD54 upregulation. However, SOCS1 overexpression does not affect TNFα-induced IκBα degradation nor block TNFα-induced or IL-17-induced IL-8 secretion. On the contrary, IL-17-induced secretion is increased by SOCS1 overexpression. In conclusion, SOCS1 overexpression in RPE cells inhibits some IFNγ-mediated responses that lead to uveitis development. This notion raises the possibility that SOCS1 overexpression could be a novel target for treating non-infectious uveitis. However, some proinflammatory effects of TNFα and IL-17 stimulation on RPE are not blocked by SOCS1 overexpression. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  12. Impact of vegetation types on soil organic carbon stocks SOC-S in Mediterranean natural areas

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Cantudo-Pérez, Marta

    2015-04-01

    Soils play a key role in the carbon geochemical cycle because they can either emit large quantities of CO2 or on the contrary they can act as a store for carbon. Agriculture and forestry are the only activities that can achieve this effect through photosynthesis and the carbon incorporation into carbohydrates (Parras-Alcántara et al., 2013). The Mediterranean evergreen oak Woodland (MEOW - dehesa) is a type of pasture with scattered evergreen and deciduous oak stands in which cereals are often grown under the tree cover. It is a system dedicated to the combined production of Iberian swine, sheep, fuel wood, coal and cork as well as to hunting. These semi-natural areas still preserve some of the primitive vegetation of the Mediterranean oak forests. The dehesa is a pasture where the herbaceous layer is comprised of either cultivated cereals such as oat, barley and wheat or native vegetation dominated by annual species, which are used as grazing resources. These Iberian open woodland rangelands (dehesas) have been studied from different points of view: hydrologically, with respect to soil organic matter content, as well as in relation to gully erosion, topographical thresholds, soil erosion and runoff production, soil degradation and management practices…etc, among others. The soil organic carbon stock capacity depends not only on abiotic factors such as the mineralogical composition and the climate, but also on soil use and management (Parras et al., 2014 and 2015). In Spanish soils, climate, use and management strongly affect the carbon variability, mainly in soils in dry Mediterranean climates characterized by low organic carbon content, weak structure and readily degradable soils. Hontoria et al. (2004) emphasized that the climate and soil use are two factors that greatly influence carbon content in the Mediterranean climate. This research sought to analyze the SOC stock (SOCS) variability in MEOW - dehesa with cereals, olive grove and Mediterranean oak forest

  13. Socs36E Controls Niche Competition by Repressing MAPK Signaling in the Drosophila Testis.

    Directory of Open Access Journals (Sweden)

    Marc Amoyel

    2016-01-01

    Full Text Available The Drosophila testis is a well-established system for studying stem cell self-renewal and competition. In this tissue, the niche supports two stem cell populations, germ line stem cells (GSCs, which give rise to sperm, and somatic stem cells called cyst stem cells (CySCs, which support GSCs and their descendants. It has been established that CySCs compete with each other and with GSCs for niche access, and mutations have been identified that confer increased competitiveness to CySCs, resulting in the mutant stem cell and its descendants outcompeting wild type resident stem cells. Socs36E, which encodes a negative feedback inhibitor of the JAK/STAT pathway, was the first identified regulator of niche competition. The competitive behavior of Socs36E mutant CySCs was attributed to increased JAK/STAT signaling. Here we show that competitive behavior of Socs36E mutant CySCs is due in large part to unbridled Mitogen-Activated Protein Kinase (MAPK signaling. In Socs36E mutant clones, MAPK activity is elevated. Furthermore, we find that clonal upregulation of MAPK in CySCs leads to their outcompetition of wild type CySCs and of GSCs, recapitulating the Socs36E mutant phenotype. Indeed, when MAPK activity is removed from Socs36E mutant clones, they lose their competitiveness but maintain self-renewal, presumably due to increased JAK/STAT signaling in these cells. Consistently, loss of JAK/STAT activity in Socs36E mutant clones severely impairs their self-renewal. Thus, our results enable the genetic separation of two essential processes that occur in stem cells. While some niche signals specify the intrinsic property of self-renewal, which is absolutely required in all stem cells for niche residence, additional signals control the ability of stem cells to compete with their neighbors. Socs36E is node through which these processes are linked, demonstrating that negative feedback inhibition integrates multiple aspects of stem cell behavior.

  14. EtherBone - A Network Layer for the Wishbone SoC Bus

    CERN Document Server

    Kreider, M; Lewis, J; Włostowski, T; Serrano, J

    2011-01-01

    Today, there are several System on a Chip (SoC) bus systems. Typically, these buses are confined on-chip and rely on higher level components to communicate with the outside world. Taking these systems a step further, we see the possibility of extending the reach of the SoC bus to remote FPGAs or processors. This leads to the idea of the EtherBone (EB) core, which connects a Wishbone (WB) Ver. 4 Bus via a Gigabit Ethernet based network link to remote peripheral devices. EB acts as a transparent interconnect module towards attached WB Bus devices. Address information and data from one or more WB bus cycles is preceded with a descriptive header and encapsulated in a UDP/IP packet. Because of this standard compliance, EB is able to traverse Wide Area Networks and is therefore not bound to a geographic location. Due to the low level nature of the WB bus, EB provides a sound basis for remote hardware tools like a JTAG debugger, In-System-Programmer (ISP), boundary scan interface or logic analyser module. EB was de...

  15. A Flexible ADC Approach for Mixed-signal SoC Platforms

    NARCIS (Netherlands)

    Zanikopoulos, A.; Harpe, P.J.A.; Hegt, J.A.; Roermund, van A.H.M.

    2005-01-01

    Time-to-market pressure and increased design complexity created what is called a "design gap" [1] in the design of systems-on-chip (SoC). As a solution to that problem the Platform-Based Design (PBD), based on the design-reuse methodology, has been proposed [2], and successfully applied to digital

  16. SOCS3 promoter hypermethylation is a favorable prognosticator and a novel indicator for G-CIMP-positive GBM patients.

    Science.gov (United States)

    Feng, Ying; Wang, Zheng; Bao, Zhaoshi; Yan, Wei; You, Gan; Wang, Yinyan; Hu, Huimin; Zhang, Wei; Zhang, Quangeng; Jiang, Tao

    2014-01-01

    Hypermethylation of the suppressor of cytokine signaling 3(SOCS3) promoter has been reported to predict a poor prognosis in several cancers including glioblastoma multiforme (GBM). We explored the function of SOCS3 promoter hypermethylation in GBM cohorts, including analysis of the CpG island methylator phenotype (CIMP), when a large number of gene loci are simultaneously hypermethylated. A whole genome promoter methylation profile was performed in a cohort of 33 GBM samples, with 13 long-term survivors (LTS; overall survival ≥ 18 months) and 20 short-term survivors (STS; overall survival ≤ 9 months). The SOCS3 promoter methylation status was compared between the two groups. In addition, we investigated the relationship of SOCS3 promoter methylation and G-CIMP status. Interestingly, in our present study, we found that SOCS3 promoter methylation was statistically significantly higher in the 13 LTS than that in the 20 STS. Furthermore, high SOCS3 promoter methylation detected via pyro-sequencing predicted a better prognosis in an independent cohort containing 62 GBM patients. This correlation was validated by the dataset from the Cancer Genome Atlas(TCGA) and the Chinese Cancer Genome Atlas(CGGA). In addition, we found that hypermethylation of the SOCS3 promoter was tightly associated with the G-CIMP-positive GBM patients. Using a total of 359 clinical samples, we demonstrate that SOCS3 promoter hypermethylation status has a favorable prognostic value in GBM patients because of whole genome methylation status. Particularly, the hypermethylation of the SOCS3 promoter indicates positive G-CIMP status.

  17. SOCS3 promoter hypermethylation is a favorable prognosticator and a novel indicator for G-CIMP-positive GBM patients.

    Directory of Open Access Journals (Sweden)

    Ying Feng

    Full Text Available Hypermethylation of the suppressor of cytokine signaling 3(SOCS3 promoter has been reported to predict a poor prognosis in several cancers including glioblastoma multiforme (GBM. We explored the function of SOCS3 promoter hypermethylation in GBM cohorts, including analysis of the CpG island methylator phenotype (CIMP, when a large number of gene loci are simultaneously hypermethylated.A whole genome promoter methylation profile was performed in a cohort of 33 GBM samples, with 13 long-term survivors (LTS; overall survival ≥ 18 months and 20 short-term survivors (STS; overall survival ≤ 9 months. The SOCS3 promoter methylation status was compared between the two groups. In addition, we investigated the relationship of SOCS3 promoter methylation and G-CIMP status.Interestingly, in our present study, we found that SOCS3 promoter methylation was statistically significantly higher in the 13 LTS than that in the 20 STS. Furthermore, high SOCS3 promoter methylation detected via pyro-sequencing predicted a better prognosis in an independent cohort containing 62 GBM patients. This correlation was validated by the dataset from the Cancer Genome Atlas(TCGA and the Chinese Cancer Genome Atlas(CGGA. In addition, we found that hypermethylation of the SOCS3 promoter was tightly associated with the G-CIMP-positive GBM patients.Using a total of 359 clinical samples, we demonstrate that SOCS3 promoter hypermethylation status has a favorable prognostic value in GBM patients because of whole genome methylation status. Particularly, the hypermethylation of the SOCS3 promoter indicates positive G-CIMP status.

  18. A configurable and low-power mixed signal SoC for portable ECG monitoring applications.

    Science.gov (United States)

    Kim, Hyejung; Kim, Sunyoung; Van Helleputte, Nick; Artes, Antonio; Konijnenburg, Mario; Huisken, Jos; Van Hoof, Chris; Yazicioglu, Refet Firat

    2014-04-01

    This paper describes a mixed-signal ECG System-on-Chip (SoC) that is capable of implementing configurable functionality with low-power consumption for portable ECG monitoring applications. A low-voltage and high performance analog front-end extracts 3-channel ECG signals and single channel electrode-tissue-impedance (ETI) measurement with high signal quality. This can be used to evaluate the quality of the ECG measurement and to filter motion artifacts. A custom digital signal processor consisting of 4-way SIMD processor provides the configurability and advanced functionality like motion artifact removal and R peak detection. A built-in 12-bit analog-to-digital converter (ADC) is capable of adaptive sampling achieving a compression ratio of up to 7, and loop buffer integration reduces the power consumption for on-chip memory access. The SoC is implemented in 0.18 μm CMOS process and consumes 32 μ W from a 1.2 V while heart beat detection application is running, and integrated in a wireless ECG monitoring system with Bluetooth protocol. Thanks to the ECG SoC, the overall system power consumption can be reduced significantly.

  19. Modeling of SOC-700 Hyperspectral Imagery with the CAMEO-SIM Code

    Science.gov (United States)

    2007-10-26

    Yannick, 2001, “SOC-700 and HS-Analysis 2 User’s Manual”, Surface Optics, San Diego [2] Cohen, Michael F. and Wallace, John R., 1993, “ Radiosity ...and Realistic Image Synthesis”, Academic Press, San Francisco [3] Sillion, Francois X. and Puech, Claude, 1994, “ Radiosity and Global Illumination

  20. SOCS-1 Localizes to the Microtubule Organizing Complex-Associated 20S Proteasome

    OpenAIRE

    Vuong, Bao Q.; Arenzana, Teresita L.; Showalter, Brian M.; Losman, Julie; Chen, X. Peter; Mostecki, Justin; Banks, Alexander S.; Limnander, Andre; Fernandes, Neil; Rothman, Paul B.

    2005-01-01

    The regulation of cytokine signaling is critical for controlling cellular proliferation and activation during an immune response. SOCS-1 is a potent inhibitor of Jak kinase activity and of signaling initiated by several cytokines. SOCS-1 protein levels are tightly regulated, and recent data suggest that SOCS-1 may regulate the protein levels of some signaling proteins by the ubiquitin proteasome pathway; however, the cellular mechanism by which SOCS-1 directs proteins for degradation is unkno...

  1. Trichostatin A, a histone deacetylase inhibitor, suppresses JAK2/STAT3 signaling via inducing the promoter-associated histone acetylation of SOCS1 and SOCS3 in human colorectal cancer cells.

    Science.gov (United States)

    Xiong, Hua; Du, Wan; Zhang, Yan-Jie; Hong, Jie; Su, Wen-Yu; Tang, Jie-Ting; Wang, Ying-Chao; Lu, Rong; Fang, Jing-Yuan

    2012-02-01

    Aberrant janus kinase/signal transducers and activators of transcription (JAK/STAT) signaling is involved in the oncogenesis of several cancers. Suppressors of cytokine signaling (SOCS) genes and SH2-containing protein tyrosine phosphatase 1 (SHP1) proteins, which are negative regulators of JAK/STAT signaling, have been reported to have tumor suppressor functions. However, in colorectal cancer (CRC) cells, the mechanisms that regulate SOCS and SHP1 genes, and the cause of abnormalities in the JAK/STAT signaling pathway, remain largely unknown. The present study shows that trichostatin A (TSA), a histone deacetylase (HDAC) inhibitor, leads to the hyperacetylation of histones associated with the SOCS1 and SOCS3 promoters, but not the SHP1 promoter in CRC cells. This indicates that histone modifications are involved in the regulation of SOCS1 and SOCS3. Moreover, upregulation of SOCS1 and SOCS3 expression was achieved using TSA, which also significantly downregulated JAK2/STAT3 signaling in CRC cells. We also demonstrate that TSA suppresses the growth of CRC cells, and induces G1 cell cycle arrest and apoptosis through the regulation of downstream targets of JAK2/STAT3 signaling, including Bcl-2, survivin and p16(ink4a) . Therefore, our data demonstrate that TSA may induce SOCS1 and SOCS3 expression by inducing histone modifications and consequently inhibits JAK2/STAT3 signaling in CRC cells. These results also establish a mechanistic link between the inhibition of JAK2/STAT3 signaling and the anticancer action of TSA in CRC cells. Copyright © 2011 Wiley Periodicals, Inc.

  2. STAT3-mediated constitutive expression of SOCS-3 in cutaneous T-cell lymphoma

    DEFF Research Database (Denmark)

    Brender, C; Nielsen, M; Kaltoft, K

    2001-01-01

    ) obtained from affected skin from a patient with mycosis fungoides (MF) and from peripheral blood from a patient with Sezary syndrome (SS). In contrast, constitutive SOCS-3 expression is not found in the leukemic Jurkat T-cell line, the MOLT-4 acute lymphoblastic leukemia cell line, and the monocytic......, it has been hypothesized that an aberrant SOCS expression plays a role in neoplastic transformation. This study reports on a constitutive SOCS-3 expression in cutaneous T-cell lymphoma (CTCL) cell lines. SOCS-3 protein is constitutively expressed in tumor cell lines (but not in nonmalignant T cells...... leukemic cell line U937. Expression of SOCS-3 coincides with a constitutive activation of STAT3 in CTCL tumor cells, and stable transfection of CTCL tumor cells with a dominant negative STAT3 strongly inhibits SOCS-3 expression, whereas transfection with wild-type STAT3 does not. Moreover, the reduced SOCS...

  3. Increasing SoC Dependability via Known Good Tile NoC Testing

    NARCIS (Netherlands)

    Kerkhoff, Hans G.; Kuiken, O.J.; Zhang, X.

    2008-01-01

    Advanced CMOS technology possibilities, power, communication and flexibility issues as well as the design gap are directing System-on-Chip (SoC) platforms towards Network-on-Chip (NoC) interconnected identical processing tiles (PT) such as the Montium processor [1]. It is broadly acknowledged that

  4. Implementation of QoSS (Quality-of-Security Service) for NoC-Based SoC Protection

    Science.gov (United States)

    Sepúlveda, Johanna; Pires, Ricardo; Strum, Marius; Chau, Wang Jiang

    Many of the current electronic systems embedded in a SoC (System-on-Chip) are used to capture, store, manipulate and access critical data, as well as to perform other key functions. In such a scenario, security is considered as an important issue. The Network-on-chip (NoC), as the foreseen communication structure of next-generation SoC devices, can be used to efficiently incorporate security. Our work proposes the implementation of QoSS (Quality of Security Service) to overcome present SoC vulnerabilities. QoSS is a novel concept for data protection that introduces security as a dimension of QoS. In this paper, we present the implementation of two security services (access control and authentication), that may be configured to assume one from several possible levels, the implementation of a technique to avoid denial-of-service (DoS) attacks, evaluate their effectiveness and estimate their impact on NoC performance.

  5. A 6.45 μW Self-Powered SoC With Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems.

    Science.gov (United States)

    Roy, Abhishek; Klinefelter, Alicia; Yahya, Farah B; Chen, Xing; Gonzalez-Guerrero, Luisa Patricia; Lukas, Christopher J; Kamakshi, Divya Akella; Boley, James; Craig, Kyle; Faisal, Muhammad; Oh, Seunghyun; Roberts, Nathan E; Shakhsheer, Yousef; Shrivastava, Aatmesh; Vasudevan, Dilip P; Wentzloff, David D; Calhoun, Benton H

    2015-12-01

    This paper presents a batteryless system-on-chip (SoC) that operates off energy harvested from indoor solar cells and/or thermoelectric generators (TEGs) on the body. Fabricated in a commercial 0.13 μW process, this SoC sensing platform consists of an integrated energy harvesting and power management unit (EH-PMU) with maximum power point tracking, multiple sensing modalities, programmable core and a low power microcontroller with several hardware accelerators to enable energy-efficient digital signal processing, ultra-low-power (ULP) asymmetric radios for wireless transmission, and a 100 nW wake-up radio. The EH-PMU achieves a peak end-to-end efficiency of 75% delivering power to a 100 μA load. In an example motion detection application, the SoC reads data from an accelerometer through SPI, processes it, and sends it over the radio. The SPI and digital processing consume only 2.27 μW, while the integrated radio consumes 4.18 μW when transmitting at 187.5 kbps for a total of 6.45 μW.

  6. Medicago truncatula SOC1 Genes Are Up-regulated by Environmental Cues That Promote Flowering

    Directory of Open Access Journals (Sweden)

    Jared B. Fudge

    2018-04-01

    Full Text Available Like Arabidopsis thaliana, the flowering of the legume Medicago truncatula is promoted by long day (LD photoperiod and vernalization. However, there are differences in the molecular mechanisms involved, with orthologs of two key Arabidopsis thaliana regulators, FLOWERING LOCUS C (FLC and CONSTANS (CO, being absent or not having a role in flowering time function in Medicago. In Arabidopsis, the MADS-box transcription factor gene, SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (AtSOC1, plays a key role in integrating the photoperiodic and vernalization pathways. In this study, we set out to investigate whether the Medicago SOC1 genes play a role in regulating flowering time. Three Medicago SOC1 genes were identified and characterized (MtSOC1a–MtSOC1c. All three MtSOC1 genes, when heterologously expressed, were able to promote earlier flowering of the late-flowering Arabidopsis soc1-2 mutant. The three MtSOC1 genes have different patterns of expression. However, consistent with a potential role in flowering time regulation, all three MtSOC1 genes are expressed in the shoot apex and are up-regulated in the shoot apex of plants in response to LD photoperiods and vernalization. The up-regulation of MtSOC1 genes was reduced in Medicago fta1-1 mutants, indicating that they are downstream of MtFTa1. Insertion mutant alleles of Medicago soc1b do not flower late, suggestive of functional redundancy among Medicago SOC1 genes in promoting flowering.

  7. Design of a Programmable Passive SoC for Biomedical Applications Using RFID ISO 15693/NFC5 Interface

    Directory of Open Access Journals (Sweden)

    Mayukh Bhattacharyya

    2018-01-01

    Full Text Available Low power, low cost inductively powered passive biotelemetry system involving fully customized RFID/NFC interface base SoC has gained popularity in the last decades. However, most of the SoCs developed are application specific and lacks either on-chip computational or sensor readout capability. In this paper, we present design details of a programmable passive SoC in compliance with ISO 15693/NFC5 standard for biomedical applications. The integrated system consists of a 32-bit microcontroller, a sensor readout circuit, a 12-bit SAR type ADC, 16 kB RAM, 16 kB ROM and other digital peripherals. The design is implemented in a 0.18 μ m CMOS technology and used a die area of 1.52 mm × 3.24 mm. The simulated maximum power consumption of the analog block is 592 μ W. The number of external components required by the SoC is limited to an external memory device, sensors, antenna and some passive components. The external memory device contains the application specific firmware. Based on the application, the firmware can be modified accordingly. The SoC design is suitable for medical implants to measure physiological parameters like temperature, pressure or ECG. As an application example, the authors have proposed a bioimplant to measure arterial blood pressure for patients suffering from Peripheral Artery Disease (PAD.

  8. Suppressor of cytokine signaling 4 (SOCS4 protects against severe cytokine storm and enhances viral clearance during influenza infection.

    Directory of Open Access Journals (Sweden)

    Lukasz Kedzierski

    2014-05-01

    Full Text Available Suppressor of cytokine signaling (SOCS proteins are key regulators of innate and adaptive immunity. There is no described biological role for SOCS4, despite broad expression in the hematopoietic system. We demonstrate that mice lacking functional SOCS4 protein rapidly succumb to infection with a pathogenic H1N1 influenza virus (PR8 and are hypersusceptible to infection with the less virulent H3N2 (X31 strain. In SOCS4-deficient animals, this led to substantially greater weight loss, dysregulated pro-inflammatory cytokine and chemokine production in the lungs and delayed viral clearance. This was associated with impaired trafficking of influenza-specific CD8 T cells to the site of infection and linked to defects in T cell receptor activation. These results demonstrate that SOCS4 is a critical regulator of anti-viral immunity.

  9. Increased linear bone growth by GH in the absence of SOCS2 is independent of IGF-1.

    Science.gov (United States)

    Dobie, Ross; Ahmed, Syed F; Staines, Katherine A; Pass, Chloe; Jasim, Seema; MacRae, Vicky E; Farquharson, Colin

    2015-11-01

    Growth hormone (GH) signaling is essential for postnatal linear bone growth, but the relative importance of GHs actions on the liver and/or growth plate cartilage remains unclear. The importance of liver derived insulin like-growth factor-1 (IGF-1) for endochondral growth has recently been challenged. Here, we investigate linear growth in Suppressor of Cytokine Signaling-2 (SOCS2) knockout mice, which have enhanced growth despite normal systemic GH/IGF-1 levels. Wild-type embryonic ex vivo metatarsals failed to exhibit increased linear growth in response to GH, but displayed increased Socs2 transcript levels (P growth over a 12 day period. Despite this increase, IGF-1 transcript and protein levels were not increased in response to GH. In accordance with these data, IGF-1 levels were unchanged in GH-challenged postnatal Socs2(-/-) conditioned medium despite metatarsals showing enhanced linear growth. Growth-plate Igf1 mRNA levels were not elevated in juvenile Socs2(-/-) mice. GH did however elevate IGF-binding protein 3 levels in conditioned medium from GH challenged metatarsals and this was more apparent in Socs2(-/-) metatarsals. GH did not enhance the growth of Socs2(-/-) metatarsals when the IGF receptor was inhibited, suggesting that IGF receptor mediated mechanisms are required. IGF-2 may be responsible as IGF-2 promoted metatarsal growth and Igf2 expression was elevated in Socs2(-/-) (but not WT) metatarsals in response to GH. These studies emphasise the critical importance of SOCS2 in regulating GHs ability to promote bone growth. Also, GH appears to act directly on the metatarsals of Socs2(-/-) mice, promoting growth via a mechanism that is independent of IGF-1. © 2014 The Authors. Journal of Cellular Physiology Published by Wiley Periodicals, Inc.

  10. A Bayesian Belief Network framework to predict SOC stock change: the Veneto region (Italy) case study

    Science.gov (United States)

    Dal Ferro, Nicola; Quinn, Claire Helen; Morari, Francesco

    2017-04-01

    A key challenge for soil scientists is predicting agricultural management scenarios that combine crop productions with high standards of environmental quality. In this context, reversing the soil organic carbon (SOC) decline in croplands is required for maintaining soil fertility and contributing to mitigate GHGs emissions. Bayesian belief networks (BBN) are probabilistic models able to accommodate uncertainty and variability in the predictions of the impacts of management and environmental changes. By linking multiple qualitative and quantitative variables in a cause-and-effect relationships, BBNs can be used as a decision support system at different spatial scales to find best management strategies in the agroecosystems. In this work we built a BBN to model SOC dynamics (0-30 cm layer) in the low-lying plain of Veneto region, north-eastern Italy, and define best practices leading to SOC accumulation and GHGs (CO2-equivalent) emissions reduction. Regional pedo-climatic, land use and management information were combined with experimental and modelled data on soil C dynamics as natural and anthropic key drivers affecting SOC stock change. Moreover, utility nodes were introduced to determine optimal decisions for mitigating GHGs emissions from croplands considering also three different IPCC climate scenarios. The network was finally validated with real field data in terms of SOC stock change. Results showed that the BBN was able to model real SOC stock changes, since validation slightly overestimated SOC reduction (+5%) at the expenses of its accumulation. At regional level, probability distributions showed 50% of SOC loss, while only 17% of accumulation. However, the greatest losses (34%) were associated with low reduction rates (100-500 kg C ha-1 y-1), followed by 33% of stabilized conditions (-100 < SOC < 100 kg ha-1 y-1). Land use management (especially tillage operations and soil cover) played a primary role to affect SOC stock change, while climate conditions

  11. Common variants in SOCS7 gene predict obesity, disturbances in lipid metabolism and insulin resistance.

    Science.gov (United States)

    Tellechea, M L; Steinhardt, A Penas; Rodriguez, G; Taverna, M J; Poskus, E; Frechtel, G

    2013-05-01

    Specific Suppressor of Cytokine Signaling (SOCS) members, such as SOCS7, may play a role in the development of insulin resistance (IR) owing to their ability to inhibit insulin signaling pathways. The objective was to explore the association between common variants and related haplotypes in SOCS7 gene and metabolic traits related to obesity, lipid metabolism and IR. 780 unrelated men were included in a cross-sectional study. We selected three tagged SNPs that capture 100% of SNPs with minor allele frequency ≥ 0.10. Analyses were done separately for each SNP and followed up by haplotype analysis. rs8074124C was associated with both obesity (p = 0.005) and abdominal obesity (p = 0.002) and allele C carriers showed, in comparison with TT carriers, lower BMI (p = 0.001) and waist circumference (p = 0.001). rs8074124CC- carriers showed lower fasting insulin (p = 0.017) and HOMA-IR (p = 0.018) than allele T carriers. rs12051836C was associated with hypertriglyceridemia (p = 0.009) and hypertriglyceridemic waist (p = 0.006). rs12051836CC- carriers showed lower fasting insulin (p = 0.043) and HOMA-IR (p = 0.042). Haplotype-based association analysis (rs8074124 and rs12051836 in that order) showed associations with lipid and obesity -related phenotypes, consistent with single locus analysis. Haplotype analysis also revealed association between haplotype CT and both decreased HDL-C (p = 0.026) and HDL-C (p = 0.014) as a continuous variable. We found, for the first time, significant associations between SOCS7 common variants and related haplotypes and obesity, IR and lipid metabolism disorders. Crown Copyright © 2011. Published by Elsevier B.V. All rights reserved.

  12. Over-expression of KdSOC1 gene affected plantlet morphogenesis in Kalanchoe daigremontiana.

    Science.gov (United States)

    Zhu, Chen; Wang, Li; Chen, Jinhua; Liu, Chenglan; Zeng, Huiming; Wang, Huafang

    2017-07-17

    Kalanchoe daigremontiana reproduces asexually by producing plantlets along the leaf margin. The aim of this study was to identify the function of the SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 gene in Kalanchoe daigremontiana (KdSOC1) during plantlet morphogenesis. In this study, KdSOC1 gene expression was detected at stem cell niche during in vitro somatic embryogenesis and plantlet morphogenesis. Disrupting endogenous auxin transportation suppressed the KdSOC1 gene response. Knockdown of the KdSOC1 gene caused a defect in cotyledon formation during the early heart stage of somatic embryogenesis. Over-expression (OE) of the KdSOC1 gene resulted in asymmetric plantlet distribution, a reduced number of plantlets, thicker leaves, and thicker vascular fibers. Higher KdPIN1 gene expression and auxin content were found in OE plant compared to those of wild-type plant leaves, which indicated possible KdSOC1 gene role in affecting auxin distribution and accumulation. KdSOC1 gene OE in DR5-GUS Arabidopsis reporting lines resulted in an abnormal auxin response pattern during different stages of somatic embryogenesis. In summary, the KdSOC1 gene OE might alter auxin distribution and accumulation along leaf margin to initiate plantlet formation and distribution, which is crucial for plasticity during plantlet formation under various environmental conditions.

  13. LCM-seq reveals the crucial role of LsSOC1 in heat-promoted bolting of lettuce (Lactuca sativa L.).

    Science.gov (United States)

    Chen, Zijing; Zhao, Wensheng; Ge, Danfeng; Han, Yingyan; Ning, Kang; Luo, Chen; Wang, Shenglin; Liu, Renyi; Zhang, Xiaolan; Wang, Qian

    2018-05-17

    Lettuce (Lactuca sativa L.) is one of the most economically important vegetables. The floral transition in lettuce is accelerated under high temperatures, which can significantly decrease yields. However, the molecular mechanism underlying the floral tranition in lettuce is poorly known. Using laser capture microdissection coupled with RNA sequencing, we isolated shoot apical meristem cells from the bolting-sensitive lettuce line S39 at four critical stages of development. Subsequently, we screened specifically for the flowering-related gene LsSOC1 during the floral transition through comparative transcriptomic analysis. Molecular biology, developmental biology, and biochemical tools were combined to investigate the biological function of LsSOC1 in lettuce. LsSOC1 knockdown by RNA interference resulted in a significant delay in the timing of bolting and insensitivity to high temperature, which indicated that LsSOC1 functions as an activator during heat-promoted bolting in lettuce. We determined that two heat-shock transcription factors, HsfA1e and HsfA4c, bound to the promoter of LsSOC1 to confirm that LsSOC1 played an important role in heat-promoted bolting. This study indicates that LsSOC1 plays a crucial role in the heat-promoted bolting process in lettuce. Further investigation of LsSOC1 may be useful for clarification of the bolting mechanism in lettuce. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  14. Deletion of skeletal muscle SOCS3 prevents insulin resistance in obesity

    DEFF Research Database (Denmark)

    Beck Jørgensen, Sebastian; O'Neill, Hayley M; Sylow, Lykke

    2013-01-01

    Obesity is associated with chronic low-grade inflammation that contributes to defects in energy metabolism and insulin resistance. Suppressor of cytokine signaling (SOCS)-3 expression is increased in skeletal muscle of obese humans. SOCS3 inhibits leptin signaling in the hypothalamus and insulin...... of hyperinsulinemia and insulin resistance because of enhanced skeletal muscle insulin receptor substrate 1 (IRS1) and Akt phosphorylation that resulted in increased skeletal muscle glucose uptake. These data indicate that skeletal muscle SOCS3 does not play a critical role in regulating muscle development or energy...... expenditure, but it is an important contributing factor for inhibiting insulin sensitivity in obesity. Therapies aimed at inhibiting SOCS3 in skeletal muscle may be effective in reversing obesity-related glucose intolerance and insulin resistance....

  15. SOCS3 inhibiting migration of A549 cells correlates with PYK2 signaling in vitro

    Directory of Open Access Journals (Sweden)

    Zhang Qingfu

    2008-05-01

    Full Text Available Abstract Background Suppressor of cytokine signaling 3 (SOCS3 is considered to inhibit cytokine responses and play a negative role in migration of various cells. Proline-rich tyrosine kinase 2 (PYK2 is a non-receptor kinase and has been found crucial to cell motility. However, little is known about whether SOCS3 could regulate PYK2 pro-migratory function in lung cancer. Methods The methylation status of SOCS3 was investigated in HBE and A549 cell lines by methylation-specific PCR. A549 cells were either treated with a demethylation agent 5-aza-2'-deoxycytidine or transfected with three SOCS3 mutants with various functional domains deleted. Besides, cells were pretreated with a proteasome inhibitor β-lactacystin where indicated. The effects of SOCS3 up-regulation on PYK2 expression, PYK2 and ERK1/2 phosphorylations were assessed by western blot using indicated antibodies. RT-PCR was used to estimate PYK2 mRNA levels. Transwell experiments were performed to evaluate cell migration. Results SOCS3 expression was found impaired in A549 cells and higher PYK2 activity was correlated with enhanced cell migration. We identified that SOCS3 was aberrantly methylated in the exon 2, and 5-aza-2'-deoxycytidine restored SOCS3 expression. Reactivation of SOCS3 attenuated PYK2 expression and phosphorylation, cell migration was inhibited as well. Transfection studies indicated that exogenous SOCS3 interacted with PYK2, and both the Src homology 2 (SH2 and the kinase inhibitory region (KIR domains of SOCS3 contributed to PYK2 binding. Furthermore, SOCS3 was found to inhibit PYK2-associated ERK1/2 activity in A549 cells. SOCS3 possibly promoted degradation of PYK2 in a SOCS-box-dependent manner and interfered with PYK2-related signaling events, such as cell migration. Conclusion These data indicate that SOCS3 negatively regulates cell motility and decreased SOCS3 induced by methylation may confer a migration advantage to A549 cells. These results also suggest a

  16. ETHERBONE - a network layer for the wishbone SoC bus

    International Nuclear Information System (INIS)

    Kreider, M.; Terpstra, W.; Lewis, J.; Serrano, J.; Wlostowski, T.

    2012-01-01

    Today, there are several System on a Chip (SoC) bus systems. Typically, these buses are confined on-chip and rely on higher level components to communicate with the outside world. Taking these systems a step further, we see the possibility of extending the reach of the SoC bus to remote FPGAs or processors. This leads to the idea of the EtherBone (EB) core, which connects a Wishbone (WB) Ver. 4 Bus via a Gigabit Ethernet based network link to remote peripheral devices. EB acts as a transparent interconnect module towards attached WB Bus devices. Address information and data from one or more WB bus cycles is preceded with a descriptive header and encapsulated in a UDP/IP packet. Because of this standard compliance, EB is able to traverse Wide Area Networks and is therefore not bound to a geographic location. Due to the low level nature of the WB bus, EB provides a sound basis for remote hardware tools like a JTAG debugger, In-System-Programmer (ISP), boundary scan interface or logic analyser module. EB was developed in the scope of the White-Rabbit Timing Project (WR) at CERN and GSI/FAIR, which employs GigaBit Ethernet technology to communicate with memory mapped slave devices. WR will make use of EB as means to issue commands to its timing nodes and control connected accelerator hardware. (authors)

  17. Evaluation of SOC for the presumptive identification of Candida albicans and Cryptococcus neoformans.

    Science.gov (United States)

    Fleming, W H; Knezek, K L; Dorn, G L

    1987-01-01

    SOC, a fungal growth medium composed of Solryth, oxgall, and caffeic acid, was evaluated as a medium to provide rapid, differential identification of Candida albicans and Cryptococcus neoformans. Using a variety of common isolation media to produce the yeast inocula, the germ tube methods tested ranked in the following order of decreasing sensitivity: SOC (97% +/- 1), serum (92% +/- 5), rabbit coagulase plasma with EDTA in combination with tryptic soy broth (89% +/- 5), TOC (89% +/- 6), and rabbit coagulase plasma with EDTA (83% +/- 4). In chlamydospore production, SOC also proved to be the most sensitive after 24 h incubation: SOC (96% +/- 2), TOC (80% +/- 2), and cornmeal-Tween 80 agar (14% +/- 3). Other medically important yeasts showed normal patterns of growth within 24 h on SOC, thus assisting in their identification. Eighty strains of Cryptococcus neoformans showed characteristic brown pigmentation on SOC and TOC within 18 h, while all other species of the genus Cryptococcus and 229 Candida isolates did not show a change in pigmentation.

  18. Estimation of power lithium-ion battery SOC based on fuzzy optimal decision

    Science.gov (United States)

    He, Dongmei; Hou, Enguang; Qiao, Xin; Liu, Guangmin

    2018-06-01

    In order to improve vehicle performance and safety, need to accurately estimate the power lithium battery state of charge (SOC), analyzing the common SOC estimation methods, according to the characteristics open circuit voltage and Kalman filter algorithm, using T - S fuzzy model, established a lithium battery SOC estimation method based on the fuzzy optimal decision. Simulation results show that the battery model accuracy can be improved.

  19. Effects of different soil types in natural Mediterranean areas on soil organic carbon (SOC)

    Science.gov (United States)

    Requejo Silva, Ana; Lozano García, Beatriz; Parras Alcántara, Luis

    2017-04-01

    statement, the main goal of this work consists in establishing the vertical distribution in the profile of SOC and N concentrations and to quantify the SOC and N stocks affected by different soil types in a natural Mediterranean area, under the same land use (agroforestry system) and management (conventional tillage). This will allow to evaluate the soil quality. It was verified that SOC concentrations significantly decreased with depth in the majority of soil profiles for all soil groups under consideration. Leptosols are characterized by the highest concentration of soil organic carbon in the subsurface horizons as opposed to Cambisols which are defined by the lowest SOC concentration in depth. The SOC stock determined in the studied soil groups are 110. Mg. ha-1 for Fluvisols and 78.35 Mg.ha-1 for Regosols that can be caused by soil thickness. According to McLauchlan (2006), it cannot be found a strong relationship between clay content and organic carbon in the soil groups under study. REFERENCES IPPC: Climate Change 2007: the physical science basis, Cambridge University Press: Cambridge/New York, NY, 2007. IUSS Working Group WRB, 2006. World Reference base for soil resources 2006. World Soil Resources Report N° 103. FAO, Rome. Khaledian, Y., Kiani, F., Ebrahimi, S., Brevik, E.C., Aitkenhead-Peterson, J., 2016. Assessment and monitoring of soil degradation during land use change using multivariate analysis. Land Degrad. Dev. Doi: http:// dx.doi.org/10.1002/ldr.2541. Lozano-García, B., Parras-Alcántara, L., Cantudo-Pérez, M., 2016. Land use change effects on stratification and storage of soil carbon and nitrogen: Application to a Mediterranean nature reserve. Agriculture, Ecosystems and Environment, 231, 105-113. McLauchlan, K.K., 2006. Effect of soil texture on soil carbon and nitrogen dynamic after cessation of agriculture. Geoderma 136, 289-299. Parras-Alcántara, L., Martín-Carrillo, M. and Lozano-García, B. Impacts of land use change in soil carbon and nitrogen

  20. What are the effects of agricultural management on soil organic carbon (SOC) stocks?

    DEFF Research Database (Denmark)

    Söderström, Bo; Hedlund, Katarina; Jackson, Louise E.

    2014-01-01

    the physical and biological properties of the soil. Intensification of agriculture and land-use change from grasslands to croplands are generally known to deplete SOC stocks. The depletion is exacerbated through agricultural practices with low return of organic material and various mechanisms......Changes in soil organic carbon (SOC) stocks significantly influence the atmospheric C concentration. Agricultural management practices that increase SOC stocks thus may have profound effects on climate mitigation. Additional benefits include higher soil fertility since increased SOC stocks improve......, such as oxidation/mineralization, leaching and erosion. However, a systematic review comparing the efficacy of different agricultural management practices to increase SOC stocks has not yet been produced. Since there are diverging views on this matter, a systematic review would be timely for framing policies...

  1. The impact of soil redistribution on SOC pools in a Mediterranean agroforestry catchment

    Science.gov (United States)

    Quijano, Laura; Gaspar, Leticia; Lizaga, Iván; Navas, Ana

    2017-04-01

    Soil redistribution processes play an important role influencing the spatial distribution patterns of soil and associated soil organic carbon (SOC) at landscape scale. Information on drivers of SOC dynamics is key for evaluating both soil degradation and SOC stability that can affect soil quality and sustainability. 137Cs measurements provide a very effective tool to infer spatial patterns of soil redistribution and quantify soil redistribution rates in different landscapes, but to date these data are scarce in mountain Mediterranean agroecosystems. We evaluate the effect of soil redistribution on SOC and SOC pools in relation to land use in a Mediterranean mountain catchment (246 ha). To this purpose, two hundred and four soil bulk cores were collected on a 100 m grid in the Estaña lakes catchment located in the central sector of the Spanish Pyrenees (31T 4656250N 295152E). The study area is an agroforestry and endorheic catchment characterized by the presence of evaporite dissolution induced dolines, some of which host permanent lakes. The selected landscape is representative of rainfed areas of Mediterranean continental climate with erodible lithology and shallow soils, and characterized by an intense anthropogenic activity through cultivation and water management. The cultivated and uncultivated areas are heterogeneously distributed. SOC and SOC pools (the active and decomposable fraction, ACF and the stable carbon fraction SCF) were measured by the dry combustion method and soil redistribution rates were derived from 137Cs measurements. The results showed that erosion predominated in the catchment, most of soil samples were identified as eroded sites (n=114) with an average erosion rate of 26.9±51.4 Mg ha-1 y-1 whereas the mean deposition rate was 13.0±24.2 Mg ha-1 y-1. In cultivated soils (n=54) the average of soil erosion rate was significantly higher (78.5±74.4 Mg ha-1 y-1) than in uncultivated soils (6.8±10.4 Mg ha-1 y-1). Similarly, the mean of soil

  2. Selection of key terrain attributes for SOC model

    DEFF Research Database (Denmark)

    Greve, Mogens Humlekrog; Adhikari, Kabindra; Chellasamy, Menaka

    As an important component of the global carbon pool, soil organic carbon (SOC) plays an important role in the global carbon cycle. SOC pool is the basic information to carry out global warming research, and needs to sustainable use of land resources. Digital terrain attributes are often use...... was selected, total 2,514,820 data mining models were constructed by 71 differences grid from 12m to 2304m and 22 attributes, 21 attributes derived by DTM and the original elevation. Relative importance and usage of each attributes in every model were calculated. Comprehensive impact rates of each attribute...

  3. Suppressor of cytokine signaling (SOCS)5 ameliorates influenza infection via inhibition of EGFR signaling.

    Science.gov (United States)

    Kedzierski, Lukasz; Tate, Michelle D; Hsu, Alan C; Kolesnik, Tatiana B; Linossi, Edmond M; Dagley, Laura; Dong, Zhaoguang; Freeman, Sarah; Infusini, Giuseppe; Starkey, Malcolm R; Bird, Nicola L; Chatfield, Simon M; Babon, Jeffrey J; Huntington, Nicholas; Belz, Gabrielle; Webb, Andrew; Wark, Peter Ab; Nicola, Nicos A; Xu, Jianqing; Kedzierska, Katherine; Hansbro, Philip M; Nicholson, Sandra E

    2017-02-14

    Influenza virus infections have a significant impact on global human health. Individuals with suppressed immunity, or suffering from chronic inflammatory conditions such as COPD, are particularly susceptible to influenza. Here we show that suppressor of cytokine signaling (SOCS) five has a pivotal role in restricting influenza A virus in the airway epithelium, through the regulation of epidermal growth factor receptor (EGFR). Socs5 -deficient mice exhibit heightened disease severity, with increased viral titres and weight loss. Socs5 levels were differentially regulated in response to distinct influenza viruses (H1N1, H3N2, H5N1 and H11N9) and were reduced in primary epithelial cells from COPD patients, again correlating with increased susceptibility to influenza. Importantly, restoration of SOCS5 levels restricted influenza virus infection, suggesting that manipulating SOCS5 expression and/or SOCS5 targets might be a novel therapeutic approach to influenza.

  4. UD-DKF-based Parameters on-line Identification Method and AEKF-Based SOC Estimation Strategy of Lithium-ion Battery

    Directory of Open Access Journals (Sweden)

    Xuanju Dang

    2014-09-01

    Full Text Available State of charge (SOC is a significant parameter for the Battery Management System (BMS. The accurate estimation of the SOC can not only guarantee the SOC remaining within a reasonable scope of work, but also prevent the battery from being over or deeply-charged to extend the lifespan of battery. In this paper, the third-order RC equivalent circuit model is adopted to describe cell characteristics and the dual Kalman filter (DKF is used online to identify model parameters for battery. In order to avoid the impacts of rounding error calculation leading to the estimation error matrix loss of non-negative qualitative which result in the filtering divergence phenomenon, the UD decomposition method is applied for filtering time and state updates simultaneously to enhance the stability of the algorithm, reduce the computational complexity and improve the high recognition accuracy. Based on the obtained model parameters, Adaptive Extended Kalman Filter (AEKF is introduced to online estimate the SOC of battery. The simulation and experimental results demonstrate that the established third-order RC equivalent circuit model is effective, and the SOC estimation has a higher precision.

  5. Influenza A virus inhibits type I IFN signaling via NF-kappaB-dependent induction of SOCS-3 expression.

    Directory of Open Access Journals (Sweden)

    Eva-K Pauli

    2008-11-01

    Full Text Available The type I interferon (IFN system is a first line of defense against viral infections. Viruses have developed various mechanisms to counteract this response. So far, the interferon antagonistic activity of influenza A viruses was mainly observed on the level of IFNbeta gene induction via action of the viral non-structural protein 1 (NS1. Here we present data indicating that influenza A viruses not only suppress IFNbeta gene induction but also inhibit type I IFN signaling through a mechanism involving induction of the suppressor of cytokine signaling-3 (SOCS-3 protein. Our study was based on the observation that in cells that were infected with influenza A virus and subsequently stimulated with IFNalpha/beta, phosphorylation of the signal transducer and activator of transcription protein 1 (STAT1 was strongly reduced. This impaired STAT1 activation was not due to the action of viral proteins but rather appeared to be induced by accumulation of viral 5' triphosphate RNA in the cell. SOCS proteins are potent endogenous inhibitors of Janus kinase (JAK/STAT signaling. Closer examination revealed that SOCS-3 but not SOCS-1 mRNA levels increase in an RNA- and nuclear factor kappa B (NF-kappaB-dependent but type I IFN-independent manner early in the viral replication cycle. This direct viral induction of SOCS-3 mRNA and protein expression appears to be relevant for suppression of the antiviral response since in SOCS-3 deficient cells a sustained phosphorylation of STAT1 correlated with elevated expression of type I IFN-dependent genes. As a consequence, progeny virus titers were reduced in SOCS-3 deficient cells or in cells were SOCS-3 expression was knocked-down by siRNA. These data provide the first evidence that influenza A viruses suppress type I IFN signaling on the level of JAK/STAT activation. The inhibitory effect is at least in part due to the induction of SOCS-3 gene expression, which results in an impaired antiviral response.

  6. Synergy of SOCS-1 Inhibition and Microbial-Based Cancer Vaccines

    Science.gov (United States)

    2013-09-01

    SH2 domain; a docking motif for interaction with tyrosine...IL‐ 4, IL‐7, IL‐15), IFN‐α, IFN‐γ, and IL‐12. While the SH2 domain targets the SOCS proteins to specific molecules within the JAK‐ STAT pathway, the...SOCS‐box functions as an E3 ubiquitin ligase, promoting degradation of the cytokine receptor complex. SOCS1 also contains a kinase inhibitory

  7. Dynamics and fate of SOC in tidal marshes along a salinity gradient (Scheldt estuary, Belgium)

    Science.gov (United States)

    Van de Broek, Marijn; Temmermann, Stijn; Merckx, Roel; Wang, Zhengang; Govers, Gerard

    2016-04-01

    Coastal ecosystems have been attributed the potential to store large amounts of organic carbon (OC), often referred to as blue carbon, of which a considerable amount is stored in tidal marsh soils. Large uncertainties still exist with respect to the amount and controlling factors of soil organic carbon (SOC) stored in these ecosystems. Moreover, most research has focused on SOC dynamics of saltmarshes, while brackish and freshwater marshes are often even more productive and thus receive even larger organic carbon inputs. Therefore, in this study the OC dynamics of tidal marsh soils along an estuarine gradient are studied in order to contribute to our knowledge of 1) the stocks, 2) the controlling factors and 3) the fate of SOC in tidal marshes with different environmental characteristics. This research thus contributes to a better understanding of the potential of coastal environments to store organic carbon under future climatic changes. Soil and vegetation samples are collected in tidal salt-, brackish- and freshwater marshes in the Scheldt estuary (Belgium - The Netherlands). At each tidal marsh, three replicate soil cores up to 1.5m depth in 0.03m increments are collected at locations with both a low and a high elevation. These cores are analyzed for OC, stable C and N isotopes, bulk density and texture. Incubation experiments of topsoil samples were conducted and both aboveground and belowground biomass were collected. The results show that SOC stocks (range: 13,5 - 35,4 kg OC m-2), standing biomass (range: 2000 - 7930 g DW m-2) and potential soil respiration of CO2 (range: 0,03 - 0,12 % per unit OC per day) decrease with increasing salinity. This shows that both the amount of OC from local macrophytes and the quality of the organic matter are important factors controlling the SOC stocks. In addition, based on the analysis of stable C and N isotopes, it appears that when a significant fraction of SOC is derived from local macrophytes, higher SOC stocks are

  8. Longevity of contributions to SOC stocks from roots and aboveground plant litter below a Miscanthus plantation

    Science.gov (United States)

    Robertson, Andrew; Smith, Pete; Davies, Christian; Bottoms, Emily; McNamara, Niall

    2013-04-01

    emissions in winter and spring whereas root respiration dominates the summer and autumn fluxes. Additionally, the "No Roots or Litter" and "No Litter" treatments have significantly less Miscanthus-derived C and therefore significantly less CO2 emitted from decomposing 'new' C. Results from soil fractionation concur with these findings and also suggest that most Miscanthus-derived SOC has fairly short mean residence times within the soil. We hypothesised that the high C input treatments would stimulate large outputs but also increase soil C stocks. However, whilst CO2 efflux varies significantly between treatments, results from the first two years of the experiment do not suggest that any increase in SOC is significant. Four years of continuous monitoring, chemical and physical soil fractionation and ecosystem C cycle modelling will allow a more comprehensive analysis of the longevity of Miscanthus-derived SOC and estimation of SOC stock change with time and plant inputs.

  9. DMPD: Regulation of cytokine signaling by SOCS family molecules. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 14644140 Regulation of cytokine signaling by SOCS family molecules. Fujimoto M, Nak...a T. Trends Immunol. 2003 Dec;24(12):659-66. (.png) (.svg) (.html) (.csml) Show Regulation of cytokine signaling by SOCS family... molecules. PubmedID 14644140 Title Regulation of cytokine signaling by SOCS family molec

  10. An Overview and Comparison of Online Implementable SOC Estimation Methods for Lithium-ion Battery

    DEFF Research Database (Denmark)

    Meng, Jinhao; Ricco, Mattia; Luo, Guangzhao

    2018-01-01

    . Many SOC estimation methods have been proposed in the literature. However, only a few of them consider the real-time applicability. This paper reviews recently proposed online SOC estimation methods and classifies them into five categories. Their principal features are illustrated, and the main pros...... and cons are provided. The SOC estimation methods are compared and discussed in terms of accuracy, robustness, and computation burden. Afterward, as the most popular type of model based SOC estimation algorithms, seven nonlinear filters existing in literature are compared in terms of their accuracy...

  11. A new circuit for at-speed scan SoC testing

    International Nuclear Information System (INIS)

    Lin Wei; Shi Wenlong

    2013-01-01

    It is very important to detect transition-delay faults and stuck-at faults in system on chip (SoC) under 90 nm processing technology, and the transition-delay faults can only be detected by using an at-speed testing method. In this paper, an on-chip clock (OCC) controller with a bypass function based on an internal phase-locked loop is designed to test faults in SoC. Furthermore, a clock chain logic which can eliminate the metastable state is realized to generate an enable signal for the OCC controller, and then, the test pattern is generated by automatic test pattern generation (ATPG) tools. Next, the scan test pattern is simulated by using the Synopsys tool and the correctness of the design is verified. The result shows that the design of an at-speed scan test in this paper is highly efficient for detecting timing-related defects. Finally, the 89.29% transition-delay fault coverage and the 94.50% stuck-at fault coverage are achieved, and it is successfully applied to an integrated circuit design. (semiconductor integrated circuits)

  12. Key KdSOC1 gene expression profiles during plantlet morphogenesis under hormone, photoperiod, and drought treatments.

    Science.gov (United States)

    Liu, C; Zhu, C; Zeng, H M

    2016-02-11

    Kalanchoe daigremontiana utilizes plantlet formation between its zigzag leaf margins as its method of asexual reproduction. In this study, K. daigremontiana SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (KdSOC1), a key intermediate in the transition from vegetative to asexual growth, was cloned. Furthermore, its expression profiles during plantlet formation under different environmental and hormone induction conditions were analyzed. The full-KdSOC1 cDNA sequence length was 1410 bp with 70% shared homology with Carya cathayensis SOC1. The conserved domain search of KdSOC1 showed the absence of I and C domains, which might indicate novel biological functions in K. daigremontiana. The full-KdSOC1 promoter sequence was 1401 bp long and contained multiple-hormone-responsive cis-acting elements. Hormone induction assays showed that gibberellins and salicylic acid mainly regulated KdSOC1 expression. The swift change from low to high KdSOC1 expression levels during long-day induction was accompanied by the rapid emergence of plantlets. Drought stress stimulated KdSOC1 expression in leaves both with and without plantlet formation. Together, the results suggested that KdSOC1 was closely involved in environmental stimulation signal perception and the transduction of K. daigremontiana plantlet formation. Therefore, future identification of KdSOC1 functions might reveal key information that will help elucidate the transition network between embryogenesis and organogenesis during plantlet formation.

  13. Using SoC Online Correction Method Based on Parameter Identification to Optimize the Operation Range of NI-MH Battery for Electric Boat

    Directory of Open Access Journals (Sweden)

    Bumin Meng

    2018-03-01

    Full Text Available This paper discusses a design of a Battery Management System (BMS solution for extending the life of Nickel-Metal Hydride (NI-MH battery. Combined with application of electric boat, a State of Charge (SoC optimal operation range control method based on high precision energy metering and online SoC correction is proposed. Firstly, a power metering scheme is introduced to reduce the original energy measurement error. Secondly, by establishing a model based parameter identification method and combining with Extended Kalman Filter (EKF method, the estimation accuracy of SoC is guaranteed. Finally, SoC optimal operation range control method is presented to make battery running in the optimal range. After two years of operation, the battery managed by proposed method has much better status, compared to batteries that use AH integral method and fixed SoC operating range. Considering the SoC estimation of NI-MH battery is more difficult becausing special electrical characteristics, proposed method also would have a very good reference value for other types of battery management.

  14. Enhancement of antiproliferative activity of interferons by RNA interference-mediated silencing of SOCS gene expression in tumor cells.

    Science.gov (United States)

    Takahashi, Yuki; Kaneda, Haruka; Takasuka, Nana; Hattori, Kayoko; Nishikawa, Makiya; Watanabe, Yoshihiko; Takakura, Yoshinobu

    2008-08-01

    The suppressor of cytokine signaling (SOCS) proteins, negative regulators of interferon (IFN)-induced signaling pathways, is involved in IFN resistance of tumor cells. To improve the growth inhibitory effect of IFN-beta and IFN-gamma on a murine melanoma cell line, B16-BL6, and a murine colon carcinoma cell line, Colon26 cells, SOCS-1 and SOCS-3 gene expression in tumor cells was downregulated by transfection of plasmid DNA expressing short hairpin RNA targeting one of these genes (pshSOCS-1 and pshSOCS-3, respectively). Transfection of pshSOCS-1 significantly increased the antiproliferative effect of IFN-gamma on B16-BL6 cells. However, any other combinations of plasmids and IFN had little effect on the growth of B16-BL6 cells. In addition, transfection of pshSOCS-1 and pshSOCS-3 produced little improvement in the effect of IFN on Colon26 cells. To understand the mechanism underlining these findings, the level of SOCS gene expression was measured by real time polymerase chain reaction. Addition of IFN-gamma greatly increased the SOCS-1 mRNA expression in B16-BL6 cells. Taking into account the synergistic effect of pshSOCS-1 and IFN-gamma on the growth of B16-BL6 cells, these findings suggest that IFN-gamma-induced high SOCS-1 gene expression in B16-BL6 cells significantly interferes with the antiproliferative effect of IFN-gamma. These results indicate that silencing SOCS gene expression can be an effective strategy to enhance the antitumor effect of IFN under conditions in which the SOCS gene expression is upregulated by IFN.

  15. Sticker-type ECG/PPG concurrent monitoring system hybrid integration of CMOS SoC and organic sensor device.

    Science.gov (United States)

    Yongsu Lee; Hyeonwoo Lee; Seunghyup Yoo; Hoi-Jun Yoo

    2016-08-01

    The sticker-type sensor system is proposed targeting ECG/PPG concurrent monitoring for cardiovascular diseases. The stickers are composed of two types: Hub and Sensor-node (SN) sticker. Low-power CMOS SoC for measuring ECG and PPG signal is hybrid integrated with organic light emitting diodes (OLEDs) and organic photo detector (OPD). The sticker has only 2g weight and only consumes 141μW. The optical calibration loop is adopted for maintaining SNR of PPG signal higher than 30dB. The pulse arrival time (PAT) and SpO2 value can be extracted from various body parts and verified comparing with the reference device from 20 people in-vivo experiments.

  16. Induction of CML28-specific cytotoxic T cell responses using co-transfected dendritic cells with CML28 DNA vaccine and SOCS1 small interfering RNA expression vector

    International Nuclear Information System (INIS)

    Zhou Hongsheng; Zhang Donghua; Wang Yaya; Dai Ming; Zhang Lu; Liu Wenli; Liu Dan; Tan Huo; Huang Zhenqian

    2006-01-01

    CML28 is an attractive target for antigen-specific immunotherapy. SOCS1 represents an inhibitory control mechanism for DC antigen presentation and the magnitude of adaptive immunity. In this study, we evaluated the potential for inducing CML28-specific cytotoxic T lymphocytes (CTL) responses by dendritic cells (DCs)-based vaccination. We constructed a CML28 DNA vaccine and a SOCS1 siRNA vector and then cotransfect monocyte-derived DCs. Flow cytometry analysis showed gene silencing of SOCS1 resulted in higher expressions of costimulative moleculars in DCs. Mixed lymphocyte reaction (MLR) indicated downregulation of SOCS1 stronger capability to stimulate proliferation of responder cell in DCs. The CTL assay revealed transfected DCs effectively induced autologous CML28-specific CTL responses and the lytic activities induced by SOCS1-silenced DCs were significantly higher compared with those induced by SOCS1-expressing DCs. These results in our study indicates gene silencing of SOCS1 remarkably enhanced the cytotoxicity efficiency of CML28 DNA vaccine in DCs

  17. 0.45 v and 18 μA/MHz MCU SOC with Advanced Adaptive Dynamic Voltage Control (ADVC

    Directory of Open Access Journals (Sweden)

    Uzi Zangi

    2018-05-01

    Full Text Available An ultra-low-power MicroController Unit System-on-Chip (MCU SOC is described with integrated DC to DC power management and Adaptive Dynamic Voltage Control (ADVC mechanism. The SOC, designed and fabricated in a 40 nm ULP standard CMOS technology, includes the complete Synopsys ARC EM5D core MCU, featuring a full set of DSP instructions and minimizing energy consumption at a wide range of frequencies: 312 K–80 MHz. A number of unique low voltage digital libraries, comprising of approximately 300 logic cells and sequential elements, were used for the MCU SOC design. On-die silicon sensors were utilized to continuously change the operating voltage to optimize power/performance for a given frequency and environmental conditions, and also to resolve yield and life time problems, while operating at low voltages. A First Fail (FFail mechanism, which can be digitally and linearly controlled with up to 8 bits, detects the failing SOC voltage at a given frequency. The core operates between 0.45–1.1 V volts with a direct battery connection for an input voltage of 1.6–3.6 V. Measurement results show that the peak energy efficiency is 18μW/MHz. A comparison to state-of-the-art commercial SOCs is presented, showing a 3–5× improved current/DMIPS (Dhrystone Million Instructions per second compared to the next best chip.

  18. Performance Evaluation of FIR Filter After Implementation on Different FPGA and SOC and Its Utilization in Communication and Network

    DEFF Research Database (Denmark)

    Pandey, Bishwajeet; Das, Bhagwan; Kaur, Amanpreet

    2017-01-01

    that will energy efficient as well as faster than traditional design. Three different FPGA and SOC are taken under consideration and our design is implemented on these four ICs and we find the most energy efficient architecture and also find the architecture that will deliver highest performance among these four...... FPGA then we conclude that Zynq 7000 All programmable SOC is power hungry architecture and Kintex ultrascale architecture is the most energy efficient architecture that dissipates 20.86% less power than Zynq 700 All programmable SOC. For performance evaluation, we have taken benchmark C code of FIR...... provide by Xilinx. We transform that C code into HDL using Vivado HLS 2016.2 before power analysis on Vivado 2016.2. Ultrascale FPGA is generally used for packet processing in 100G networking and heterogeneous wireless infrastructure....

  19. A multiscale framework with extended Kalman filter for lithium-ion battery SOC and capacity estimation

    International Nuclear Information System (INIS)

    Hu, Chao; Youn, Byeng D.; Chung, Jaesik

    2012-01-01

    Highlights: ► We develop a mutiscale framework with EKF to estimate SOC and capacity. ► The framework is a hybrid of coulomb counting and adaptive filtering techniques. ► It decouples SOC and capacity estimation in terms of measurement and time-scale. ► Results verify the framework achieves higher accuracy and efficiency than dual EKF. -- Abstract: State-of-charge (SOC) and capacity estimation plays an essential role in many battery-powered applications, such as electric vehicle (EV) and hybrid electric vehicle (HEV). However, commonly used joint/dual extended Kalman filter (EKF) suffers from the lack of accuracy in the capacity estimation since (i) the cell voltage is the only measurable data for the SOC and capacity estimation and updates and (ii) the capacity is very weakly linked to the cell voltage. The lack of accuracy in the capacity estimation may further reduce the accuracy in the SOC estimation due to the strong dependency of the SOC on the capacity. Furthermore, although the capacity is a slowly time-varying quantity that indicates cell state-of-health (SOH), the capacity estimation is generally performed on the same time-scale as the quickly time-varying SOC, resulting in high computational complexity. To resolve these difficulties, this paper proposes a multiscale framework with EKF for SOC and capacity estimation. The proposed framework comprises two ideas: (i) a multiscale framework to estimate SOC and capacity that exhibit time-scale separation and (ii) a state projection scheme for accurate and stable capacity estimation. Simulation results with synthetic data based on a valid cell dynamic model suggest that the proposed framework, as a hybrid of coulomb counting and adaptive filtering techniques, achieves higher accuracy and efficiency than joint/dual EKF. Results of the cycle test on Lithium-ion prismatic cells further verify the effectiveness of our framework.

  20. Purification of SOCS (Suppressor of Cytokine Signaling) SH2 Domains for Structural and Functional Studies.

    Science.gov (United States)

    Liau, Nicholas P D; Laktyushin, Artem; Babon, Jeffrey J

    2017-01-01

    Src Homology 2 (SH2) domains are protein domains which have a high binding affinity for specific amino acid sequences containing a phosphorylated tyrosine residue. The Suppressors of Cytokine Signaling (SOCS) proteins use an SH2 domain to bind to components of certain cytokine signaling pathways to downregulate the signaling cascade. The recombinantly produced SH2 domains of various SOCS proteins have been used to undertake structural and functional studies elucidating the method of how such targeting occurs. Here, we describe the protocol for the recombinant production and purification of SOCS SH2 domains, with an emphasis on SOCS3.

  1. Comparison Study on Two Model-Based Adaptive Algorithms for SOC Estimation of Lithium-Ion Batteries in Electric Vehicles

    Directory of Open Access Journals (Sweden)

    Yong Tian

    2014-12-01

    Full Text Available State of charge (SOC estimation is essential to battery management systems in electric vehicles (EVs to ensure the safe operations of batteries and providing drivers with the remaining range of the EVs. A number of estimation algorithms have been developed to get an accurate SOC value because the SOC cannot be directly measured with sensors and is closely related to various factors, such as ambient temperature, current rate and battery aging. In this paper, two model-based adaptive algorithms, including the adaptive unscented Kalman filter (AUKF and adaptive slide mode observer (ASMO are applied and compared in terms of convergence behavior, tracking accuracy, computational cost and estimation robustness against parameter uncertainties of the battery model in SOC estimation. Two typical driving cycles, including the Dynamic Stress Test (DST and New European Driving Cycle (NEDC are applied to evaluate the performance of the two algorithms. Comparison results show that the AUKF has merits in convergence ability and tracking accuracy with an accurate battery model, while the ASMO has lower computational cost and better estimation robustness against parameter uncertainties of the battery model.

  2. Methylation of SOCS3 is inversely associated with metabolic syndrome in an epigenome-wide association study of obesity.

    Science.gov (United States)

    Ali, Omar; Cerjak, Diana; Kent, Jack W; James, Roland; Blangero, John; Carless, Melanie A; Zhang, Yi

    2016-09-01

    Epigenetic mechanisms, including DNA methylation, mediate the interaction between gene and environment and may play an important role in the obesity epidemic. We assessed the relationship between DNA methylation and obesity in peripheral blood mononuclear cells (PBMCs) at 485,000 CpG sites across the genome in family members (8-90 y of age) using a discovery cohort (192 individuals) and a validation cohort (1,052 individuals) of Northern European ancestry. After Bonferroni-correction (P α=0.05 = 1.31 × 10 -7 ) for genome-wide significance, we identified 3 loci, cg18181703 (SOCS3), cg04502490 (ZNF771), and cg02988947 (LIMD2), where methylation status was associated with body mass index percentile (BMI%), a clinical index for obesity in children, adolescents, and adults. These sites were also associated with multiple metabolic syndrome (MetS) traits, including central obesity, fat depots, insulin responsiveness, and plasma lipids. The SOCS3 methylation locus was also associated with the clinical definition of MetS. In the validation cohort, SOCS3 methylation status was found to be inversely associated with BMI% (P = 1.75 × 10 -6 ), waist to height ratio (P = 4.18 × 10 -7 ), triglycerides (P = 4.01 × 10 -4 ), and MetS (P = 4.01 × 10 -7 ), and positively correlated with HDL-c (P = 4.57 × 10 -8 ). Functional analysis in a sub cohort (333 individuals) demonstrated SOCS3 methylation and gene expression in PBMCs were inversely correlated (P = 2.93 × 10 -4 ) and expression of SOCS3 was positively correlated with status of MetS (P = 0.012). We conclude that epigenetic modulation of SOCS3, a gene involved in leptin and insulin signaling, may play an important role in obesity and MetS.

  3. Synthesis of highly monodispersed Ga-soc-MOF hollow cubes, colloidosomes and nanocomposites

    KAUST Repository

    Cai, Xuechao

    2016-07-06

    Ga-soc-MOF hollow cubes with an average size of about 300 nm were prepared by a polyvinylpyrrolidone (PVP) assisted acid etching process. Colloidosomes with sizes of around 5-10 mu m composed of single-layer tetrakaidecahedron building blocks (BBs) were synthesized for the first time. Au@Ga-soc-MOF nanocomposites with excellent catalytic properties were obtained.

  4. Synthesis of highly monodispersed Ga-soc-MOF hollow cubes, colloidosomes and nanocomposites

    KAUST Repository

    Cai, Xuechao; Deng, Xiaoran; Xie, Zhongxi; Bao, Shouxin; Shi, Yanshu; Lin, Jun; Pang, Maolin; Eddaoudi, Mohamed

    2016-01-01

    Ga-soc-MOF hollow cubes with an average size of about 300 nm were prepared by a polyvinylpyrrolidone (PVP) assisted acid etching process. Colloidosomes with sizes of around 5-10 mu m composed of single-layer tetrakaidecahedron building blocks (BBs) were synthesized for the first time. Au@Ga-soc-MOF nanocomposites with excellent catalytic properties were obtained.

  5. Prediction of SOC content by Vis-NIR spectroscopy at European scale using a modified local PLS algorithm

    Science.gov (United States)

    Nocita, M.; Stevens, A.; Toth, G.; van Wesemael, B.; Montanarella, L.

    2012-12-01

    In the context of global environmental change, the estimation of carbon fluxes between soils and the atmosphere has been the object of a growing number of studies. This has been motivated notably by the possibility to sequester CO2 into soils by increasing the soil organic carbon (SOC) stocks and by the role of SOC in maintaining soil quality. Spatial variability of SOC masks its slow accumulation or depletion, and the sampling density required to detect a change in SOC content is often very high and thus very expensive and labour intensive. Visible near infrared diffuse reflectance spectroscopy (Vis-NIR DRS) has been shown to be a fast, cheap and efficient tool for the prediction of SOC at fine scales. However, when applied to regional or country scales, Vis-NIR DRS did not provide sufficient accuracy as an alternative to standard laboratory soil analysis for SOC monitoring. Under the framework of Land Use/Cover Area Frame Statistical Survey (LUCAS) project of the European Commission's Joint Research Centre (JRC), about 20,000 samples were collected all over European Union. Soil samples were analyzed for several physical and chemical parameters, and scanned with a Vis-NIR spectrometer in the same laboratory. The scope of our research was to predict SOC content at European scale using LUCAS spectral library. We implemented a modified local partial least square regression (l-PLS) including, in addition to spectral distance, other potentially useful covariates (geography, texture, etc.) to select for each unknown sample a group of predicting neighbours. The dataset was split in mineral soils under cropland, mineral soils under grassland, mineral soils under woodland, and organic soils due to the extremely diverse spectral response of the four classes. Four every class training (70%) and test (30%) sets were created to calibrate and validate the SOC prediction models. The results showed very good prediction ability for mineral soils under cropland and mineral soils

  6. Design and implementation of a reconfigurable mixed-signal SoC based on field programmable analog arrays

    Science.gov (United States)

    Liu, Lintao; Gao, Yuhan; Deng, Jun

    2017-11-01

    This work presents a reconfigurable mixed-signal system-on-chip (SoC), which integrates switched-capacitor-based field programmable analog arrays (FPAA), analog-to-digital converter (ADC), digital-to-analog converter, digital down converter , digital up converter, 32-bit reduced instruction-set computer central processing unit (CPU) and other digital IPs on a single chip with 0.18 μm CMOS technology. The FPAA intellectual property could be reconfigured as different function circuits, such as gain amplifier, divider, sine generator, and so on. This single-chip integrated mixed-signal system is a complete modern signal processing system, occupying a die area of 7 × 8 mm 2 and consuming 719 mW with a clock frequency of 150 MHz for CPU and 200 MHz for ADC/DAC. This SoC chip can help customers to shorten design cycles, save board area, reduce the system power consumption and depress the system integration risk, which would afford a big prospect of application for wireless communication. Project supported by the National High Technology and Development Program of China (No. 2012AA012303).

  7. Boosted gain programmable OpAmp with embedded gain monitor for dependable SoCs

    NARCIS (Netherlands)

    Wan, J.; Kerkhoff, Hans G.

    2011-01-01

    SoCs used in safety-critical applications need to be dependable. However in the deep-submicron region, different kinds of aging effects like negative bias temperature instability (NBTI) make the SoCs, especially the analog/mixed-signal parts, undependable. In this paper, a dependability-improved

  8. Low-Power Differential SRAM design for SOC Based on the 25-um Technology

    Science.gov (United States)

    Godugunuri, Sivaprasad; Dara, Naveen; Sambasiva Nayak, R.; Nayeemuddin, Md; Singh, Yadu, Dr.; Veda, R. N. S. Sunil

    2017-08-01

    In recent, the SOC styles area unit the vast complicated styles in VLSI these SOC styles having important low-power operations problems, to comprehend this we tend to enforced low-power SRAM. However these SRAM Architectures critically affects the entire power of SOC and competitive space. To beat the higher than disadvantages, during this paper, a low-power differential SRAM design is planned. The differential SRAM design stores multiple bits within the same cell, operates at minimum in operation low-tension and space per bit. The differential SRAM design designed supported the 25-um technology using Tanner-EDA Tool.

  9. LOSS OF JAK2 REGULATION VIA VHL-SOCS1 E3 UBIQUITIN HETEROCOMPLEX UNDERLIES CHUVASH POLYCYTHEMIA

    Science.gov (United States)

    Russell, Ryan C.; Sufan, Roxana I.; Zhou, Bing; Heir, Pardeep; Bunda, Severa; Sybingco, Stephanie S.; Greer, Samantha N.; Roche, Olga; Heathcote, Samuel A.; Chow, Vinca W.K.; Boba, Lukasz M.; Richmond, Terri D.; Hickey, Michele M.; Barber, Dwayne L.; Cheresh, David A.; Simon, M. Celeste; Irwin, Meredith S.; Kim, William Y.; Ohh, Michael

    2011-01-01

    SUMMARY Chuvash polycythemia (CP) is a rare congenital form of polycythemia caused by homozygous R200W and H191D mutations in the von Hippel-Lindau (VHL) gene whose gene product is the principal negative regulator of hypoxia-inducible factor. However, the molecular mechanisms underlying some of the hallmark features of CP such as hypersensitivity to erythropoietin are unclear. Here, we show that VHL directly binds suppressor of cytokine signalling 1 (SOCS1) to form a heterodimeric E3 ligase that targets phosphorylated (p)JAK2 for ubiquitin-mediated destruction. In contrast, CP-associated VHL mutants have altered affinity for SOCS1 and fail to engage and degrade pJAK2. Systemic administration of a highly selective JAK2 inhibitor, TG101209, reverses the disease phenotype in vhlR200W/R200W knock-in mice, a model that faithfully recapitulates human CP. These results reveal VHL as a SOCS1-cooperative negative regulator of JAK2 and provide compelling biochemical and preclinical evidence for JAK2- targeted therapy in CP patients. PMID:21685897

  10. Suppressor of cytokine signaling (SOCS genes are silenced by DNA hypermethylation and histone deacetylation and regulate response to radiotherapy in cervical cancer cells.

    Directory of Open Access Journals (Sweden)

    Moon-Hong Kim

    Full Text Available Suppressor of cytokine signaling (SOCS family is an important negative regulator of cytokine signaling and deregulation of SOCS has been involved in many types of cancer. All cervical cancer cell lines tested showed lower expression of SOCS1, SOCS3, and SOCS5 than normal tissue or cell lines. The immunohistochemistry result for SOCS proteins in human cervical tissue also confirmed that normal tissue expressed higher level of SOCS proteins than neighboring tumor. Similar to the regulation of SOCS in other types of cancer, DNA methylation contributed to SOCS1 downregulation in CaSki, ME-180, and HeLa cells. However, the expression of SOCS3 or SOCS5 was not recovered by the inhibition of DNA methylation. Histone deacetylation may be another regulatory mechanism involved in SOCS1 and SOCS3 expression, however, SOCS5 expression was neither affected by DNA methylation nor histone deacetylation. Ectopic expression of SOCS1 or SOCS3 conferred radioresistance to HeLa cells, which implied SOCS signaling regulates the response to radiation in cervical cancer. In this study, we have shown that SOCS expression repressed by, in part, epigenetically and altered SOCS1 and SOCS3 expression could contribute to the radiosensitive phenotype in cervical cancer.

  11. Daily Socs1 rhythms alter with aging differentially in peripheral clocks in male Wistar rats: therapeutic effects of melatonin.

    Science.gov (United States)

    Vinod, Ch; Jagota, Anita

    2017-06-01

    Suprachiasmatic nucleus (SCN) in synchronization with the peripheral clocks regulates the temporal oscillations leading to overt rhythms. Aging leads to attenuation of such circadian regulation, accompanied by increased inflammatory mediators prevalently the cytokines. Suppressors of cytokine signaling (SOCS) family of proteins such as SOCS 1, 3 and cytokine-inducible SH2-containing protein (CIS) negatively regulate the cytokine signaling pathway. The role of SOCS1 in aging and circadian system is obscure. We therefore studied the daily rhythms of rSocs1 mRNA expression at Zeitgeber time (ZT) -0, 6, 12 and 18 in peripheral clocks such as liver, kidney, intestine and heart of 3, 12 and 24 months (m) old male Wistar rats. Interestingly the peripheral clocks studied displayed a rhythmic rSocs1 gene expression in 3 months. In 12 months group, 12 h phase advance in liver and 12 h phase delay in kidney and heart was observed with abolition of rhythms in intestine. Aging (24 months group) resulted in a phase advance by 6 h in liver and heart with abolition of rhythms in intestine in 24 months group. Kidney was also significantly affected upon aging with significant decrease in the rSocs1 levels and abolition of rhythms. The decrease in melatonin levels with aging is associated with decreased immunity and increased oxidative stress. The exogenous administration of melatonin has been linked to play a role in re-synchronization of circadian rhythms, reducing oxidative stress and enhancing immune properties. We therefore had studied the effect of exogenous melatonin upon age induced changes in daily rSocs1 gene expression patterns. Melatonin treatment partially restored the rhythms and daily pulse (ratio of maximum:minimum levels) in liver and intestine in 12 months group. Melatonin administration resulted in a significant increase in mean 24 h rSocs1 expression in intestine and heart of 24 months group compared to that of 3 months. The melatonin administration

  12. Mapping SOC (Soil Organic Carbon) using LiDAR-derived vegetation indices in a random forest regression model

    Science.gov (United States)

    Will, R. M.; Glenn, N. F.; Benner, S. G.; Pierce, J. L.; Spaete, L.; Li, A.

    2015-12-01

    Quantifying SOC (Soil Organic Carbon) storage in complex terrain is challenging due to high spatial variability. Generally, the challenge is met by transforming point data to the entire landscape using surrogate, spatially-distributed, variables like elevation or precipitation. In many ecosystems, remotely sensed information on above-ground vegetation (e.g. NDVI) is a good predictor of below-ground carbon stocks. In this project, we are attempting to improve this predictive method by incorporating LiDAR-derived vegetation indices. LiDAR provides a mechanism for improved characterization of aboveground vegetation by providing structural parameters such as vegetation height and biomass. In this study, a random forest model is used to predict SOC using a suite of LiDAR-derived vegetation indices as predictor variables. The Reynolds Creek Experimental Watershed (RCEW) is an ideal location for a study of this type since it encompasses a strong elevation/precipitation gradient that supports lower biomass sagebrush ecosystems at low elevations and forests with more biomass at higher elevations. Sagebrush ecosystems composed of Wyoming, Low and Mountain Sagebrush have SOC values ranging from .4 to 1% (top 30 cm), while higher biomass ecosystems composed of aspen, juniper and fir have SOC values approaching 4% (top 30 cm). Large differences in SOC have been observed between canopy and interspace locations and high resolution vegetation information is likely to explain plot scale variability in SOC. Mapping of the SOC reservoir will help identify underlying controls on SOC distribution and provide insight into which processes are most important in determining SOC in semi-arid mountainous regions. In addition, airborne LiDAR has the potential to characterize vegetation communities at a high resolution and could be a tool for improving estimates of SOC at larger scales.

  13. Research on State-of-Charge (SOC) estimation using current integration based on temperature compensation

    Science.gov (United States)

    Yin, J.; Shen, Y.; Liu, X. T.; Zeng, G. J.; Liu, D. C.

    2017-11-01

    The traditional current integral method for the state-of-charge (SOC) estimation has an unusable estimation accuracy because of the current measuring error. This paper proposed a closed-loop temperature compensation method to improve the SOC estimation accuracy of current integral method by eliminating temperature drift. Through circuit simulation result in Multisim, the stability of current measuring accuracy is improved by more than 10 times. In a designed 70 charge-discharge experimental circle, the SOC estimation error with temperature compensation had 30 times less than error in normal situation without compensation.

  14. Suppressor of cytokine signaling 1 (SOCS1) limits NFkappaB signaling by decreasing p65 stability within the cell nucleus.

    Science.gov (United States)

    Strebovsky, Julia; Walker, Patrick; Lang, Roland; Dalpke, Alexander H

    2011-03-01

    Suppressor of cytokine signaling (SOCS) proteins are inhibitors of cytoplasmic Janus kinases (Jak) and signal transducer and activator of transcription (STAT) signaling pathways. Previously the authors surprisingly observed that SOCS1 translocated into the nucleus, which was because of the presence of a nuclear localization sequence. This report now hypothesizes that SOCS1 mediates specific functions within the nuclear compartment because it is instantly transported into the nucleus, as shown by photoactivation and live cell imaging in human HEK293 cells. The NFκB component p65 is identified as an interaction partner for SOCS1 but not for other members of the SOCS family. SOCS1 bound to p65 only within the nucleus. By means of its SOCS box domain, SOCS1 operated as a ubiquitin ligase, leading to polyubiquitination and proteasomal degradation of nuclear p65. Thus, SOCS1 limited prolonged p65 signaling and terminated expression of NFκB inducible genes. Using mutants that lack either nuclear translocation or a functional SOCS box, this report identifies genes that are regulated in a manner dependent on the nuclear availability of SOCS1. Data show that beyond its receptor-proximal function in Jak/STAT signaling, SOCS1 also regulates the duration of NFκB signaling within the cell nucleus, thus exerting a heretofore unrecognized function.

  15. DMPD: Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 18406369 Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins...svg) (.html) (.csml) Show Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins. ...PubmedID 18406369 Title Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins

  16. SoC Design Approach Using Convertibility Verification

    Directory of Open Access Journals (Sweden)

    Basu Samik

    2008-01-01

    Full Text Available Abstract Compositional design of systems on chip from preverified components helps to achieve shorter design cycles and time to market. However, the design process is affected by the issue of protocol mismatches, where two components fail to communicate with each other due to protocol differences. Convertibility verification, which involves the automatic generation of a converter to facilitate communication between two mismatched components, is a collection of techniques to address protocol mismatches. We present an approach to convertibility verification using module checking. We use Kripke structures to represent protocols and the temporal logic to describe desired system behavior. A tableau-based converter generation algorithm is presented which is shown to be sound and complete. We have developed a prototype implementation of the proposed algorithm and have used it to verify that it can handle many classical protocol mismatch problems along with SoC problems. The initial idea for -based convertibility verification was presented at SLA++P '07 as presented in the work by Roopak Sinha et al. 2008.

  17. 3D Embedded Reconfigurable SoC for Expediting Magnetometric Space Missions

    Science.gov (United States)

    Dekoulis, George

    2016-07-01

    This paper describes the development of a state-of-the-art three-dimensional embedded reconfigurable System-on-Chip (SoC) for accelerating the design of future magnetometric space missions. This involves measurements of planetary magnetic fields or measurements of heliospheric physics events' signatures superimposed on the aggregate measurements of the stronger planetary fields. The functionality of the embedded core is fully customizable, therefore, its operation is independent of the magnetic sensor being used. Standard calibration procedures still apply for setting the magnetometer measurements to the desired initial state and removing any seriatim interference inferred by the adjacent environment. The system acts as a pathfinder for future high-resolution heliospheric space missions.

  18. SOCS2 deletion protects against hepatic steatosis but worsens insulin resistance in high-fat-diet-fed mice

    DEFF Research Database (Denmark)

    Zadjali, Fahad; Santana-Farre, Ruyman; Vesterlund, Mattias

    2012-01-01

    in the development of diet-induced hepatic steatosis and insulin resistance. SOCS2-knockout (SOCS2(-/-)) mice and wild-type littermates were fed for 4 mo with control or high-fat diet, followed by assessment of insulin sensitivity, hepatic lipid content, and expression of inflammatory cytokines. SOCS2(-/-) mice...

  19. SOCS5 is expressed in primary B and T lymphoid cells but is dispensable for lymphocyte production and function

    DEFF Research Database (Denmark)

    Brender, Christine; Columbus, Ruth; Metcalf, Donald

    2004-01-01

    the importance of SOCS5 in T helper cell responses. Unexpectedly, SOCS5-deficient CD4 T cells showed no abnormalities in Th1/Th2 differentiation and Socs5(-/-) mice showed normal resistance to infection with Leishmania major. Therefore, although SOCS5 is expressed in primary B and T cells, it appears...

  20. Biological evidence that SOCS-2 can act either as an enhancer or suppressor of growth hormone signaling

    DEFF Research Database (Denmark)

    Greenhalgh, Christopher J; Metcalf, Donald; Thaus, Anne L

    2002-01-01

    Suppressor of cytokine signaling (SOCS)-2 is a member of a family of intracellular proteins implicated in the negative regulation of cytokine signaling. The generation of SOCS-2-deficient mice, which grow to one and a half times the size of their wild-type littermates, suggests that SOCS-2 may at...

  1. Molecular Cloning, Characterization, and Expression of MiSOC1: A Homolog of the Flowering Gene SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 from Mango (Mangifera indica L)

    Science.gov (United States)

    Wei, Junya; Liu, Debing; Liu, Guoyin; Tang, Jie; Chen, Yeyuan

    2016-01-01

    MADS-box transcription factor plays a crucial role in plant development, especially controlling the formation and development of floral organs. Mango (Mangifera indica L) is an economically important fruit crop, but its molecular control of flowering is largely unknown. To better understand the molecular basis of flowering regulation in mango, we isolated and characterized the MiSOC1, a putative mango orthologs for the Arabidopsis SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1/AGAMOUS-LIKE 20 (SOC1/AGL20) with homology-based cloning and RACE. The full-length cDNA (GenBank accession No.: KP404094) is 945 bp in length including a 74 bp long 5′ UTR and a 189 bp long 3′ UTR and the open reading frame was 733 bps, encoding 223 amino acids with molecular weight 25.6 kD. Both sequence alignment and phylogenetic analysis all indicated that deduced protein contained a conservative MADS-box and semi-conservative K domain and belonged to the SOC1/TM3 subfamily of the MADS-box family. Quantitative real-time PCR was performed to investigate the expression profiles of MiSOC1 gene in different tissues/organs including root, stem, leaves, flower bud, and flower. The result indicated MiSOC1 was widely expressed at different levels in both vegetative and reproductive tissues/organs with the highest expression level in the stems’ leaves and inflorescences, low expression in roots and flowers. The expression of MiSOC1 in different flower developmental stages was different while same tissue –specific pattern among different varieties. In addition, MiSOC1 gene expression was affect by ethephon while high concentration ethephon inhibit the expression of MiSOC1. Overexpression of MiSOC1 resulted in early flowering in Arabidopsis. In conclusion, these results suggest that MiSOC1 may act as induce flower function in mango. PMID:27965680

  2. Molecular Cloning, Characterization, and Expression of MiSOC1: A Homolog of the Flowering Gene SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 from Mango (Mangifera indica L).

    Science.gov (United States)

    Wei, Junya; Liu, Debing; Liu, Guoyin; Tang, Jie; Chen, Yeyuan

    2016-01-01

    MADS-box transcription factor plays a crucial role in plant development, especially controlling the formation and development of floral organs. Mango ( Mangifera indica L) is an economically important fruit crop, but its molecular control of flowering is largely unknown. To better understand the molecular basis of flowering regulation in mango, we isolated and characterized the MiSOC1, a putative mango orthologs for the Arabidopsis SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1/AGAMOUS-LIKE 20 (SOC1/AGL20) with homology-based cloning and RACE. The full-length cDNA (GenBank accession No.: KP404094) is 945 bp in length including a 74 bp long 5' UTR and a 189 bp long 3' UTR and the open reading frame was 733 bps, encoding 223 amino acids with molecular weight 25.6 kD. Both sequence alignment and phylogenetic analysis all indicated that deduced protein contained a conservative MADS-box and semi-conservative K domain and belonged to the SOC1/TM3 subfamily of the MADS-box family. Quantitative real-time PCR was performed to investigate the expression profiles of MiSOC1 gene in different tissues/organs including root, stem, leaves, flower bud, and flower. The result indicated MiSOC1 was widely expressed at different levels in both vegetative and reproductive tissues/organs with the highest expression level in the stems' leaves and inflorescences, low expression in roots and flowers. The expression of MiSOC1 in different flower developmental stages was different while same tissue -specific pattern among different varieties. In addition, MiSOC1 gene expression was affect by ethephon while high concentration ethephon inhibit the expression of MiSOC1. Overexpression of MiSOC1 resulted in early flowering in Arabidopsis . In conclusion, these results suggest that MiSOC1 may act as induce flower function in mango.

  3. DMPD: Suppressor of cytokine signaling (SOCS) 2, a protein with multiple functions. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 17070092 Suppressor of cytokine signaling (SOCS) 2, a protein with multiple function...Epub 2006 Oct 27. (.png) (.svg) (.html) (.csml) Show Suppressor of cytokine signaling (SOCS) 2, a protein with multiple function...SOCS) 2, a protein with multiple functions. Authors Rico-Bautista E, Flores-Morales A, Fernandez-Perez L. Pu

  4. Approche complète de développement des IPs pour les SoCs A ...

    African Journals Online (AJOL)

    CoFluent and Quartus II tools have helped us to get the different models. ... Key words : IPs – SoC - Design and Reuse – UML – System C ... nouvelles techniques de conception doivent- .... obtenir un prototype fabriqué et testé. ..... Information Technology and Control, ... A new logic circuit design methodology with UMLl.

  5. NTP Radioisotopes SOC Ltd

    International Nuclear Information System (INIS)

    Letule, T.

    2017-01-01

    NTP Radioisotopes SOC Ltd, a wholly owned subsidiary of the South African Nuclear Energy Corporation (NECSA). Supplies around 20% of the world's medical radioisotopes used. NTP is a pioneer in the introduction and growth of nuclear medicine as in South Africa. Nuclear medicine is the medical specialty that involves the use of radioactive isotopes in the diagnosis and treatment of diseases. Nuclear medicine contributes to enhancing the lives of the society. There is a compelling need for nuclear medicine to be promoted and utilized in the rest of Africa, due to the increasing prevalence of cancer. Cancer is rapidly becoming a public health crisis in low-income and middle-income countries. In sub-Saharan Africa, patients often present with advanced disease

  6. Mapping SOC in a river catchment by integrating laboratory spectra wavelength with remote sensing spectra

    DEFF Research Database (Denmark)

    Peng, Yi; Xiong, Xiong; Knadel, Maria

    There is potential to use soil ·-proximal and remote sensing derived spectra concomitantly to develop soil organic carbon (SOC) models. Yet mixing spectral data from different sources and technologies to improve soil models is still in its infancy. The objective of this study was to incorporate...... soil spectral features indicative of SOC from laboratory visible near-infrared reflectance (vis-NlR) spectra and incorporate them with remote sensing (RS) images to improve predictions of top SOC in the Skjem river catchment, Denmark. The secondary objective was to improve prediction results...

  7. Energy efficient HPC on embedded SoCs : optimization techniques for mali GPU

    OpenAIRE

    Grasso, Ivan; Radojkovic, Petar; Rajovic, Nikola; Gelado Fernandez, Isaac; Ramírez Bellido, Alejandro

    2014-01-01

    A lot of effort from academia and industry has been invested in exploring the suitability of low-power embedded technologies for HPC. Although state-of-the-art embedded systems-on-chip (SoCs) inherently contain GPUs that could be used for HPC, their performance and energy capabilities have never been evaluated. Two reasons contribute to the above. Primarily, embedded GPUs until now, have not supported 64-bit floating point arithmetic - a requirement for HPC. Secondly, embedded GPUs did not pr...

  8. Perception SoC Based on an Ultrasonic Array of Sensors: Efficient DSP Core Implementation and Subsequent Experimental Results

    Directory of Open Access Journals (Sweden)

    A. Haidar

    2005-05-01

    Full Text Available We are concerned with the design, implementation, and validation of a perception SoC based on an ultrasonic array of sensors. The proposed SoC is dedicated to ultrasonic echography applications. A rapid prototyping platform is used to implement and validate the new architecture of the digital signal processing (DSP core. The proposed DSP core efficiently integrates all of the necessary ultrasonic B-mode processing modules. It includes digital beamforming, quadrature demodulation of RF signals, digital filtering, and envelope detection of the received signals. This system handles 128 scan lines and 6400 samples per scan line with a 90° angle of view span. The design uses a minimum size lookup memory to store the initial scan information. Rapid prototyping using an ARM/FPGA combination is used to validate the operation of the described system. This system offers significant advantages of portability and a rapid time to market.

  9. Perception SoC Based on an Ultrasonic Array of Sensors: Efficient DSP Core Implementation and Subsequent Experimental Results

    Science.gov (United States)

    Kassem, A.; Sawan, M.; Boukadoum, M.; Haidar, A.

    2005-12-01

    We are concerned with the design, implementation, and validation of a perception SoC based on an ultrasonic array of sensors. The proposed SoC is dedicated to ultrasonic echography applications. A rapid prototyping platform is used to implement and validate the new architecture of the digital signal processing (DSP) core. The proposed DSP core efficiently integrates all of the necessary ultrasonic B-mode processing modules. It includes digital beamforming, quadrature demodulation of RF signals, digital filtering, and envelope detection of the received signals. This system handles 128 scan lines and 6400 samples per scan line with a[InlineEquation not available: see fulltext.] angle of view span. The design uses a minimum size lookup memory to store the initial scan information. Rapid prototyping using an ARM/FPGA combination is used to validate the operation of the described system. This system offers significant advantages of portability and a rapid time to market.

  10. SOCS2 mediates the cross talk between androgen and growth hormone signaling in prostate cancer

    DEFF Research Database (Denmark)

    Iglesias Gato, Diego; Chuan, Yin Choy; Wikström, Pernilla

    2014-01-01

    ) as mediator of the cross talk between androgens and GH signals in the prostate and its potential role as tumor suppressor in prostate cancer (PCa). We observed that SOCS2 protein levels assayed by immunohistochemistry are elevated in hormone therapy-naive localized prostatic adenocarcinoma in comparison...... of transcription 5 protein (STAT5) and androgen receptor-dependent transcription. Consequentially, SOCS2 inhibits GH activation of Janus kinase 2, Src and STAT5 as well as both cell invasion and cell proliferation in vitro. In vivo, SOCS2 limits proliferation and production of IGF-1 in the prostate in response......Anabolic signals such as androgens and the growth hormone/insulin-like growth factor 1 (GH/IGF-1) axis play an essential role in the normal development of the prostate but also in its malignant transformation. In this study, we investigated the role of suppressor of cytokine signaling 2 (SOCS2...

  11. SOCS3 Expression Correlates with Severity of Inflammation, Expression of Proinflammatory Cytokines, and Activation of STAT3 and p38 MAPK in LPS-Induced Inflammation In Vivo

    Directory of Open Access Journals (Sweden)

    João Antônio Chaves de Souza

    2013-01-01

    Full Text Available SOCS3 is an inducible endogenous negative regulator of JAK/STAT pathway, which is relevant in inflammatory conditions. We used a model of LPS-induced periodontal disease in rats to correlate SOCS3 expression with the inflammatory status. In vitro we used a murine macrophage cell line to assess the physical interaction between SOCS3 and STAT3 by coimmunoprecipitation. 30 ug of LPS from Escherichia coli were injected in the gingival tissues on the palatal aspect of first molars of the animals 3x/week for up to 4 weeks. Control animals were injected with the vehicle (PBS. The rats were sacrificed at 7, 15, and 30 days. Inflammation and gene expression were assessed by stereometric analysis, immunohistochemistry, RT-qPCR, and western blot. LPS injections increased inflammation, paralleled by an upregulation of SOCS3, of the proinflammatory cytokines IL-1β, IL-6, and TNF-α and increased phosphorylation of STAT3 and p38 MAPK. SOCS3 expression accompanied the severity of inflammation and the expression of proinflammatory cytokines, as well as the activation status of STAT3 and p38 MAPK. LPS stimulation in a macrophage cell line in vitro induced transient STAT3 activation, which was inversely correlated with a dynamic physical interaction with SOCS3, suggesting that this may be a mechanism for SOCS3 regulatory function.

  12. Growth hormone preferentially induces the rapid, transient expression of SOCS-3, a novel inhibitor of cytokine receptor signaling

    DEFF Research Database (Denmark)

    Adams, T E; Hansen, J A; Starr, R

    1998-01-01

    Four members (SOCS-1, SOCS-2, SOCS-3, and CIS) of a family of cytokine-inducible, negative regulators of cytokine receptor signaling have recently been identified. To address whether any of these genes are induced in response to growth hormone (GH), serum-starved 3T3-F442A fibroblasts were incuba...

  13. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study

    Science.gov (United States)

    Müller, Andreas; Weigl, Matthias

    2017-01-01

    Background: Individuals’ behavioral strategies like selection, optimization, and compensation (SOC) contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees’ SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context. Objective: This study aimed to assess associations between employees’ use of SOC behaviors at work and their organizational citizenship behaviors (OCB) toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB. Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23–58 years) who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers’ OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads. Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB. Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is perceived

  14. Matching soil grid unit resolutions with polygon unit scales for DNDC modelling of regional SOC pool

    Science.gov (United States)

    Zhang, H. D.; Yu, D. S.; Ni, Y. L.; Zhang, L. M.; Shi, X. Z.

    2015-03-01

    Matching soil grid unit resolution with polygon unit map scale is important to minimize uncertainty of regional soil organic carbon (SOC) pool simulation as their strong influences on the uncertainty. A series of soil grid units at varying cell sizes were derived from soil polygon units at the six map scales of 1:50 000 (C5), 1:200 000 (D2), 1:500 000 (P5), 1:1 000 000 (N1), 1:4 000 000 (N4) and 1:14 000 000 (N14), respectively, in the Tai lake region of China. Both format soil units were used for regional SOC pool simulation with DeNitrification-DeComposition (DNDC) process-based model, which runs span the time period 1982 to 2000 at the six map scales, respectively. Four indices, soil type number (STN) and area (AREA), average SOC density (ASOCD) and total SOC stocks (SOCS) of surface paddy soils simulated with the DNDC, were attributed from all these soil polygon and grid units, respectively. Subjecting to the four index values (IV) from the parent polygon units, the variation of an index value (VIV, %) from the grid units was used to assess its dataset accuracy and redundancy, which reflects uncertainty in the simulation of SOC. Optimal soil grid unit resolutions were generated and suggested for the DNDC simulation of regional SOC pool, matching with soil polygon units map scales, respectively. With the optimal raster resolution the soil grid units dataset can hold the same accuracy as its parent polygon units dataset without any redundancy, when VIV indices was assumed as criteria to the assessment. An quadratic curve regression model y = -8.0 × 10-6x2 + 0.228x + 0.211 (R2 = 0.9994, p < 0.05) was revealed, which describes the relationship between optimal soil grid unit resolution (y, km) and soil polygon unit map scale (1:x). The knowledge may serve for grid partitioning of regions focused on the investigation and simulation of SOC pool dynamics at certain map scale.

  15. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study

    Directory of Open Access Journals (Sweden)

    Andreas Müller

    2017-10-01

    Full Text Available Background: Individuals’ behavioral strategies like selection, optimization, and compensation (SOC contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees’ SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context.Objective: This study aimed to assess associations between employees’ use of SOC behaviors at work and their organizational citizenship behaviors (OCB toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB.Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23–58 years who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers’ OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads.Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB.Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is

  16. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study.

    Science.gov (United States)

    Müller, Andreas; Weigl, Matthias

    2017-01-01

    Background: Individuals' behavioral strategies like selection, optimization, and compensation (SOC) contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees' SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context. Objective: This study aimed to assess associations between employees' use of SOC behaviors at work and their organizational citizenship behaviors (OCB) toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB. Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23-58 years) who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers' OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads. Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB. Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is perceived negatively

  17. Universal interface on Zynq"® SoC with CAN, RS-232, Ethernet and AXI GPIO for instrumentation & control

    International Nuclear Information System (INIS)

    Kumar, Abhijeet; Rajpal, Rachana; Pujara, Harshad; Mandaliya, Hitesh; Edappala, Praveenalal

    2016-01-01

    Highlights: • We have designed Universal Interface on Zynq"® SoC with CAN, RS-232, Ethernet and AXI GPIO for Instrumentation & Control. This project is based on Zynq"®-7000 family xc7z020clg484-1 chip. • We explored the full design flow starting from the hardware development in Vivado to software development in SDK using APIs in C language and then interfacing the host application developed in LabVIEW. • We also explored how to make custom IP with AXI bus interface in Vivado. • Useful for those who wants to make custom hardware on Zynq"® SoC. - Abstract: This paper describes an application developed on the latest Zynq"®-7000 All Programmable SoC (AP SoC) [1] devices which integrate the software programmability of an ARM"®-based processor with the hardware programmability of an FPGA, on a single device. In this paper we have implemented application which uses various interfaces like CAN, RS-232, Ethernet and AXI GPIO, so that our host application running on PC in LabVIEW can communicates with any hardware which has at least any one of the available interface. Zynq-7000 All Programmable SoCs (System On Chip) infuse customizable intelligence into today’s embedded systems to suit your unique application requirements. This family of FPGA is meant for high end application because it has huge resources on single chip. It offers you to make your own custom hardware IP, in fact we have made our custom IP called myIP in our design. The beauty of this chip is that it can write drivers for your custom IP which has AXI bus layer attached. After exporting the hardware information to the Software Development Kit (SDK), the tool is able to write drivers for your custom IP. This simplifies your development to a great extent. In a way this application provides the universal interfacing option to user. User can also write the digital data on the GPIO (General Purpose Input Output) through LabVIEW Test application GUI. This project can be used for remote control and

  18. An OCP Compliant Network Adapter for GALS-based SoC Design Using the MANGO Network-on-Chip

    DEFF Research Database (Denmark)

    Bjerregaard, Tobias; Mahadevan, Shankar; Olsen, Rasmus Grøndahl

    2005-01-01

    decouples communication and computation, providing memory-mapped OCP transactions based on primitive message-passing services of the network. Also, it facilitates GALS-type systems, by adapting to the clockless network. This helps leverage a modular SoC design flow. We evaluate performance and cost of 0...

  19. EpSoc: Social-Based Epidemic-Based Routing Protocol in Opportunistic Mobile Social Network

    Directory of Open Access Journals (Sweden)

    Halikul Lenando

    2018-01-01

    Full Text Available In opportunistic networks, the nature of intermittent and disruptive connections degrades the efficiency of routing. Epidemic routing protocol is used as a benchmark for most of routing protocols in opportunistic mobile social networks (OMSNs due to its high message delivery and latency. However, Epidemic incurs high cost in terms of overhead and hop count. In this paper, we propose a hybrid routing protocol called EpSoc which utilizes the Epidemic routing forwarding strategy and exploits an important social feature, that is, degree centrality. Two techniques are used in EpSoc. Messages’ TTL is adjusted based on the degree centrality of nodes, and the message blocking mechanism is used to control replication. Simulation results show that EpSoc increases the delivery ratio and decreases the overhead ratio, the average latency, and the hop counts as compared to Epidemic and Bubble Rap.

  20. Suppressor of Cytokine Signaling (SOCS 5 utilises distinct domains for regulation of JAK1 and interaction with the adaptor protein Shc-1.

    Directory of Open Access Journals (Sweden)

    Edmond M Linossi

    Full Text Available Suppressor of Cytokine Signaling (SOCS5 is thought to act as a tumour suppressor through negative regulation of JAK/STAT and epidermal growth factor (EGF signaling. However, the mechanism/s by which SOCS5 acts on these two distinct pathways is unclear. We show for the first time that SOCS5 can interact directly with JAK via a unique, conserved region in its N-terminus, which we have termed the JAK interaction region (JIR. Co-expression of SOCS5 was able to specifically reduce JAK1 and JAK2 (but not JAK3 or TYK2 autophosphorylation and this function required both the conserved JIR and additional sequences within the long SOCS5 N-terminal region. We further demonstrate that SOCS5 can directly inhibit JAK1 kinase activity, although its mechanism of action appears distinct from that of SOCS1 and SOCS3. In addition, we identify phosphoTyr317 in Shc-1 as a high-affinity substrate for the SOCS5-SH2 domain and suggest that SOCS5 may negatively regulate EGF and growth factor-driven Shc-1 signaling by binding to this site. These findings suggest that different domains in SOCS5 contribute to two distinct mechanisms for regulation of cytokine and growth factor signaling.

  1. Detecting small-scale spatial heterogeneity and temporal dynamics of soil organic carbon (SOC) stocks: a comparison between automatic chamber-derived C budgets and repeated soil inventories

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Juana; Albiac Borraz, Elisa; Schmidt, Marten; Huth, Vytas; Rogasik, Helmut; Rieckh, Helene; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2017-03-01

    Carbon (C) sequestration in soils plays a key role in the global C cycle. It is therefore crucial to adequately monitor dynamics in soil organic carbon (ΔSOC) stocks when aiming to reveal underlying processes and potential drivers. However, small-scale spatial (10-30 m) and temporal changes in SOC stocks, particularly pronounced in arable lands, are hard to assess. The main reasons for this are limitations of the well-established methods. On the one hand, repeated soil inventories, often used in long-term field trials, reveal spatial patterns and trends in ΔSOC but require a longer observation period and a sufficient number of repetitions. On the other hand, eddy covariance measurements of C fluxes towards a complete C budget of the soil-plant-atmosphere system may help to obtain temporal ΔSOC patterns but lack small-scale spatial resolution. To overcome these limitations, this study presents a reliable method to detect both short-term temporal dynamics as well as small-scale spatial differences of ΔSOC using measurements of the net ecosystem carbon balance (NECB) as a proxy. To estimate the NECB, a combination of automatic chamber (AC) measurements of CO2 exchange and empirically modeled aboveground biomass development (NPPshoot) were used. To verify our method, results were compared with ΔSOC observed by soil resampling. Soil resampling and AC measurements were performed from 2010 to 2014 at a colluvial depression located in the hummocky ground moraine landscape of northeastern Germany. The measurement site is characterized by a variable groundwater level (GWL) and pronounced small-scale spatial heterogeneity regarding SOC and nitrogen (Nt) stocks. Tendencies and magnitude of ΔSOC values derived by AC measurements and repeated soil inventories corresponded well. The period of maximum plant growth was identified as being most important for the development of spatial differences in annual ΔSOC. Hence, we were able to confirm that AC-based C budgets are able

  2. Neighborhood-resources for the development of a strong SOC and the importance of understanding why and how resources work: a grounded theory approach.

    Science.gov (United States)

    Maass, Ruca; Lindström, Bengt; Lillefjell, Monica

    2017-09-12

    Providing individuals with psychosocial resources such as sense of coherence (SOC) seems a beneficial strategy for health promotion in the neighborhood. In order to become a supporting theory for health promotion, Salutogenesis should renew its focus on resources for health, and explore how the development of a strong SOC can be facilitated. Relevant issues were explored using a Grounded Theory- approach. Three focus-group-sessions and three in-depth interviews were conducted with strategically sampled participants. The transcripts of the focus groups were initially analyzed line-by-line to ensure that insights emerged from the data. We then applied focused and systemic analyses to achieve axial coding, and to include insights into how social interactions during focus groups may reveal social processes in real-life-neighborhoods. The data from the in-depth interviews were used to validate and fill emerging categories, as well as to ensure data-saturation. Findings indicate the importance of repeated experiences with resources and every-day-challenges to develop a strong SOC. Active engagement with resources is a favorable condition for significant experiences, which enhance the internalization of resources. Core experiences are characterized by a re-organization of resources. Participation in intellectual meaning-making through equal power dialogue seems to broaden perspectives and promote the strengthening of SOC. A strong SOC can also be described as a deeper understanding of how and why resources work, which allows for a more flexible use of resources, including replacing missing resources. A new understanding of SOC as an intuitive understanding of how, why and under which circumstances resources work, as well as a new focus on everyday life and repeated experiences might facilitate new approaches to a purposeful strengthening of SOC through the planning and implementation of public measures.

  3. Suppressor of cytokine signaling 2 (SOCS2) deletion protects against multiple low dose streptozotocin-induced type 1 diabetes in adult male mice

    DEFF Research Database (Denmark)

    Alkharusi, Amira; Mirecki-Garrido, Mercedes; Ma, Zuheng

    2016-01-01

    Background: Diabetes type 1 is characterized by the failure of beta cells to produce insulin. Suppressor of cytokine signaling (SOCS) proteins are important regulators of the Janus kinase/signal transducer and activator of transcription (JAK-STAT) pathway. Previous studies have shown that GH can...... prevent the development of type I diabetes in mice and that SOCS2 deficiency mimics a state of increased GH sensitivity. Methodology: The elevated sensitivity of SOCS2-/- mice to GH and possibly to PRL was the rationale to analyze the effects of multiple low dose streptozotocin (MLDSTZ)-induced diabetes...... in SOCS2-/- mice. Results: We show that 6-month-old SOCS2-/- mice, but not 2-month-old mice, were less sensitive to MLDSTZ-induced diabetes, compared to controls. MLDSTZ treatment induced glucose intolerance in both SOCS2+/+ and SOCS2-/- mice, as shown by glucose tolerance tests, with SOCS2+/+ mice...

  4. The mechanisms behind the formation of a strong Sense of Coherence (SOC): The role of migration and integration

    NARCIS (Netherlands)

    Slootjes, J.; Keuzenkamp, Saskia; Saharso, S.

    2017-01-01

    Considering how much we know about the impact of the Sense of Coherence (SOC) on different health-related outcomes, we know surprisingly little about how a strong SOC actually develops. In this study we examine the mechanisms behind the formation of a strong SOC and study the role of migration,

  5. Gas-particle partitioning of semivolatile organic compounds (SOCs) on mixtures of aerosols in a smog chamber.

    Science.gov (United States)

    Chandramouli, Bharadwaj; Jang, Myoseon; Kamens, Richard M

    2003-09-15

    The partitioning behavior of a set of diverse SOCs on two and three component mixtures of aerosols from different sources was studied using smog chamber experimental data. A set of SOCs of different compound types was introduced into a system containing a mixture of aerosols from two or more sources. Gas and particle samples were taken using a filter-filter-denuder sampling system, and a partitioning coefficient Kp was estimated using Kp = Cp/(CgTSP). Particle size distributions were measured using a differential mobility analyzer and a light scattering detector. Gas and particle samples were analyzed using GCMS. The aerosol composition in the chamber was tracked chemically using a combination of signature compounds and the organic matter mass fraction (f(om)) of the individual aerosol sources. The physical nature of the aerosol mixture in the chamber was determined using particle size distributions, and an aggregate Kp was estimated from theoretically calculated Kp on the individual sources. Model fits for Kp showed that when the mixture involved primary sources of aerosol, the aggregate Kp of the mixture could be successfully modeled as an external mixture of the Kp on the individual aerosols. There were significant differences observed for some SOCs between modeling the system as an external and as an internal mixture. However, when one of the aerosol sources was secondary, the aggregate model Kp required incorporation of the secondary aerosol products on the preexisting aerosol for adequate model fits. Modeling such a system as an external mixture grossly overpredicted the Kp of alkanes in the mixture. Indirect evidence of heterogeneous, acid-catalyzed reactions in the particle phase was also seen, leading to a significant increase in the polarity of the resulting aerosol mix and a resulting decrease in the observed Kp of alkanes in the chamber. The model was partly consistent with this decrease but could not completely explain the reduction in Kp because of

  6. The SOC in cells’ living expectations of Conway’s Game of Life and its extended version

    International Nuclear Information System (INIS)

    Wei, Jinling; Zhou, Haiyan; Meng, Jun; Zhang, Fan; Chen, Yunmo; Zhou, Su

    2016-01-01

    In self-organized systems such as Conway’s Game of Life (CGL). Wikipedia, Conway’s game of the life, (https://en.wikipedia.org/wiki/Conway%27s-Game-of-Life)., though whether the single cell will survive or die seems unpredictable, the log–log distribution of all cells living frequency satisfies the 1/f linear law, thus meets the Self-organized Criticality(SOC) rule, which not only proves that CGL is a self-organized system, but more significantly, that the chance of living for each cell is spatial heterogeneous, and is statistical fractal. After carried out CGL, the specified iterative period which begins with a random initial condition and ends when it reaches the homeostasis, add up all the states which the living cells are marked by 1s, and the dead are marked by 0s. The resulted sum picture consisting of cells having its gray level representing the living times during the iterative process. By plotting the gray level distribution of the sum picture on log–log scale, the graph indicates the spatial living expectations distributions. Then we find the curve of the graph satisfies the Self-organized Criticality(SOC) rule, showing its linear feature in the intermediate zone, which also has name of 1/f feature. To examine its universality, we designed a more complicated self-organized cellular automata with each cell having five possible states thus the rule table becomes more complicated. As expected, the consequence shows the similar feature, and the linear feature is even more obvious when the similar experiments are carried out. To conclude, it is a new discovery of SOC from a new perspective. And with the self-organized systems expanding to other different rule tables, this feature may still be satisfied. More further, considering the natural self-organized systems of living creatures, the spatial living expectations of different phenotypes may satisfy the 1/f law, too. Though we regard this as an inspirational orientation, the supposition needs more

  7. Universal interface on Zynq{sup ®} SoC with CAN, RS-232, Ethernet and AXI GPIO for instrumentation & control

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Abhijeet, E-mail: akumar@ipr.res.in; Rajpal, Rachana; Pujara, Harshad; Mandaliya, Hitesh; Edappala, Praveenalal

    2016-11-15

    Highlights: • We have designed Universal Interface on Zynq{sup ®} SoC with CAN, RS-232, Ethernet and AXI GPIO for Instrumentation & Control. This project is based on Zynq{sup ®}-7000 family xc7z020clg484-1 chip. • We explored the full design flow starting from the hardware development in Vivado to software development in SDK using APIs in C language and then interfacing the host application developed in LabVIEW. • We also explored how to make custom IP with AXI bus interface in Vivado. • Useful for those who wants to make custom hardware on Zynq{sup ®} SoC. - Abstract: This paper describes an application developed on the latest Zynq{sup ®}-7000 All Programmable SoC (AP SoC) [1] devices which integrate the software programmability of an ARM{sup ®}-based processor with the hardware programmability of an FPGA, on a single device. In this paper we have implemented application which uses various interfaces like CAN, RS-232, Ethernet and AXI GPIO, so that our host application running on PC in LabVIEW can communicates with any hardware which has at least any one of the available interface. Zynq-7000 All Programmable SoCs (System On Chip) infuse customizable intelligence into today’s embedded systems to suit your unique application requirements. This family of FPGA is meant for high end application because it has huge resources on single chip. It offers you to make your own custom hardware IP, in fact we have made our custom IP called myIP in our design. The beauty of this chip is that it can write drivers for your custom IP which has AXI bus layer attached. After exporting the hardware information to the Software Development Kit (SDK), the tool is able to write drivers for your custom IP. This simplifies your development to a great extent. In a way this application provides the universal interfacing option to user. User can also write the digital data on the GPIO (General Purpose Input Output) through LabVIEW Test application GUI. This project can be used

  8. Cardiac-Specific SOCS3 Deletion Prevents In Vivo Myocardial Ischemia Reperfusion Injury through Sustained Activation of Cardioprotective Signaling Molecules.

    Directory of Open Access Journals (Sweden)

    Takanobu Nagata

    Full Text Available Myocardial ischemia reperfusion injury (IRI adversely affects cardiac performance and the prognosis of patients with acute myocardial infarction. Although myocardial signal transducer and activator of transcription (STAT 3 is potently cardioprotective during IRI, the inhibitory mechanism responsible for its activation is largely unknown. The present study aimed to investigate the role of the myocardial suppressor of cytokine signaling (SOCS-3, an intrinsic negative feedback regulator of the Janus kinase (JAK-STAT signaling pathway, in the development of myocardial IRI. Myocardial IRI was induced in mice by ligating the left anterior descending coronary artery for 1 h, followed by different reperfusion times. One hour after reperfusion, the rapid expression of JAK-STAT-activating cytokines was observed. We precisely evaluated the phosphorylation of cardioprotective signaling molecules and the expression of SOCS3 during IRI and then induced myocardial IRI in wild-type and cardiac-specific SOCS3 knockout mice (SOCS3-CKO. The activation of STAT3, AKT, and ERK1/2 rapidly peaked and promptly decreased during IRI. This decrease correlated with the induction of SOCS3 expression up to 24 h after IRI in wild-type mice. The infarct size 24 h after reperfusion was significantly reduced in SOCS3-CKO compared with wild-type mice. In SOCS3-CKO mice, STAT3, AKT, and ERK1/2 phosphorylation was sustained, myocardial apoptosis was prevented, and the expression of anti-apoptotic Bcl-2 family member myeloid cell leukemia-1 (Mcl-1 was augmented. Cardiac-specific SOCS3 deletion led to the sustained activation of cardioprotective signaling molecules including and prevented myocardial apoptosis and injury during IRI. Our findings suggest that SOCS3 may represent a key factor that exacerbates the development of myocardial IRI.

  9. Plant growth controls short-term changes in soil organic carbon (SOC) stocks of croplands - new insights from the CarboZALF experiment

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Joana; Albiac Borraz, Elisa; Schmidt, Marten; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2016-04-01

    The long-term influence of crop rotations, climate conditions or soil type on soil organic carbon stock (SOC) patterns and gaseous C emissions of agricultural landscapes is widely recognized. However, the question of short-term seasonal changes in SOC within these areas remains unclear. A main reason for this is the detection problem of temporal and spatial variability in gaseous C exchange and thus, changes in SOC stocks (ΔSOC) in a high resolution. This study introduces dynamic C balances as a method to obtain seasonal changes in SOC stocks. Dynamic C balances were calculated by a combination of automatic chamber CO2 exchange measurements and empirical biomass models. Measurements were performed for three consecutive years at a colluvial depression (Colluvic Regosol) in the hummocky ground moraine landscape of NE Germany (CarboZALF experimental site). The investigated crop rotation was maize, winter fodder rye, maize, winter fodder rye, and sudangrass. The site is characterized by a gradient in ground water level (GWL) and related spatial heterogeneity in soil properties, such as SOC as well as soil nitrogen (Nt) stocks. Modelled dynamic C balances reveal that up to 79% of the standard deviation of estimated annual ΔSOC between single chambers emerged during the main period of crop growth (three months in summer). No significant changes in ΔSOC were detected outside the growing season. Instead, differences between chambers remain constant despite ΔSOC dynamics. Environmental variables (Nt stocks of Ap horizon and GWL), affecting plant-mediated C sequestration, explained up to 95% of temporal and spatial variability in CO2 exchange and ΔSOC. Thus, plant activities were the major catalyst for small scale differences in annual ΔSOC of croplands.

  10. Transient receptor potential ion channel Trpm7 regulates exocrine pancreatic epithelial proliferation by Mg2+-sensitive Socs3a signaling in development and cancer

    Directory of Open Access Journals (Sweden)

    Nelson S. Yee

    2011-03-01

    Genetic analysis of pancreatic development has provided new insights into the mechanisms underlying the formation of exocrine pancreatic neoplasia. Zebrafish sweetbread (swd mutants develop hypoplastic acini and dysmorphic ducts in the exocrine pancreas, with impeded progression of cell division cycle and of epithelial growth. Positional cloning and allelic complementation have revealed that the swd mutations affect the transient receptor potential melastatin-subfamily member 7 (trpm7 gene, which encodes a divalent cation-permeable channel with kinase activity. Supplementary Mg2+ partially rescued the exocrine pancreatic defects of the trpm7 mutants by improving cell-cycle progression and growth and repressing the suppressor of cytokine signaling 3a (socs3a gene. The role of Socs3a in Trpm7-mediated signaling is supported by the findings that socs3a mRNA level is elevated in the trpm7 mutants, and antisense inhibition of socs3a expression improved their exocrine pancreatic growth. TRPM7 is generally overexpressed in human pancreatic adenocarcinoma. TRPM7-deficient cells are impaired in proliferation and arrested in the G0-G1 phases of the cell division cycle. Supplementary Mg2+ rescued the proliferative defect of the TRPM7-deficient cells. Results of this study indicate that Trpm7 regulates exocrine pancreatic development via the Mg2+-sensitive Socs3a pathway, and suggest that aberrant TRPM7-mediated signaling contributes to pancreatic carcinogenesis.

  11. Use of 'sense of coherence (SOC)' scale to measure resilience in Eritrea: interrogating both the data and the scale.

    Science.gov (United States)

    Almedom, Astier M; Tesfamichael, Berhe; Saeed Mohammed, Zein; Mascie-Taylor, C G N; Alemu, Zemui

    2007-01-01

    An adapted 'sense of coherence' scale short form (SOC-13) was administered in nine languages of Eritrea with a total of 265 participants (162 women and 103 men) in order to assess 'resilience' in quantitative terms. Statistical analysis yielded significant differences in SOC scores between the displaced and non-displaced: mean=54.84 (SD=6.48) in internally displaced person (IDP) camps, compared with mean=48.94 (SD=11.99) in urban and rural settlements (t=3.831, purban (non-displaced) residents. Those in rural but traditionally mobile (pastoralist or transhumant) communities scored more or less the same as the urban non-displaced - i.e. significantly higher than those in IDP camps (purban and pastoralist/transhumant groups are similar, while women in IDP camps are lower scoring (RR=0.268, p<0.001), Hamboka women being worst affected due to their experience of serial displacement. These findings are interpreted and discussed in the light of qualitative information gleaned from the study participants' interrogation of the content of the SOC scale; and in the wider context of historical, socio-political and cultural characteristics of Eritrea. The study's implications for humanitarian and public health policy are considered.

  12. Research on SEU hardening of heterogeneous Dual-Core SoC

    Science.gov (United States)

    Huang, Kun; Hu, Keliu; Deng, Jun; Zhang, Tao

    2017-08-01

    The implementation of Single-Event Upsets (SEU) hardening has various schemes. However, some of them require a lot of human, material and financial resources. This paper proposes an easy scheme on SEU hardening for Heterogeneous Dual-core SoC (HD SoC) which contains three techniques. First, the automatic Triple Modular Redundancy (TMR) technique is adopted to harden the register heaps of the processor and the instruction-fetching module. Second, Hamming codes are used to harden the random access memory (RAM). Last, a software signature technique is applied to check the programs which are running on CPU. The scheme need not to consume additional resources, and has little influence on the performance of CPU. These technologies are very mature, easy to implement and needs low cost. According to the simulation result, the scheme can satisfy the basic demand of SEU-hardening.

  13. SOCS3 inhibits the pathological effects of IL-22 in non-melanoma skin tumor-derived keratinocytes.

    Science.gov (United States)

    Madonna, Stefania; Scarponi, Claudia; Morelli, Martina; Sestito, Rosanna; Scognamiglio, Pasqualina Liana; Marasco, Daniela; Albanesi, Cristina

    2017-04-11

    Basal cell carcinomas (BCC) and squamous-cell carcinomas (SCC) are common malignancies in humans, caused by neoplastic transformation of keratinocytes of the basal or suprabasal layers of epidermis, respectively. Tumor-infiltrating lymphocytes (TILs) are frequently found in BCC and SCC, and functionally promote epithelial carcinogenesis. TILs secreting IL-22, in particular, participate to BCC and SCC growth by inducing keratinocyte proliferation and migration, as well as the expression of inflammatory, anti-apoptotic and pro-angiogenic genes.In this study, we identified SOCS3 as a valid candidate to be manipulated for suppressing tumorigenic functions in BCC and SCC. We found that SOCS3 and SOCS1 expression was reduced in vivo, in tumor lesions of BCC and SCC, as compared to other skin inflammatory conditions such as psoriasis, despite the high number of IL-22-secreting TILs. Moreover, IL-22 was not able to induce in vitro the transcriptional expression of SOCS3 in BCC-or SCC-derived keratinocytes, contrarily to healthy cells. Aimed at rescuing SOCS3 activity in these tumor contexts, a SOCS3-derived peptide, named KIR-ESS, was synthesized, and its ability in suppressing IL-22-induced responses was evaluated in healthy and transformed keratinocytes. We found that KIR-ESS peptide efficiently suppressed the IL-22 molecular signaling in keratinocytes, by acting on STAT3 and Erk1/2 cascade, as well as on the expression of STAT3-dependent downstream genes. Interestingly, after treatment with peptide, both healthy and transformed keratinocytes could no longer aberrantly proliferate and migrate in response to IL-22. Finally, treatment of athymic nude mice bearing SCC xenografts with KIR-ESS peptide concomitantly reduced tumor growth and activated STAT3 levels. As a whole, these data provides the rationale for the use in BCC and SCC skin tumors of SOCS3 mimetics, being able to inhibit the deleterious effects of IL-22 in these contexts.

  14. Transport-distance specific SOC distribution: Does it skew erosion induced C fluxes?

    DEFF Research Database (Denmark)

    Hu, Yaxian; Berbe, Asmerat Asefaw; Fogel, Marilyn L.

    2016-01-01

    Abstract The net effect of soil erosion by water, as a sink or source of atmospheric carbon dioxide (CO2), is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC), and the dynamic replacement of eroded C by the production of new photosynthate. The depositi......Abstract The net effect of soil erosion by water, as a sink or source of atmospheric carbon dioxide (CO2), is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC), and the dynamic replacement of eroded C by the production of new photosynthate...... the actual movement of eroded soil fractions along hillslopes, let alone the re-distribution pattern of SOC fractions. Eroding sandy soils and sediment were sampled after a series of rainfall events along a slope on a freshly seeded cropland in Jutland, Denmark. All the soil samples were fractionated...... into five settling classes using a settling tube apparatus. The spatial distribution of soil settling classes shows a coarsening effect immediately below the eroding slope, followed by a fining trend at the slope tail. These findings support the validity of the conceptual model proposed by Starr et al...

  15. Detecting small-scale spatial differences and temporal dynamics of soil organic carbon (SOC) stocks: a comparison between automatic chamber-derived C budgets and repeated soil inventories

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Juana; Albiac Borraz, Elisa; Schmidt, Marten; Huth, Vytas; Rogasik, Helmut; Rieckh, Helene; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2017-04-01

    Carbon (C) sequestration in soils plays a key role in the global C cycle. It is therefore crucial to adequately monitor dynamics in soil organic carbon (ΔSOC) stocks when aiming to reveal underlying processes and potential drivers. However, small-scale spatial and temporal changes in SOC stocks, particularly pronounced on arable lands, are hard to assess. The main reasons for this are limitations of the well-established methods. On the one hand, repeated soil inventories, often used in long-term field trials, reveal spatial patterns and trends in ΔSOC but require a longer observation period and a sufficient number of repetitions. On the other hand, eddy covariance measurements of C fluxes towards a complete C budget of the soil-plant-atmosphere system may help to obtain temporal ΔSOC patterns but lack small-scale spatial resolution. To overcome these limitations, this study presents a reliable method to detect both short-term temporal as well as small-scale spatial dynamics of ΔSOC. Therefore, a combination of automatic chamber (AC) measurements of CO2 exchange and empirically modeled aboveground biomass development (NPPshoot) was used. To verify our method, results were compared with ΔSOC observed by soil resampling. AC measurements were performed from 2010 to 2014 under a silage maize/winter fodder rye/sorghum-Sudan grass hybrid/alfalfa crop rotation at a colluvial depression located in the hummocky ground moraine landscape of NE Germany. Widespread in large areas of the formerly glaciated Northern Hemisphere, this depression type is characterized by a variable groundwater level (GWL) and pronounced small-scale spatial heterogeneity in soil properties, such as SOC and nitrogen (Nt). After monitoring the initial stage during 2010, soil erosion was experimentally simulated by incorporating topsoil material from an eroded midslope soil into the plough layer of the colluvial depression. SOC stocks were quantified before and after soil manipulation and at the end

  16. 3D integration for NoC-based SoC architectures

    CERN Document Server

    Sheibanyrad, Abbas; Pétrot, Frédéric

    2011-01-01

    3D-Integration for NoC-based SoC Architectures gathers the recent advances in the whole domain by renowned experts in the field to build a comprehensive and consistent book around the hot topics of three-dimensional architectures and micro-architectures.

  17. Technology-design-manufacturing co-optimization for advanced mobile SoCs

    Science.gov (United States)

    Yang, Da; Gan, Chock; Chidambaram, P. R.; Nallapadi, Giri; Zhu, John; Song, S. C.; Xu, Jeff; Yeap, Geoffrey

    2014-03-01

    How to maintain the Moore's Law scaling beyond the 193 immersion resolution limit is the key question semiconductor industry needs to answer in the near future. Process complexity will undoubtfully increase for 14nm node and beyond, which brings both challenges and opportunities for technology development. A vertically integrated design-technologymanufacturing co-optimization flow is desired to better address the complicated issues new process changes bring. In recent years smart mobile wireless devices have been the fastest growing consumer electronics market. Advanced mobile devices such as smartphones are complex systems with the overriding objective of providing the best userexperience value by harnessing all the technology innovations. Most critical system drivers are better system performance/power efficiency, cost effectiveness, and smaller form factors, which, in turns, drive the need of system design and solution with More-than-Moore innovations. Mobile system-on-chips (SoCs) has become the leading driver for semiconductor technology definition and manufacturing. Here we highlight how the co-optimization strategy influenced architecture, device/circuit, process technology and package, in the face of growing process cost/complexity and variability as well as design rule restrictions.

  18. Kepler: A Search for Terrestrial Planets - SOC 9.3 DR25 Pipeline Parameter Configuration Reports

    Science.gov (United States)

    Campbell, Jennifer R.

    2017-01-01

    This document describes the manner in which the pipeline and algorithm parameters for the Kepler Science Operations Center (SOC) science data processing pipeline were managed. This document is intended for scientists and software developers who wish to better understand the software design for the final Kepler codebase (SOC 9.3) and the effect of the software parameters on the Data Release (DR) 25 archival products.

  19. Computational model of 18650 lithium-ion battery with coupled strain rate and SOC dependencies

    International Nuclear Information System (INIS)

    Xu, Jun; Liu, Binghe; Wang, Xinyi; Hu, Dayong

    2016-01-01

    Highlights: • An anisotropic model to describe mechanical behaviors of LIB is established. • SOC dependency is included in the mechanical model of the jellyroll. • Dynamic effect is considered in the model for LIB. - Abstract: Highly nonlinear structures and constituent materials and hazardous experiment situations have resulted in a pressing need for a numerical mechanical model for lithium-ion battery (LIB). However, such a model is still not well established. In this paper, an anisotropic homogeneous model describing the jellyroll and the battery shell is established and validated through compression, indentation, and bending tests at quasi-static loadings. In this model, state-of-charge (SOC) dependency of the LIB is further included through an analogy with the strain-rate effect. Moreover, with consideration of the inertia and strain-rate effects, the anisotropic homogeneous model is extended into the dynamic regime and proven capable of predicting the dynamic response of the LIB using the drop-weight test. The established model may help to predict extreme cases with high SOCs and crashing speeds with an over 135% improved accuracy compared to traditional models. The established coupled strain rate and SOC dependencies of the numerical mechanical model for the LIB aims to provide a solid step toward unraveling and quantifying the complicated problems for research on LIB mechanical integrity.

  20. Novel electrical energy storage system based on reversible solid oxide cells: System design and operating conditions

    Science.gov (United States)

    Wendel, C. H.; Kazempoor, P.; Braun, R. J.

    2015-02-01

    Electrical energy storage (EES) is an important component of the future electric grid. Given that no other widely available technology meets all the EES requirements, reversible (or regenerative) solid oxide cells (ReSOCs) working in both fuel cell (power producing) and electrolysis (fuel producing) modes are envisioned as a technology capable of providing highly efficient and cost-effective EES. However, there are still many challenges and questions from cell materials development to system level operation of ReSOCs that should be addressed before widespread application. This paper presents a novel system based on ReSOCs that employ a thermal management strategy of promoting exothermic methanation within the ReSOC cell-stack to provide thermal energy for the endothermic steam/CO2 electrolysis reactions during charging mode (fuel producing). This approach also serves to enhance the energy density of the stored gases. Modeling and parametric analysis of an energy storage concept is performed using a physically based ReSOC stack model coupled with thermodynamic system component models. Results indicate that roundtrip efficiencies greater than 70% can be achieved at intermediate stack temperature (680 °C) and elevated stack pressure (20 bar). The optimal operating condition arises from a tradeoff between stack efficiency and auxiliary power requirements from balance of plant hardware.

  1. Co-verification of hardware and software for ARM SoC design

    CERN Document Server

    Andrews, Jason

    2004-01-01

    Hardware/software co-verification is how to make sure that embedded system software works correctly with the hardware, and that the hardware has been properly designed to run the software successfully -before large sums are spent on prototypes or manufacturing. This is the first book to apply this verification technique to the rapidly growing field of embedded systems-on-a-chip(SoC). As traditional embedded system design evolves into single-chip design, embedded engineers must be armed with the necessary information to make educated decisions about which tools and methodology to deploy. SoC verification requires a mix of expertise from the disciplines of microprocessor and computer architecture, logic design and simulation, and C and Assembly language embedded software. Until now, the relevant information on how it all fits together has not been available. Andrews, a recognized expert, provides in-depth information about how co-verification really works, how to be successful using it, and pitfalls to avoid. H...

  2. Gaining Insights on the H2–Sorbent Interactions: Robust soc-MOF Platform as a Case Study

    KAUST Repository

    Cairns, Amy

    2016-09-18

    We report on the synthesis and gas adsorption properties (i.e., Ar and H2) of four robust 3-periodic metal–organic frameworks (MOFs) having the targeted soc topology. These cationic MOFs are isostructural to the parent indium-based MOF, In-soc-MOF-1a (for NO3–), previously reported by us, and likewise are constructed from the assembly of rigid μ3-oxygen-centered trinuclear metal carboxylate clusters, [M3O(O2C−)6], where M = In3+ or Fe3+. Each inorganic trinuclear molecular building block (MBB), generated in situ, is bridged by six 3,3′,5,5′-azobenzenetetracarboxylate (ABTC4–) ligands to give the extended (4,6)-connected MOF, soc-MOF. In our previous work, we confirmed that the parent soc-MOF, i.e., In-soc-MOF-1a, possesses unique structural characteristics (e.g., vacant In binding sites and narrow pores with higher localized charge density), which led to exceptional hydrogen (H2) storage capabilities. Therefore, charged MOFs with soc topology can be viewed collectively as an ideal prototypical platform to examine the impact of specific structural parameters on H2–MOF interactions via systematic gas adsorption studies. We infer that enhanced binding of molecular H2 is primarily governed by the presence and type of vacant metal centers (i.e., Fe was shown to exhibit stronger H2–MOF interactions at low H2 loading compared to the In analogues). These findings are evident from the associated isosteric heat of adsorption (Qst) at low loadings and inelastic neutron scattering (INS) experiments of the rotational transitions of sorbed H2, as well as, temperature-programmed desorption (TPD) studies (for a select compound). The importance of localized charge density is also highlighted, where the extra-framework nitrate anions in the Fe-soc-MOF-1a (for NO3–) facilitate enhanced binding affinities as compared to the chloride analogue.

  3. A system-level multiprocessor system-on-chip modeling framework

    DEFF Research Database (Denmark)

    Virk, Kashif Munir; Madsen, Jan

    2004-01-01

    We present a system-level modeling framework to model system-on-chips (SoC) consisting of heterogeneous multiprocessors and network-on-chip communication structures in order to enable the developers of today's SoC designs to take advantage of the flexibility and scalability of network-on-chip and...... SoC design. We show how a hand-held multimedia terminal, consisting of JPEG, MP3 and GSM applications, can be modeled as a multiprocessor SoC in our framework....

  4. Role of Ubiquitylation in Controlling Suppressor of Cytokine Signalling 3 (SOCS3 Function and Expression

    Directory of Open Access Journals (Sweden)

    Jamie J. L. Williams

    2014-05-01

    Full Text Available The realisation that unregulated activation of the Janus kinase–signal transducer and activator of transcription (JAK–STAT pathway is a key driver of a wide range of diseases has identified its components as targets for therapeutic intervention by small molecule inhibitors and biologicals. In this review, we discuss JAK-STAT signalling pathway inhibition by the inducible inhibitor “suppressor of cytokine signaling 3 (SOCS3, its role in diseases such as myeloproliferative disorders, and its function as part of a multi-subunit E3 ubiquitin ligase complex. In addition, we highlight potential applications of these insights into SOCS3-based therapeutic strategies for management of conditions such as vascular re-stenosis associated with acute vascular injury, where there is strong evidence that multiple processes involved in disease progression could be attenuated by localized potentiation of SOCS3 expression levels.

  5. Effects of land use change and management on SOC and soil quality in Mediterranean rangelands areas

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Requejo, Ana; Zornoza, Raúl

    2017-04-01

    INTRODUCTION Rangelands in the Iberian Peninsula occupy more than 90,000 km2. These rangelands were created from the former Mediterranean oak forests, mainly composed of holm oak and cork oak (Quercus ilex rotundifolia and Quercus suber), by clear-cutting shrubs, removing selected trees and cultivating. These man-made landscapes are called 'dehesas' in Spain and 'montados' in Portugal. Between 1955 and 1981, more than 5,000 km2 of dehesas was converted from pastureland to cultivated land. This process has been accelerated since 1986 owing to subsidies from the European Common Agricultural Policy (Parras-Alcántara et al., 2015a). The role that natural rangelands play in the global carbon cycle is extremely important, accounting for 10-30% of the world's total soil organic carbon (SOC), in addition, SOC concentration is closely related to soil quality and vegetation productivity (Brevik, 2012). Therefore, to study the land use and management changes is important, particularly in Mediterranean soils, as they are characterized by low organic carbon content, furthermore, the continuous use of ploughing for grain production is the principal cause of soil degradation. Therefore, land use decisions and management systems can increase or decrease SOC content and stock (Corral-Fernández et al., 2013; Parras-Alcántara et al., 2014, 2015a and 2015b; Parras-Alcántara and Lozano-García, 2014) MATERIAL AND METHODS A field study was conducted to determine the land use change (Mediterranean evergreen oak woodland to olive grove and cereal, all of them managed under conventional tillage and under conservationist practices) effects on SOC stocks and the soil quality (Stratification Ratio) in Los Pedroches valley, southern Spain. RESULTS Results for the present study indicate that management practices had little effect on SOC storage in dehesas. The stratification ratio was >2 both under conventional tillage and under organic farming, so, soils under dehesa had high quality

  6. Cache Performance Optimization for SoC Vedio Applications

    OpenAIRE

    Lei Li; Wei Zhang; HuiYao An; Xing Zhang; HuaiQi Zhu

    2014-01-01

    Chip Multiprocessors (CMPs) are adopted by industry to deal with the speed limit of the single-processor. But memory access has become the bottleneck of the performance, especially in multimedia applications. In this paper, a set of management policies is proposed to improve the cache performance for a SoC platform of video application. By analyzing the behavior of Vedio Engine, the memory-friendly writeback and efficient prefetch policies are adopted. The experiment platform is simulated by ...

  7. Measurement of the complete core plasma flow across the LOC-SOC transition at ASDEX Upgrade

    Science.gov (United States)

    Lebschy, A.; McDermott, R. M.; Angioni, C.; Geiger, B.; Prisiazhniuk, D.; Cavedon, M.; Conway, G. D.; Dux, R.; Dunne, M. G.; Kappatou, A.; Pütterich, T.; Stroth, U.; Viezzer, E.; the ASDEX Upgrade Team

    2018-02-01

    A newly installed core charge exchange recombination spectroscopy (CXRS) diagnostic at ASDEX Upgrade (AUG) enables the evaluation of the core poloidal rotation (upol ) through the inboard-outboard asymmetry of the toroidal rotation with an accuracy of 0.5 to 1 km s-1 . Using this technique, the total plasma flow has been measured in Ohmic L-mode plasmas across the transition from the linear to saturated ohmic confinement (LOC-SOC) regimes. The core poloidal rotation of the plasma around mid-radius is found to be always in the ion diamagnetic direction, in disagreement with neoclassical (NC) predictions. The edge rotation is found to be electron-directed and consistent with NC codes. This measurement provides as well the missing ingredient to evaluate the core E×B velocity (uE×B ) from data only, which can then be compared to measurements of the perpendicular velocity of the turbulent fluctuations (u\\perp ) to gain information on the turbulent phase velocity (vph ). The non neoclassical upol from CXRS leads to good agreement between uE×B and u\\perp indicating that vph is small and at similar values as found with gyrokinetic simulations. Moreover, the data shows a shift of vph in the ion-diamagnetic direction at the edge after the transition from LOC to SOC consistent with a change in the dominant turbulence regime. The upgrade of the core CXRS system provides as well a deeper insight into the intrinsic rotation. This paper shows that the reversal of the core toroidal rotation occurs clearly after the LOC-SOC transition and concomitant with the peaking of the electron density.

  8. Structural basis for c-KIT inhibition by the suppressor of cytokine signaling 6 (SOCS6) ubiquitin ligase

    DEFF Research Database (Denmark)

    Zadjali, Fahad; Pike, Ashley C W; Vesterlund, Mattias

    2011-01-01

    to substrate residue position pY+6 and envelopes the c-KIT phosphopeptide with a large BG loop insertion that contributes significantly to substrate interaction. We demonstrate that SOCS6 has ubiquitin ligase activity toward c-KIT and regulates c-KIT protein turnover in cells. Our data support a role of SOCS6...

  9. ORCHIDEE-SOM: modeling soil organic carbon (SOC) and dissolved organic carbon (DOC) dynamics along vertical soil profiles in Europe

    Science.gov (United States)

    Camino-Serrano, Marta; Guenet, Bertrand; Luyssaert, Sebastiaan; Ciais, Philippe; Bastrikov, Vladislav; De Vos, Bruno; Gielen, Bert; Gleixner, Gerd; Jornet-Puig, Albert; Kaiser, Klaus; Kothawala, Dolly; Lauerwald, Ronny; Peñuelas, Josep; Schrumpf, Marion; Vicca, Sara; Vuichard, Nicolas; Walmsley, David; Janssens, Ivan A.

    2018-03-01

    Current land surface models (LSMs) typically represent soils in a very simplistic way, assuming soil organic carbon (SOC) as a bulk, and thus impeding a correct representation of deep soil carbon dynamics. Moreover, LSMs generally neglect the production and export of dissolved organic carbon (DOC) from soils to rivers, leading to overestimations of the potential carbon sequestration on land. This common oversimplified processing of SOC in LSMs is partly responsible for the large uncertainty in the predictions of the soil carbon response to climate change. In this study, we present a new soil carbon module called ORCHIDEE-SOM, embedded within the land surface model ORCHIDEE, which is able to reproduce the DOC and SOC dynamics in a vertically discretized soil to 2 m. The model includes processes of biological production and consumption of SOC and DOC, DOC adsorption on and desorption from soil minerals, diffusion of SOC and DOC, and DOC transport with water through and out of the soils to rivers. We evaluated ORCHIDEE-SOM against observations of DOC concentrations and SOC stocks from four European sites with different vegetation covers: a coniferous forest, a deciduous forest, a grassland, and a cropland. The model was able to reproduce the SOC stocks along their vertical profiles at the four sites and the DOC concentrations within the range of measurements, with the exception of the DOC concentrations in the upper soil horizon at the coniferous forest. However, the model was not able to fully capture the temporal dynamics of DOC concentrations. Further model improvements should focus on a plant- and depth-dependent parameterization of the new input model parameters, such as the turnover times of DOC and the microbial carbon use efficiency. We suggest that this new soil module, when parameterized for global simulations, will improve the representation of the global carbon cycle in LSMs, thus helping to constrain the predictions of the future SOC response to global

  10. [Characteristics of Soil Respiration along Eroded Sloping Land with Different SOC Background on the Hilly Loess Plateau].

    Science.gov (United States)

    Chen, Gai; Xu, Ming-xiang; Zhang, Ya-feng; Wang, Chao-hua; Fan, Hui-min; Wang, Shan-shan

    2015-09-01

    This study aimed to characterize soil respiration along eroded sloping land at erosion and deposition area under different soil organic carbon(SOC) levels, and linked the relationship between soil respiration and soil temperature, soil moisture, SOC and slope position. Experiments were carried out in the plots of S type slopes include five different soil organic carbon levels in the Loess Hilly Region. The S type slopes were divided into control area at the top of the slope, erosion area at the middle of the slope and deposition area at the toe of the slope. We found that soil temperature had a greater impact on soil respiration in the deposition area, whereas soil moisture had a greater impact on soil respiration in the erosion area compared among control area, erosion area and deposition area. In addition, SOC was the most important factor affecting soil respiration, which can explain soil respiration variation 54. 72%, followed by soil moisture, slope position and soil temperature, which explain soil respiration variation 18. 86% , 16. 13% and 10. 29%, respectively. Soil respiration response to erosion showed obvious on-site and off-site effects along the eroded sloping land. Soil respiration in the erosion area was reduced by 21. 14% compared with control area, and soil respiration in the deposition area was increased by 21. 93% compared with control area. Erosion effect on source and sink of carbon emission was correlated with SOC content of the eroded sloping land. When SOC content was higher than 6. 82 g.kg-1, the slope. erosion tended to be a carbon sequestration process, and when SOC content was lower than 3.03 g.kg-1, the slope erosion tended to be a process of the carbon emission source. The model could reflect the relationship between soil respiration and independent variables of soil organic carbon content, soil temperature and moisture.

  11. IL-6 modulates hepatocyte proliferation via induction of HGF/p21cip1: Regulation by SOCS3

    International Nuclear Information System (INIS)

    Sun Rui; Jaruga, Barbara; Kulkarni, Shailin; Sun Haoyu; Gao Bin

    2005-01-01

    The precise role of IL-6 in liver regeneration and hepatocyte proliferation is controversial and the role of SOCS3 in liver regeneration remains unknown. Here we show that in vitro treatment with IL-6 inhibited primary mouse hepatocyte proliferation. IL-6 induced p21 cip1 protein expression in primary mouse hepatocytes. Disruption of the p21 cip1 gene abolished the inhibitory effect of IL-6 on cell proliferation. Co-culture with nonparenchymal liver cells diminished IL-6 inhibition of hepatocyte proliferation, which was likely due to IL-6 stimulation of nonparenchymal cells to produce HGF. Finally, IL-6 induced higher levels of p21 cip1 protein expression and a slightly stronger inhibition of cell proliferation in SOCS3 +/- mouse hepatocytes compared to wild-type hepatocytes, while liver regeneration was enhanced and prolonged in SOCS3 +/- mice. Our findings suggest that IL-6 directly inhibits hepatocyte proliferation via a p21 cip1 -dependent mechanism and indirectly enhances hepatocyte proliferation via stimulating nonparenchymal cells to produce HGF. SOCS3 negatively regulates liver regeneration

  12. Improved OCV Model of a Li-Ion NMC Battery for Online SOC Estimation Using the Extended Kalman Filter

    Directory of Open Access Journals (Sweden)

    Ines Baccouche

    2017-05-01

    Full Text Available Accurate modeling of the nonlinear relationship between the open circuit voltage (OCV and the state of charge (SOC is required for adaptive SOC estimation during the lithium-ion (Li-ion battery operation. Online SOC estimation should meet several constraints, such as the computational cost, the number of parameters, as well as the accuracy of the model. In this paper, these challenges are considered by proposing an improved simplified and accurate OCV model of a nickel manganese cobalt (NMC Li-ion battery, based on an empirical analytical characterization approach. In fact, composed of double exponential and simple quadratic functions containing only five parameters, the proposed model accurately follows the experimental curve with a minor fitting error of 1 mV. The model is also valid at a wide temperature range and takes into account the voltage hysteresis of the OCV. Using this model in SOC estimation by the extended Kalman filter (EKF contributes to minimizing the execution time and to reducing the SOC estimation error to only 3% compared to other existing models where the estimation error is about 5%. Experiments are also performed to prove that the proposed OCV model incorporated in the EKF estimator exhibits good reliability and precision under various loading profiles and temperatures.

  13. Land use changing SOC pool: A field investigation from four catchments on the Loess Plateau in China

    Science.gov (United States)

    Guo, Shengli; Wang, Rui; Hu, Yaxian

    2017-04-01

    The Loess Plateau in China has long been known for severe erosion, a degraded ecosystem and heavy sediment delivery to the Yellow River. Apart from, the highly erodible loess soil and the hilly geomorphology, intensive cultivation has been caused such most destructive human activities. This made the Loess Plateau once the least fertile region in China with extreme poverty. To restore soil fertility and ecosystem sustainability, a national-level project was launched in 1990s to encourage land use changes via afforestation or conversion of cropland back to grassland or woodland. After nearly three decades of land use conversion, the SOC pool in the soil can be expected to have substantially changed. However, climate conditions, geomorphic types and soil properties were spatially distinctive across the Loess Plateau. Their individual as well interactive impacts on changes of soil carbon pool during land use conversions must thus be properly accounted for. In this study, four watersheds distributed over the Loess Plateau were investigated. The four watersheds mainly consisted of three geomorphic types: wide gully, loess ridge, and round knoll. On each geomorphic feature, three land use types prevailed: cropland, grassland and woodland. In total, 695 soil samples were taken from the top 20 cm of the four watersheds during 2010 and 2011. Our results show: 1) Degrees of erosion hugely differed among the four watersheds, with Catchment A (hilly) having three times more erosion modulus than the least eroded Catchment D (gully) (12000 vs. 1800 Mg per km2 per year). 2) The increasing SOC content from 4 mg g-1 at Catchment A to 8.1 mg g-1 at Catchment D agreed well with their decreasing erosion, suggesting that geomorphology induced erosion history was the predominant factor to set the general level of watershed-scale SOC reservoir. 3) Within each watershed, grassland and woodland consistently had at least 34% more SOC than cropland, demonstrating the influence of land use

  14. Functional SOCS1 polymorphisms are associated with variation in obesity in whites

    DEFF Research Database (Denmark)

    Gylvin, T; Ek, J; Nolsøe, R.

    2009-01-01

    . A total of more than 8100 individuals were genotyped. RESULTS: Eight variations were identified in the 5' untranslated region (UTR) region. Two of these had allele frequencies below 1% and were not further examined. The six other variants were analysed in groups of T1D families (n = 1461 subjects) and T2D...... of both the rs33977706 and the rs243330 (-1656G > A) variants to obesity were found (p = 0.047 and p = 0.015) respectively. The rs33977706 affected both binding of a nuclear protein to and the transcriptional activity of the SOCS1 promoter, indicating a relationship between this polymorphism and gene...... regulation. CONCLUSIONS/INTERPRETATION: This study demonstrates that functional variations in the SOCS1 promoter may associate with alterations in BMI in the general white population....

  15. The fate of SOC during the processes of water erosion and subsequent deposition: a field study.

    Science.gov (United States)

    van Hemelryck, H.; Govers, G.; van Oost, K.; Merckx, R.

    2009-04-01

    Globally soils are the largest terrestrial pool of carbon (C). A relatively small increase or decrease in soil carbon content due to changes in land use or management practices could therefore result in a significant net exchange of C between the soil C reservoir and the atmosphere. As such, the geomorphic processes of water and tillage erosion have been identified to significantly impact on this large pool of soil organic carbon (SOC). Soil erosion, transport and deposition not only result in redistribution of sediments and associated carbon within a landscape, but also affect the exchange of C between the pedosphere and the atmosphere. The direction and magnitude of an erosion-induced change in the global C balance is however a topic of much debate as opposing processes interact: i) At eroding sites a net uptake of C could be the result of reduced respiration rates and continued inputs of newly produced carbon. ii) Colluvial deposition of eroded sediment and SOC leads to the burial of the original topsoil and this may constrain the decomposition of its containing SOC. iii) Eroded sediment could be transported to distal depositional environments or fluvial systems where it will either be conserved or become rapidly mineralized. iv) Increased emission of CO2 due to erosion may result from the disruptive energy of erosive forces causing the breakdown of aggregates and exposing previously protected SOC to microbial decomposition. The above-mentioned processes show a large spatial and temporal variability and assessing their impact requires an integrated modeling approach. However uncertainties about the basic processes that accompany SOC displacement are still large. This study focuses on one of these large information gaps: the fate of eroded and subsequently deposited SOC. A preceding experimental study (Van Hemelryck et al., 2008) was used to identify controlling factors (erosional intensity, changes in soil structure,…). However this experimental research

  16. MOF Crystal Chemistry Paving the Way to Gas Storage Needs: Aluminum Based soc-MOF for CH4, O2 and CO2 Storage

    KAUST Repository

    Alezi, Dalal

    2015-09-28

    The molecular building block approach was employed effectively to construct a series of novel isoreticular, highly porous and stable, aluminum based Metal-Organic Frameworks with soc topology. From this platform, three compounds were experimentally isolated and fully characterized, namely, the parent Al-soc-MOF-1 and its naphthalene and anthracene analogues. Al-soc-MOF-1 exhibits outstanding gravimetric methane uptake (total and working capacity). It is shown experimentally, for the first time, that the Al-soc-MOF platform can address the challenging Department of Energy dual target of 0.5 g/g (gravimetric) and 264 cm3 (STP)/cm3 (volumetric) methane storage. Furthermore, Al-soc-MOF exhibited the highest total gravimetric and volumetric uptake for carbon dioxide and the utmost total and deliverable uptake for oxygen at relatively high pressures among all microporous MOFs. In order to correlate the MOF pore structure and functionality to the gas storage properties, to better understand the structure-properties relationship, we performed a molecular simulation study and evaluated the methane storage performance of Al-soc-MOF platform using diverse organic linkers. It was found that shortening the parent Al-soc-MOF-1 linker resulted in a noticeable enhancement in the working volumetric capacity at specific temperatures and pressures with amply conserved gravimetric uptake/working capacity. In contrast, further expansion of the organic linker (branches and/or core) led to isostructural Al-soc-MOFs with enhanced gravimetric uptake but noticeably lower volumetric capacity. The collective experimental and simulation studies indicated that the parent Al-soc-MOF-1 exhibits the best compromise between the volumetric and gravimetric total and working uptakes in a wide range of pressure and temperature conditions.

  17. MOF Crystal Chemistry Paving the Way to Gas Storage Needs: Aluminum Based soc-MOF for CH4, O2 and CO2 Storage

    KAUST Repository

    Alezi, Dalal; Belmabkhout, Youssef; Suetin, Mikhail; Bhatt, Prashant; Weselinski, Lukasz Jan; Solovyeva, Vera; Adil, Karim; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Emwas, Abdul-Hamid M.; Eddaoudi, Mohamed

    2015-01-01

    The molecular building block approach was employed effectively to construct a series of novel isoreticular, highly porous and stable, aluminum based Metal-Organic Frameworks with soc topology. From this platform, three compounds were experimentally isolated and fully characterized, namely, the parent Al-soc-MOF-1 and its naphthalene and anthracene analogues. Al-soc-MOF-1 exhibits outstanding gravimetric methane uptake (total and working capacity). It is shown experimentally, for the first time, that the Al-soc-MOF platform can address the challenging Department of Energy dual target of 0.5 g/g (gravimetric) and 264 cm3 (STP)/cm3 (volumetric) methane storage. Furthermore, Al-soc-MOF exhibited the highest total gravimetric and volumetric uptake for carbon dioxide and the utmost total and deliverable uptake for oxygen at relatively high pressures among all microporous MOFs. In order to correlate the MOF pore structure and functionality to the gas storage properties, to better understand the structure-properties relationship, we performed a molecular simulation study and evaluated the methane storage performance of Al-soc-MOF platform using diverse organic linkers. It was found that shortening the parent Al-soc-MOF-1 linker resulted in a noticeable enhancement in the working volumetric capacity at specific temperatures and pressures with amply conserved gravimetric uptake/working capacity. In contrast, further expansion of the organic linker (branches and/or core) led to isostructural Al-soc-MOFs with enhanced gravimetric uptake but noticeably lower volumetric capacity. The collective experimental and simulation studies indicated that the parent Al-soc-MOF-1 exhibits the best compromise between the volumetric and gravimetric total and working uptakes in a wide range of pressure and temperature conditions.

  18. Reduced expression of TAC1, PENK and SOCS2 in Hcrtr-2 mutated narcoleptic dog brain

    Directory of Open Access Journals (Sweden)

    Mignot Emmanuel

    2007-05-01

    Full Text Available Abstract Background Narcolepsy causes dramatic behavioral alterations in both humans and dogs, with excessive sleepiness and cataplexy triggered by emotional stimuli. Deficiencies in the hypocretin system are well established as the origin of the condition; both from studies in humans who lack the hypocretin ligand (HCRT and in dogs with a mutation in hypocretin receptor 2 (HCRTR2. However, little is known about molecular alterations downstream of the hypocretin signals. Results By using microarray technology we have screened the expression of 29760 genes in the brains of Doberman dogs with a heritable form of narcolepsy (homozygous for the canarc-1 [HCRTR-2-2] mutation, and their unaffected heterozygous siblings. We identified two neuropeptide precursor molecules, Tachykinin precursor 1 (TAC1 and Proenkephalin (PENK, that together with Suppressor of cytokine signaling 2 (SOCS2, showed reduced expression in narcoleptic brains. The difference was particularly pronounced in the amygdala, where mRNA levels of PENK were 6.2 fold lower in narcoleptic dogs than in heterozygous siblings, and TAC1 and SOCS2 showed 4.4 fold and 2.8 fold decrease in expression, respectively. The results obtained from microarray experiments were confirmed by real-time RT-PCR. Interestingly, it was previously shown that a single dose of amphetamine-like stimulants able to increase wakefulness in the dogs, also produce an increase in the expression of both TAC1 and PENK in mice. Conclusion These results suggest that TAC1, PENK and SOCS2 might be intimately connected with the excessive daytime sleepiness not only in dogs, but also in other species, possibly including humans.

  19. One-chip Integrated Module of MEMS Shock Sensor and Sensing Amplifier LSI using Pseudo-SOC Technology

    Science.gov (United States)

    Iida, Atsuko; Onozuka, Yutaka; Nishigaki, Michihiko; Yamada, Hiroshi; Funaki, Hideyuki; Itaya, Kazuhiko

    We have been developing the pseudo-SOC technology for one-chip module integration of heterogeneous devices that realizes high electrical performance and high density of devices embodying the advantages of both SOC technology and SIP technology. Especially, this technology is available for MEMS-LSI integration. We developed a 0.2mm-thickness one-chip module integrating a MEMS shock sensor and a sensing amplifier LSI by applying this technology. The MEMS shock sensor and the sensing amplifier LSI were connected by high-rigidity epoxy resin optimized the material constants to reduce the stress and the warpage resulting from resin shrinkage due to curing. Then the planar insulating layer and the redistributed conducting layer were formed on it for the global layer. The MEMS shock sensor was preformed to be modularized with a glass cap. Electrical contacts were achieved by bonding of Au bumps on the MEMS fixed electrodes and via holes filled with Ag paste of the glass cap. Functional performance was confirmed by obtaining signal corresponding to the reference signal of the pick-up sensor. Furthermore, stress analysis was performed using the FEM model simulation considering the resin shrinkage.

  20. Matrine suppresses airway inflammation by downregulating SOCS3 expression via inhibition of NF-κB signaling in airway epithelial cells and asthmatic mice

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Daqing [Department of Respiration, Xi’an Children’s Hospital, Xi’an 710003 (China); Wang, Jing [Department of Neonatology, Xi’an Children’s Hospital, Xi’an 710003 (China); Yang, Niandi [Outpatient Department, School of Aerospace Engineering, Air Force Engineering University, Xi’an 710038 (China); Ma, Haixin, E-mail: drhaixinma@163.com [Department of Quality Control, Xi’an Children’s Hospital, Xi’an 710003 (China)

    2016-08-12

    Matrine has been demonstrated to attenuate allergic airway inflammation. Elevated suppressor of cytokine signaling 3 (SOCS3) was correlated with the severity of asthma. The aim of this study was to investigate the effect of matrine on SOCS3 expression in airway inflammation. In this study, we found that matrine significantly inhibited OVA-induced AHR, inflammatory cell infiltration, goblet cell differentiation, and mucous production in a dose-dependent manner in mice. Matrine also abrogated the level of interleukin (IL)-4 and IL-13, but enhanced interferon (IFN)-γ expression, both in BALF and in lung homogenates. Furthermore, matrine impeded TNF-α-induced the expression of IL-6 and adhesion molecules in airway epithelial cells (BEAS-2B and MLE-12). Additionally, we found that matrine inhibited SOCS3 expression, both in asthmatic mice and TNF-α-stimulated epithelial cells via suppression of the NF-κB signaling pathway by using pcDNA3.1-SOCS3 plasmid, SOCS3 siRNA, or nuclear factor kappa-B (NF-κB) inhibitor PDTC. Conclusions: Matrine suppresses airway inflammation by downregulating SOCS3 expression via inhibition of NF-κB signaling in airway epithelial cells and asthmatic mice. - Highlights: • Matrine attenuates asthmatic symptoms and regulates Th1/Th2 balance in vivo. • Matrine suppresses inflammation responses in vitro. • Matrine decreases SOCS3 expression both in vivo and in vitro. • Matrine inhibits SOCS3 expression by suppressing NF-κB signaling.

  1. A thermodynamic approach for selecting operating conditions in the design of reversible solid oxide cell energy systems

    Science.gov (United States)

    Wendel, Christopher H.; Kazempoor, Pejman; Braun, Robert J.

    2016-01-01

    Reversible solid oxide cell (ReSOC) systems are being increasingly considered for electrical energy storage, although much work remains before they can be realized, including cell materials development and system design optimization. These systems store electricity by generating a synthetic fuel in electrolysis mode and subsequently recover electricity by electrochemically oxidizing the stored fuel in fuel cell mode. System thermal management is improved by promoting methane synthesis internal to the ReSOC stack. Within this strategy, the cell-stack operating conditions are highly impactful on system performance and optimizing these parameters to suit both operating modes is critical to achieving high roundtrip efficiency. Preliminary analysis shows the thermoneutral voltage to be a useful parameter for analyzing ReSOC systems and the focus of this study is to quantitatively examine how it is affected by ReSOC operating conditions. The results reveal that the thermoneutral voltage is generally reduced by increased pressure, and reductions in temperature, fuel utilization, and hydrogen-to-carbon ratio. Based on the thermodynamic analysis, many different combinations of these operating conditions are expected to promote efficient energy storage. Pressurized systems can achieve high efficiency at higher temperature and fuel utilization, while non-pressurized systems may require lower stack temperature and suffer from reduced energy density.

  2. Pengaruh Variasi Jumlah Tembakan Nanosecond Pulsed Electric Fields (Nspefs Terhadap Ekspresi Gen Socs3 pada Sel Kanker Serviks Hela S3

    Directory of Open Access Journals (Sweden)

    Martina Kurnia Rohmah

    2017-12-01

    Abstract Nanosecond Pulsed Electric Fields (NsPEFs is bioelectric that was developed by electroporation technology. NsPEFs use high intensity in short time exposure (1 – 300 nanosecond. NsPEFs have biological effect and was developed in cancer therapy. In cervical cancer, viral protein of HPV depresses some tumor suppressors like Socs3 gene. This research aims to investigate the effect of short variation in Socs3 gene expression. HeLa S3 cells were cultured in α-MEM with FBS 10%. NsPEFs as much as 20 kV/cm and 80 nano seconds was exposure over HeLa S3 cell in 4 mm cuvette. Wave of NsPEFs was detected by high voltage probe in oscilloscope. NsPEFs was exposure at 0 (control, 5, 10, 20, 30, 40, 50, and 60 shots. Socs3 gene expression was analyzed using real time PCR and RT-PCR. Quantitative data was analyzed by Kolmogorov-Smirnov, Anova, and HSD Tuker (p<0.05. This research show that NsPEFs is significantly increase Socs3 gene expression (p=0.000. The optimal shot 20 and 30 shots increase Socs3 gene expression subsequently = 2.779 and = 3.105 times. This expression decrease in higher than 30 shots of NsPEFs exposure.   Keywords: NsPEFs, shot, expression, Socs3

  3. An overview of online implementable SOC estimation methods for Lithium-ion batteries

    DEFF Research Database (Denmark)

    Jinhao, Meng; Ricco, Mattia; Guangzhao, Luo

    2017-01-01

    With the popularity of Electrical Vehicles (EVs), Lithium-ion battery industry is also developing rapidly. To ensure the battery safety usage and reduce the average lifecycle cost, accurate State Of Charge (SOC) tracking algorithms for real-time implementation are required in different applications...

  4. Inflammation and linear bone growth: the inhibitory role of SOCS2 on GH/IGF-1 signaling.

    Science.gov (United States)

    Farquharson, Colin; Ahmed, S Faisal

    2013-04-01

    Linear bone growth is widely recognized to be adversely affected in children with chronic kidney disease (CKD) and other chronic inflammatory disorders. The growth hormone (GH)/insulin-like growth factor-1 (IGF-1) pathway is anabolic to the skeleton and inflammatory cytokines compromise bone growth through a number of different mechanisms, which include interference with the systemic as well as the tissue-level GH/IGF-1 axis. Despite attempts to promote growth and control disease, there are an increasing number of reports of the persistence of poor growth in a substantial proportion of patients receiving rhGH and/or drugs that block cytokine action. Thus, there is an urgent need to consider better and alternative forms of therapy that are directed specifically at the mechanism of the insult which leads to abnormal bone health. Suppressor of cytokine signaling 2 (SOCS2) expression is increased in inflammatory conditions including CKD, and is a recognized inhibitor of GH signaling. Therefore, in this review, we will focus on the premise that SOCS2 signaling represents a critical pathway in growth plate chondrocytes through which pro-inflammatory cytokines alter both GH/IGF-1 signaling and cellular function.

  5. Implementation of SoC Based Real-Time Electromagnetic Transient Simulator

    Directory of Open Access Journals (Sweden)

    I. Herrera-Leandro

    2017-01-01

    Full Text Available Real-time electromagnetic transient simulators are important tools in the design stage of new control and protection systems for power systems. Real-time simulators are used to test and stress new devices under similar conditions that the device will deal with in a real network with the purpose of finding errors and bugs in the design. The computation of an electromagnetic transient is complex and computationally demanding, due to features such as the speed of the phenomenon, the size of the network, and the presence of time variant and nonlinear elements in the network. In this work, the development of a SoC based real-time and also offline electromagnetic transient simulator is presented. In the design, the required performance is met from two sides, (a using a technique to split the power system into smaller subsystems, which allows parallelizing the algorithm, and (b with specialized and parallel hardware designed to boost the solution flow. The results of this work have shown that for the proposed case studies, based on a balanced distribution of the node of subsystems, the proposed approach has decreased the total simulation time by up to 99 times compared with the classical approach running on a single high performance 32-bit embedded processor ARM-Cortex A9.

  6. Performance analysis of general purpose and digital signal processor kernels for heterogeneous systems-on-chip

    Directory of Open Access Journals (Sweden)

    T. von Sydow

    2003-01-01

    Full Text Available Various reasons like technology progress, flexibility demands, shortened product cycle time and shortened time to market have brought up the possibility and necessity to integrate different architecture blocks on one heterogeneous System-on-Chip (SoC. Architecture blocks like programmable processor cores (DSP- and GPP-kernels, embedded FPGAs as well as dedicated macros will be integral parts of such a SoC. Especially programmable architecture blocks and associated optimization techniques are discussed in this contribution. Design space exploration and thus the choice which architecture blocks should be integrated in a SoC is a challenging task. Crucial to this exploration is the evaluation of the application domain characteristics and the costs caused by individual architecture blocks integrated on a SoC. An ATE-cost function has been applied to examine the performance of the aforementioned programmable architecture blocks. Therefore, representative discrete devices have been analyzed. Furthermore, several architecture dependent optimization steps and their effects on the cost ratios are presented.

  7. Analysis of Minimal LDPC Decoder System on a Chip Implementation

    Directory of Open Access Journals (Sweden)

    T. Palenik

    2015-09-01

    Full Text Available This paper presents a practical method of potential replacement of several different Quasi-Cyclic Low-Density Parity-Check (QC-LDPC codes with one, with the intention of saving as much memory as required to implement the LDPC encoder and decoder in a memory-constrained System on a Chip (SoC. The presented method requires only a very small modification of the existing encoder and decoder, making it suitable for utilization in a Software Defined Radio (SDR platform. Besides the analysis of the effects of necessary variable-node value fixation during the Belief Propagation (BP decoding algorithm, practical standard-defined code parameters are scrutinized in order to evaluate the feasibility of the proposed LDPC setup simplification. Finally, the error performance of the modified system structure is evaluated and compared with the original system structure by means of simulation.

  8. The ubiquitin ligase Cullin5SOCS2 regulates NDR1/STK38 stability and NF-κB transactivation

    DEFF Research Database (Denmark)

    Paul, Indranil; Batth, Tanveer S; Iglesias-Gato, Diego

    2017-01-01

    SOCS2 is a pleiotropic E3 ligase. Its deficiency is associated with gigantism and organismal lethality upon inflammatory challenge. However, mechanistic understanding of SOCS2 function is dismal due to our unawareness of its protein substrates. We performed a mass spectrometry based proteomic pro...

  9. SOCS proteins in regulation of receptor tyrosine kinase signaling

    DEFF Research Database (Denmark)

    Kazi, Julhash U.; Kabir, Nuzhat N.; Flores Morales, Amilcar

    2014-01-01

    Receptor tyrosine kinases (RTKs) are a family of cell surface receptors that play critical roles in signal transduction from extracellular stimuli. Many in this family of kinases are overexpressed or mutated in human malignancies and thus became an attractive drug target for cancer treatment....... The signaling mediated by RTKs must be tightly regulated by interacting proteins including protein-tyrosine phosphatases and ubiquitin ligases. The suppressors of cytokine signaling (SOCS) family proteins are well-known negative regulators of cytokine receptors signaling consisting of eight structurally similar...

  10. IL-8 induces miR-424-5p expression and modulates SOCS2/STAT5 signaling pathway in oral squamous cell carcinoma.

    Science.gov (United States)

    Peng, Hsuan-Yu; Jiang, Shih-Sheng; Hsiao, Jenn-Ren; Hsiao, Michael; Hsu, Yuan-Ming; Wu, Guan-Hsun; Chang, Wei-Min; Chang, Jang-Yang; Jin, Shiow-Lian Catherine; Shiah, Shine-Gwo

    2016-06-01

    Suppressor of cytokine signaling (SOCS) proteins are negative feedback regulators of the Janus kinase/signal transducer and activator of transcription (JAK/STAT) pathway. Dysregulation of SOCS protein expression in cancers can be one of the mechanisms that maintain STAT activation, but this mechanism is still poorly understood in oral squamous cell carcinoma (OSCC). Here, we report that SOCS2 protein is significantly downregulated in OSCC patients and its levels are inversely correlated with miR-424-5p expression. We identified the SOCS2 protein, which modulates STAT5 activity, as a direct target of miR-424-5p. The miR-424-5p-induced STAT5 phosphorylation, matrix metalloproteinases (MMPs) expression, and cell migration and invasion were blocked by SOCS2 restoration, suggesting that miR-424-5p exhibits its oncogenic activity through negatively regulating SOCS2 levels. Furthermore, miR-424-5p expression could be induced by the cytokine IL-8 primarily through enhancing STAT5 transcriptional activity rather than NF-κB signaling. Antagomir-mediated inactivation of miR-424-5p prevented the IL-8-induced cell migration and invasion, indicating that miR-424-5p is required for IL-8-induced cellular invasiveness. Taken together, these data indicate that STAT5-dependent expression of miR-424-5p plays an important role in mediating IL-8/STAT5/SOCS2 feedback loop, and scavenging miR-424-5p function using antagomir may have therapeutic potential for the treatment of OSCC. Copyright © 2016 The Authors. Published by Elsevier B.V. All rights reserved.

  11. A cost-benefit analysis of methods for the determination of biomass concentration in wastewater treatment.

    Science.gov (United States)

    Hernandez, J E; Bachmann, R T; Edyvean, R G J

    2006-01-01

    The measurement of biomass concentration is important in biological wastewater treatment. This paper compares the accuracy and costs of the traditional volatile suspended solids (VSS) and the proposed suspended organic carbon (SOC) methods. VSS and SOC values of a dilution system were very well correlated (R(2)=0.9995). VSS and SOC of 16 samples were determined, the mean SOC/VSS ratio (0.52, n=16, sigma=0.01) was close to the theoretical value (0.53). For costing analysis, two hypothetical cases were analysed. In case A, it is assumed that 108 samples are analysed annually from two continuous reactors. Case B represents a batch experiment to be carried out in 24 incubated serum bottles. The savings, when using the SOC method, were 11,987 pounds for case A and 90 pounds for case B. This study suggests the use of SOC method as a time saving and lower cost biomass concentration measurement.

  12. Suppressor of cytokine signaling 2 (Socs2 deletion protects bone health of mice with DSS-induced inflammatory bowel disease

    Directory of Open Access Journals (Sweden)

    Ross Dobie

    2018-01-01

    Full Text Available Individuals with inflammatory bowel disease (IBD often present with poor bone health. The development of targeted therapies for this bone loss requires a fuller understanding of the underlying cellular mechanisms. Although bone loss in IBD is multifactorial, the altered sensitivity and secretion of growth hormone (GH and insulin-like growth factor-1 (IGF-1 in IBD is understood to be a critical contributing mechanism. The expression of suppressor of cytokine signaling 2 (SOCS2, a well-established negative regulator of GH signaling, is stimulated by proinflammatory cytokines. Therefore, it is likely that SOCS2 expression represents a critical mediator through which proinflammatory cytokines inhibit GH/IGF-1 signaling and decrease bone quality in IBD. Using the dextran sodium sulfate (DSS model of colitis, we reveal that endogenously elevated GH function in the Socs2−/− mouse protects the skeleton from osteopenia. Micro-computed tomography assessment of DSS-treated wild-type (WT mice revealed a worsened trabecular architecture compared to control mice. Specifically, DSS-treated WT mice had significantly decreased bone volume, trabecular thickness and trabecular number, and a resulting increase in trabecular separation. In comparison, the trabecular bone of Socs2-deficient mice was partially protected from the adverse effects of DSS. The reduction in a number of parameters, including bone volume, was less, and no changes were observed in trabecular thickness or separation. This protected phenotype was unlikely to be a consequence of improved mucosal health in the DSS-treated Socs2−/− mice but rather a result of unregulated GH signaling directly on bone. These studies indicate that the absence of SOCS2 is protective against bone loss typical of IBD. This study also provides an improved understanding of the relative effects of GH/IGF-1 signaling on bone health in experimental colitis, information that is essential before these drugs are

  13. Hardware-Assisted System for Program Execution Security of SOC

    Directory of Open Access Journals (Sweden)

    Wang Xiang

    2016-01-01

    Full Text Available With the rapid development of embedded systems, the systems’ security has become more and more important. Most embedded systems are at the risk of series of software attacks, such as buffer overflow attack, Trojan virus. In addition, with the rapid growth in the number of embedded systems and wide application, followed embedded hardware attacks are also increasing. This paper presents a new hardware assisted security mechanism to protect the program’s code and data, monitoring its normal execution. The mechanism mainly monitors three types of information: the start/end address of the program of basic blocks; the lightweight hash value in basic blocks and address of the next basic block. These parameters are extracted through additional tools running on PC. The information will be stored in the security module. During normal program execution, the security module is designed to compare the real-time state of program with the information in the security module. If abnormal, it will trigger the appropriate security response, suspend the program and jump to the specified location. The module has been tested and validated on the SOPC with OR1200 processor. The experimental analysis shows that the proposed mechanism can defence a wide range of common software and physical attacks with low performance penalties and minimal overheads.

  14. DMA Controller for LEON3 SoC:s Using AMBA

    OpenAIRE

    Nilsson, Emelie

    2013-01-01

    A DMA Controller can offload a processor tremendously. A memory copy operation can be initiated by the processor and while the processor executes others tasks the memory copy can be fulfilled by the DMA Controller. An implementation of a DMA Controller for use in LEON3 SoC:s has been made during this master thesis. Problems that occurred while designing a controller of this type concerned AMBA buses, data transfers, alignment and interrupt handling. The DMA Controller supports AMBA and is att...

  15. Straw incorporation increases crop yield and soil organic carbon sequestration but varies under different natural conditions and farming practices in China: a system analysis

    Science.gov (United States)

    Han, Xiao; Xu, Cong; Dungait, Jennifer A. J.; Bol, Roland; Wang, Xiaojie; Wu, Wenliang; Meng, Fanqiao

    2018-04-01

    Loss of soil organic carbon (SOC) from agricultural soils is a key indicator of soil degradation associated with reductions in net primary productivity in crop production systems worldwide. Technically simple and locally appropriate solutions are required for farmers to increase SOC and to improve cropland management. In the last 30 years, straw incorporation (SI) has gradually been implemented across China in the context of agricultural intensification and rural livelihood improvement. A meta-analysis of data published before the end of 2016 was undertaken to investigate the effects of SI on crop production and SOC sequestration. The results of 68 experimental studies throughout China in different edaphic conditions, climate regions and farming regimes were analyzed. Compared with straw removal (SR), SI significantly sequestered SOC (0-20 cm depth) at the rate of 0.35 (95 % CI, 0.31-0.40) Mg C ha-1 yr-1, increased crop grain yield by 13.4 % (9.3-18.4 %) and had a conversion efficiency of the incorporated straw C of 16 % ± 2 % across China. The combined SI at the rate of 3 Mg C ha-1 yr-1 with mineral fertilizer of 200-400 kg N ha-1 yr-1 was demonstrated to be the best farming practice, where crop yield increased by 32.7 % (17.9-56.4 %) and SOC sequestrated by the rate of 0.85 (0.54-1.15) Mg C ha-1 yr-1. SI achieved a higher SOC sequestration rate and crop yield increment when applied to clay soils under high cropping intensities, and in areas such as northeast China where the soil is being degraded. The SOC responses were highest in the initial starting phase of SI, then subsequently declined and finally became negligible after 28-62 years. However, crop yield responses were initially low and then increased, reaching their highest level at 11-15 years after SI. Overall, our study confirmed that SI created a positive feedback loop of SOC enhancement together with increased crop production, and this is of great practical importance to straw management as agriculture

  16. Overexpression of DOSOC1, an ortholog of Arabidopsis SOC1, promotes flowering in the orchid Dendrobium Chao Parya Smile.

    Science.gov (United States)

    Ding, Lihua; Wang, Yanwen; Yu, Hao

    2013-04-01

    SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 (SOC1) encodes a MADS-box protein that plays an essential role in integrating multiple flowering signals to regulate the transition from vegetative to reproductive development in the model plant Arabidopsis. Although SOC1-like genes have been isolated in various angiosperms, its orthologs in Orchidaceae, one of the largest families of flowering plants, are so far unknown. To investigate the regulatory mechanisms of flowering time control in orchids, we isolated a SOC1-like gene, DOSOC1, from Dendrobium Chao Praya Smile. DOSOC1 was highly expressed in reproductive organs, including inflorescence apices, pedicels, floral buds and open flowers. Its expression significantly increased in whole plantlets during the transition from vegetative to reproductive development, which usually occurred after 8 weeks of culture in Dendrobium Chao Praya Smile. In the shoot apex at the floral transitional stage, DOSOC1 was particularly expressed in emerging floral meristems. Overexpression of DOSOC1 in wild-type Arabidopsis plants resulted in early flowering, which was coupled with the up-regulation of two other flowering promoters, AGAMOUS-LIKE 24 and LEAFY. In addition, overexpression of DOSOC1 was able partially to complement the late-flowering phenotype of Arabidopsis soc1-2 loss-of-function mutants. Furthermore, we successfully created seven 35S:DOSOC1 transgenic Dendrobium orchid lines, which consistently exhibited earlier flowering than wild-type orchids. Our results suggest that SOC1-like genes play an evolutionarily conserved role in promoting flowering in the Orchidaceae family, and that DOSOC1 isolated from Dendrobium Chao Praya Smile could serve as an important target for genetic manipulation of flowering time in orchids.

  17. Assessment of LabSOCS as a tool for the calculation of self-attenuation coefficients in gamma spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Lopes, J.; De Medeiros, M. P.; Garcez, R.; Filgueiras, R.; Thalhofer, J.; Da Silva, A. X. [Universidade Federal do Rio de Janeiro, Programa de Engenharia Nuclear, Av. Horacio Macedo 2030, 21945-970 Rio de Janeiro (Brazil); Freitas R, W., E-mail: marqueslopez@yahoo.com.br [Instituto Militar de Engenharia, Secao de Engenharia Nuclear, Praca Gen. Tiburcio 80, 22290-270 Urca, Rio de Janeiro (Brazil)

    2017-10-15

    In spectrometry, the self-attenuation coefficients are fundamental to correct the efficiency of the detection of samples whose density is different from the radioactive standard. To facilitate the procedure of coefficient calculation, mathematical simulations have been widespread as a tool. In this paper, LabSOCS was used to calculate the self-attenuation coefficients for some geometries and the values found were compared to those obtained with MCNPX and experimental values. The percentage deviations found for the self-attenuation coefficient calculated by LabSOCS were below 1.6%, when compared to experimental values. In the extrapolation zone of the fitting curve of the experimental model, the deviations were below 1.9%. The results obtained show that the deviations increase proportionally to the amplitude between the density values of the radioactive standard and the sample. High percentage deviations were also obtained in simulations whose samples had high densities, complex geometries and low energy levels. However, the results indicate that LabSOCS is a tool which may be used in the calculation of self-attenuation coefficients. (Author)

  18. A System-on-Chip Solution for Point-of-Care Ultrasound Imaging Systems: Architecture and ASIC Implementation.

    Science.gov (United States)

    Kang, Jeeun; Yoon, Changhan; Lee, Jaejin; Kye, Sang-Bum; Lee, Yongbae; Chang, Jin Ho; Kim, Gi-Duck; Yoo, Yangmo; Song, Tai-kyong

    2016-04-01

    In this paper, we present a novel system-on-chip (SOC) solution for a portable ultrasound imaging system (PUS) for point-of-care applications. The PUS-SOC includes all of the signal processing modules (i.e., the transmit and dynamic receive beamformer modules, mid- and back-end processors, and color Doppler processors) as well as an efficient architecture for hardware-based imaging methods (e.g., dynamic delay calculation, multi-beamforming, and coded excitation and compression). The PUS-SOC was fabricated using a UMC 130-nm NAND process and has 16.8 GFLOPS of computing power with a total equivalent gate count of 12.1 million, which is comparable to a Pentium-4 CPU. The size and power consumption of the PUS-SOC are 27×27 mm(2) and 1.2 W, respectively. Based on the PUS-SOC, a prototype hand-held US imaging system was implemented. Phantom experiments demonstrated that the PUS-SOC can provide appropriate image quality for point-of-care applications with a compact PDA size ( 200×120×45 mm(3)) and 3 hours of battery life.

  19. A 10-bit 200-kS/s SAR ADC IP core for a touch screen SoC

    International Nuclear Information System (INIS)

    Tong Xingyuan; Yang Yintang; Zhu Zhangming; Sheng Wenfang

    2010-01-01

    Based on a 5 MSBs (most-significant-bits)-plus-5 LSBs (least-significant-bits) C-R hybrid D/A conversion and low-offset pseudo-differential comparison approach, with capacitor array axially symmetric layout topology and resistor string low gradient mismatch placement method, an 8-channel 10-bit 200-kS/s SAR ADC (successive-approximation-register analog-to-digital converter) IP core for a touch screen SoC (system-on-chip) is implemented in a 0.18 μm 1P5M CMOS logic process. Design considerations for the touch screen SAR ADC are included. With a 1.8 V power supply, the DNL (differential non-linearity) and INL (integral non-linearity) of this converter are measured to be about 0.32 LSB and 0.81 LSB respectively. With an input frequency of 91 kHz at 200-kS/s sampling rate, the spurious-free dynamic range and effective-number-of-bits are measured to be 63.2 dB and 9.15 bits respectively, and the power is about 136 μW. This converter occupies an area of about 0.08 mm 2 . The design results show that it is very suitable for touch screen SoC applications. (semiconductor integrated circuits)

  20. Untrimmed Low-Power Thermal Sensor for SoC in 22 nm Digital Fabrication Technology

    Directory of Open Access Journals (Sweden)

    Ro'ee Eitan

    2014-12-01

    Full Text Available Thermal sensors (TS are essential for achieving optimized performance and reliability in the era of nanoscale microprocessor and system on chip (SoC. Compiling with the low-power and small die area of the mobile computing, the presented TS supports a wide range of sampling frequencies with an optimized power envelope. The TS supports up to 45 K samples/s, low average power consumption, as low as 20 μW, and small core Si area of 0.013 mm2. Advanced circuit techniques are used in order to overcome process variability, ensuring inaccuracy lower than ±2 °C without any calibration. All this makes the presented thermal sensor a cost-effective, low-power solution for 22 nm nanoscale digital process technology.

  1. Metal–organic frameworks to satisfy gas upgrading demands: fine-tuning the soc-MOF platform for the operative removal of H2S

    KAUST Repository

    Belmabkhout, Youssef

    2017-01-06

    A cooperative experimental/modeling strategy was used to unveil the structure/gas separation performance relationship for a series of isostructural metal-organic frameworks (MOFs) with soc-topology (square-octahedral) hosting different extra-framework counter ions (NO3-, Cl- and Br-). In3+-, Fe3+-, Ga3+-and the newly isolated Al(III)-based isostructural soc-MOF were extensively studied and evaluated for the separation-based production of high-quality fuels (i.e., CH4, C3H8 and n-C4H10) and olefins. The structural/chemical fine-tuning of the soc-MOF platform promoted equilibrium-based selectivity toward C2+ (C2H6, C2H4, C3H6 C3H8 and n-C4H10) and conferred the desired chemical stability toward H2S. The noted dual chemical stability and gas/vapor selectivity, which have rarely been reported for equilibrium-based separation agents, are essential for the production of high-purity H-2, CH4 and C2+ fractions in high yields. Interestingly, the evaluated soc-MOF analogues exhibited high selectivity for C2H4, C3H6 and n-C4H10. In particular, the Fe, Ga and Al analogues presented relatively enhanced C2+/CH4 adsorption selectivities. Notably, the Ga and Al analogues were found to be technically preferable because their structural integrities and separation performances were maintained upon exposure to H2S, indicating that these materials are highly tolerant to H2S. Therefore, the Ga-soc-MOF was further examined for the selective adsorption of H2S in the presence of CO2-and CH4-containing streams, such as refinery-off gases (ROG) and natural gas (NG). Grand canonical Monte Carlo (GCMC) simulations based on a specific force field describing the interactions between the guest molecules and the Ga sites supported and confirmed the considerably higher affinity of the Ga-soc-MOF for C2+ (as exemplified by n-C4H10) than for CH4. The careful selection of an appropriate metal for the trinuclear inorganic molecular building block (MBB), i. e., a Ga metal center, imbues the soc

  2. Soil organic carbon (SOC) accumulation in rice paddies under long-term agro-ecosystem experiments in southern China - VI. Changes in microbial community structure and respiratory activity

    Science.gov (United States)

    Liu, D.; Liu, X.; Liu, Y.; Li, L.; Pan, G.; Crowley, D.; Tippkötter, R.

    2011-02-01

    Biological stabilization within accumulated soil organic carbon (SOC) has not been well understood, while its role in physical and chemical protection as well as of chemical recalcitrance had been addressed in Chinese rice paddies. In this study, topsoil samples were collected and respiratory activity measured in situ following rice harvest under different fertilization treatments of three long-term experimental sites across southern China in 2009. The SOC contents, microbial biomass carbon (SMBC) and nitrogen (SMBN) were analysed using chemical digestion and microbial community structure assessment via clony dilute plate counting methods. While SOC contents were consistently higher under compound chemical fertilization (Comp-Fert) or combined organic and inorganic fertilization (Comb-Fert) compared to N fertilization only (N-Fert), there was significantly higher fungal-bacterial ratio under Comb-Fert than under N-Fert and Comp-Fert. When subtracting the background effect under no fertilization treatment (Non-Fert), the increase both in SMBC and SMBN under fertilization treatment was found very significantly correlated to the increase in SOC over controls across the sites. Also, the ratio of culturable fungal to bacterial population numbers (F/B ratio) was well correlated with soil organic carbon contents in all samples across the sites studied. SOC accumulation favoured a build-up the microbial community with increasing fungal dominance in the rice paddies under fertilization treatments. While soil respiration rates were high under Comb-Fert as a result of enhanced microbial community build-up, the specific soil respiratory activity based on microbial biomass carbon was found in a significantly negatively correlation with the SOC contents for overall samples. Thus, a fungal-dominated microbial community seemed to slow SOC turnover, thereby favouring SOC accumulation under Comp-Fert or under Comb-Fert in the rice paddies. Therefore, the biological stabilization

  3. E-CMIRC - towards a model for the integration of services between SOCs and CSIRTs

    CSIR Research Space (South Africa)

    Jacobs, P

    2015-07-01

    Full Text Available , including governments. While the focus of a SOC is on the monitoring of technical security controls and critical assets, and the response to attacks and threats, CSIRTs’ main focus is on response and incident management. One postulation is that a CSIRT...

  4. An Overview and Comparison of Online Implementable SOC Estimation Methods for Lithium-ion Battery

    DEFF Research Database (Denmark)

    Meng, Jinhao; Ricco, Mattia; Luo, Guangzhao

    2018-01-01

    With the popularity of Electrical Vehicles (EVs), Lithium-ion battery industry is developing rapidly. To ensure the battery safe usage and to reduce its average lifecycle cost, an accurate State of Charge (SOC) tracking algorithms for real-time implementation are required for different applications...

  5. 60 GHz system-on-chip (SoC) with built-in memory and an on-chip antenna

    KAUST Repository

    Ghaffar, Farhan A.

    2014-04-01

    A novel 60 GHz transmitter SoC with an on-chip antenna and integrated memory in CMOS 65 nm technology is presented in this paper. This highly integrated transmitter design can support a data rate of 2 GBPS with a transmission range of 1 m. The transmitter consists of a fundamental frequency 60 GHz PLL which covers the complete ISM band. The modulator following the PLL can support both BPSK and OOK modulation schemes. Both stored data on the integrated memory or directly from an external source can be transmitted. A tapered slot on chip antenna is integrated with the power amplifier to complete the front end of the transmitter design. Size of the complete transmitter with on-chip antenna is only 1.96 mm × 1.96 mm. The core circuits consume less than 100 mW of power. The high data rate capability of the design makes it extremely suitable for bandwidth hungry applications such as unencrypted HD video streaming and transmission.

  6. 60 GHz system-on-chip (SoC) with built-in memory and an on-chip antenna

    KAUST Repository

    Ghaffar, Farhan A.; Arsalan, Muhammad; Cheema, Hammad; Salama, Khaled N.; Shamim, Atif

    2014-01-01

    A novel 60 GHz transmitter SoC with an on-chip antenna and integrated memory in CMOS 65 nm technology is presented in this paper. This highly integrated transmitter design can support a data rate of 2 GBPS with a transmission range of 1 m. The transmitter consists of a fundamental frequency 60 GHz PLL which covers the complete ISM band. The modulator following the PLL can support both BPSK and OOK modulation schemes. Both stored data on the integrated memory or directly from an external source can be transmitted. A tapered slot on chip antenna is integrated with the power amplifier to complete the front end of the transmitter design. Size of the complete transmitter with on-chip antenna is only 1.96 mm × 1.96 mm. The core circuits consume less than 100 mW of power. The high data rate capability of the design makes it extremely suitable for bandwidth hungry applications such as unencrypted HD video streaming and transmission.

  7. Real-Time Control System for Improved Precision and Throughput in an Ultrafast Carbon Fiber Placement Robot Using a SoC FPGA Extended Processing Platform

    Directory of Open Access Journals (Sweden)

    Gilberto Ochoa-Ruiz

    2017-01-01

    Full Text Available We present an architecture for accelerating the processing and execution of control commands in an ultrafast fiber placement robot. The system consists of a robotic arm designed by Coriolis Composites whose purpose is to move along a surface, on which composite fibers are deposed, via an independently controlled head. In first system implementation, the control commands were sent via Profibus by a PLC, limiting the reaction time and thus the precision of the fiber placement and the maximum throughput. Therefore, a custom real-time solution was imperative in order to ameliorate the performance and to meet the stringent requirements of the target industry (avionics, aeronautical systems. The solution presented in this paper is based on the use of a SoC FPGA processing platform running a real-time operating system (FreeRTOS, which has enabled an improved comamnd retrieval mechanism. The system’s placement precision was improved by a factor of 20 (from 1 mm to 0.05 mm, while the maximum achievable throughput was 1 m/s, compared to the average 30 cm/s provided by the original solution, enabling fabricating more complex and larger pieces in a significant fraction of the time.

  8. Implementation of a wireless ECG acquisition SoC for IEEE 802.15.4 (ZigBee) applications.

    Science.gov (United States)

    Wang, Liang-Hung; Chen, Tsung-Yen; Lin, Kuang-Hao; Fang, Qiang; Lee, Shuenn-Yuh

    2015-01-01

    This paper presents a wireless biosignal acquisition system-on-a-chip (WBSA-SoC) specialized for electrocardiogram (ECG) monitoring. The proposed system consists of three subsystems, namely, 1) the ECG acquisition node, 2) the protocol for standard IEEE 802.15.4 ZigBee system, and 3) the RF transmitter circuits. The ZigBee protocol is adopted for wireless communication to achieve high integration, applicability, and portability. A fully integrated CMOS RF front end containing a quadrature voltage-controlled oscillator and a 2.4-GHz low-IF (i.e., zero-IF) transmitter is employed to transmit ECG signals through wireless communication. The low-power WBSA-SoC is implemented by the TSMC 0.18-μm standard CMOS process. An ARM-based displayer with FPGA demodulation and an RF receiver with analog-to-digital mixed-mode circuits are constructed as verification platform to demonstrate the wireless ECG acquisition system. Measurement results on the human body show that the proposed SoC can effectively acquire ECG signals.

  9. Straw incorporation increases crop yield and soil organic carbon sequestration but varies under different natural conditions and farming practices in China: a system analysis

    Directory of Open Access Journals (Sweden)

    X. Han

    2018-04-01

    Full Text Available Loss of soil organic carbon (SOC from agricultural soils is a key indicator of soil degradation associated with reductions in net primary productivity in crop production systems worldwide. Technically simple and locally appropriate solutions are required for farmers to increase SOC and to improve cropland management. In the last 30 years, straw incorporation (SI has gradually been implemented across China in the context of agricultural intensification and rural livelihood improvement. A meta-analysis of data published before the end of 2016 was undertaken to investigate the effects of SI on crop production and SOC sequestration. The results of 68 experimental studies throughout China in different edaphic conditions, climate regions and farming regimes were analyzed. Compared with straw removal (SR, SI significantly sequestered SOC (0–20 cm depth at the rate of 0.35 (95 % CI, 0.31–0.40 Mg C ha−1 yr−1, increased crop grain yield by 13.4 % (9.3–18.4 % and had a conversion efficiency of the incorporated straw C of 16 % ± 2 % across China. The combined SI at the rate of 3 Mg C ha−1 yr−1 with mineral fertilizer of 200–400 kg N ha−1 yr−1 was demonstrated to be the best farming practice, where crop yield increased by 32.7 % (17.9–56.4 % and SOC sequestrated by the rate of 0.85 (0.54–1.15 Mg C ha−1 yr−1. SI achieved a higher SOC sequestration rate and crop yield increment when applied to clay soils under high cropping intensities, and in areas such as northeast China where the soil is being degraded. The SOC responses were highest in the initial starting phase of SI, then subsequently declined and finally became negligible after 28–62 years. However, crop yield responses were initially low and then increased, reaching their highest level at 11–15 years after SI. Overall, our study confirmed that SI created a positive feedback loop of SOC enhancement together with

  10. Dynamic Voltage-Frequency and Workload Joint Scaling Power Management for Energy Harvesting Multi-Core WSN Node SoC

    Directory of Open Access Journals (Sweden)

    Xiangyu Li

    2017-02-01

    Full Text Available This paper proposes a scheduling and power management solution for energy harvesting heterogeneous multi-core WSN node SoC such that the system continues to operate perennially and uses the harvested energy efficiently. The solution consists of a heterogeneous multi-core system oriented task scheduling algorithm and a low-complexity dynamic workload scaling and configuration optimization algorithm suitable for light-weight platforms. Moreover, considering the power consumption of most WSN applications have the characteristic of data dependent behavior, we introduce branches handling mechanism into the solution as well. The experimental result shows that the proposed algorithm can operate in real-time on a lightweight embedded processor (MSP430, and that it can make a system do more valuable works and make more than 99.9% use of the power budget.

  11. Prototyping Advanced Control Systems on FPGA

    Directory of Open Access Journals (Sweden)

    Simard Stéphane

    2009-01-01

    Full Text Available In advanced digital control and mechatronics, FPGA-based systems on a chip (SoCs promise to supplant older technologies, such as microcontrollers and DSPs. However, the tackling of FPGA technology by control specialists is complicated by the need for skilled hardware/software partitioning and design in order to match the performance requirements of more and more complex algorithms while minimizing cost. Currently, without adequate software support to provide a straightforward design flow, the amount of time and efforts required is prohibitive. In this paper, we discuss our choice, adaptation, and use of a rapid prototyping platform and design flow suitable for the design of on-chip motion controllers and other SoCs with a need for analog interfacing. The platform consists of a customized FPGA design for the Amirix AP1000 PCI FPGA board coupled with a multichannel analog I/O daughter card. The design flow uses Xilinx System Generator in Matlab/Simulink for system design and test, and Xilinx Platform Studio for SoC integration. This approach has been applied to the analysis, design, and hardware implementation of a vector controller for 3-phase AC induction motors. It also has contributed to the development of CMC's MEMS prototyping platform, now used by several Canadian laboratories.

  12. Data-mining analysis of the global distribution of soil carbon in observational databases and Earth system models

    Science.gov (United States)

    Hashimoto, Shoji; Nanko, Kazuki; Ťupek, Boris; Lehtonen, Aleksi

    2017-03-01

    Future climate change will dramatically change the carbon balance in the soil, and this change will affect the terrestrial carbon stock and the climate itself. Earth system models (ESMs) are used to understand the current climate and to project future climate conditions, but the soil organic carbon (SOC) stock simulated by ESMs and those of observational databases are not well correlated when the two are compared at fine grid scales. However, the specific key processes and factors, as well as the relationships among these factors that govern the SOC stock, remain unclear; the inclusion of such missing information would improve the agreement between modeled and observational data. In this study, we sought to identify the influential factors that govern global SOC distribution in observational databases, as well as those simulated by ESMs. We used a data-mining (machine-learning) (boosted regression trees - BRT) scheme to identify the factors affecting the SOC stock. We applied BRT scheme to three observational databases and 15 ESM outputs from the fifth phase of the Coupled Model Intercomparison Project (CMIP5) and examined the effects of 13 variables/factors categorized into five groups (climate, soil property, topography, vegetation, and land-use history). Globally, the contributions of mean annual temperature, clay content, carbon-to-nitrogen (CN) ratio, wetland ratio, and land cover were high in observational databases, whereas the contributions of the mean annual temperature, land cover, and net primary productivity (NPP) were predominant in the SOC distribution in ESMs. A comparison of the influential factors at a global scale revealed that the most distinct differences between the SOCs from the observational databases and ESMs were the low clay content and CN ratio contributions, and the high NPP contribution in the ESMs. The results of this study will aid in identifying the causes of the current mismatches between observational SOC databases and ESM outputs

  13. Soil Carbon Variability and Change Detection in the Forest Inventory Analysis Database of the United States

    Science.gov (United States)

    Wu, A. M.; Nater, E. A.; Dalzell, B. J.; Perry, C. H.

    2014-12-01

    The USDA Forest Service's Forest Inventory Analysis (FIA) program is a national effort assessing current forest resources to ensure sustainable management practices, to assist planning activities, and to report critical status and trends. For example, estimates of carbon stocks and stock change in FIA are reported as the official United States submission to the United Nations Framework Convention on Climate Change. While the main effort in FIA has been focused on aboveground biomass, soil is a critical component of this system. FIA sampled forest soils in the early 2000s and has remeasurement now underway. However, soil sampling is repeated on a 10-year interval (or longer), and it is uncertain what magnitude of changes in soil organic carbon (SOC) may be detectable with the current sampling protocol. We aim to identify the sensitivity and variability of SOC in the FIA database, and to determine the amount of SOC change that can be detected with the current sampling scheme. For this analysis, we attempt to answer the following questions: 1) What is the sensitivity (power) of SOC data in the current FIA database? 2) How does the minimum detectable change in forest SOC respond to changes in sampling intervals and/or sample point density? Soil samples in the FIA database represent 0-10 cm and 10-20 cm depth increments with a 10-year sampling interval. We are investigating the variability of SOC and its change over time for composite soil data in each FIA region (Pacific Northwest, Interior West, Northern, and Southern). To guide future sampling efforts, we are employing statistical power analysis to examine the minimum detectable change in SOC storage. We are also investigating the sensitivity of SOC storage changes under various scenarios of sample size and/or sample frequency. This research will inform the design of future FIA soil sampling schemes and improve the information available to international policy makers, university and industry partners, and the public.

  14. Pharmacogenetics of efficacy and safety of HCV treatment in HCV-HIV coinfected patients: significant associations with IL28B and SOCS3 gene variants.

    Directory of Open Access Journals (Sweden)

    Francesc Vidal

    Full Text Available This was a safety and efficacy pharmacogenetic study of a previously performed randomized trial which compared the effectiveness of treatment of hepatitis C virus infection with pegylated interferon alpha (pegIFNα 2a vs. 2b, both with ribavirin, for 48 weeks, in HCV-HIV coinfected patients.The study groups were made of 99 patients (efficacy pharmacogenetic substudy and of 114 patients (safety pharmacogenetic substudy. Polymorphisms in the following candidate genes IL28B, IL6, IL10, TNFα, IFNγ, CCL5, MxA, OAS1, SOCS3, CTLA4 and ITPA were assessed. Genotyping was carried out using Sequenom iPLEX-Gold, a single-base extension polymerase chain reaction. Efficacy end-points assessed were: rapid, early and sustained virological response (RVR, EVR and SVR, respectively. Safety end-points assessed were: anemia, neutropenia, thrombocytopenia, flu-like syndrome, gastrointestinal disturbances and depression. Chi square test, Student's T test, Mann-Whitney U test and logistic regression were used for statistic analyses.As efficacy is concerned, IL28B and CTLA4 gene polymorphisms were associated with RVR (p<0.05 for both comparisons. Nevertheless, only polymorphism in the IL28B gene was associated with SVR (p = 0.004. In the multivariate analysis, the only gene independently associated with SVR was IL28B (OR 2.61, 95%CI 1.2-5.6, p = 0.01. With respect to safety, there were no significant associations between flu-like syndrome or depression and the genetic variants studied. Gastrointestinal disturbances were associated with ITPA gene polymorphism (p = 0.04. Anemia was associated with OAS1 and CTLA4 gene polymorphisms (p = 0.049 and p = 0.045, respectively, neutropenia and thromobocytopenia were associated with SOCS3 gene polymorphism (p = 0.02 and p = 0.002, respectively. In the multivariate analysis, the associations of the SOCS3 gene polymorphism with neutropenia (OR 0.26, 95%CI 0.09-0.75, p = 0.01 and thrombocytopenia (OR

  15. Stochastic Oscillation in Self-Organized Critical States of Small Systems: Sensitive Resting State in Neural Systems.

    Science.gov (United States)

    Wang, Sheng-Jun; Ouyang, Guang; Guang, Jing; Zhang, Mingsha; Wong, K Y Michael; Zhou, Changsong

    2016-01-08

    Self-organized critical states (SOCs) and stochastic oscillations (SOs) are simultaneously observed in neural systems, which appears to be theoretically contradictory since SOCs are characterized by scale-free avalanche sizes but oscillations indicate typical scales. Here, we show that SOs can emerge in SOCs of small size systems due to temporal correlation between large avalanches at the finite-size cutoff, resulting from the accumulation-release process in SOCs. In contrast, the critical branching process without accumulation-release dynamics cannot exhibit oscillations. The reconciliation of SOCs and SOs is demonstrated both in the sandpile model and robustly in biologically plausible neuronal networks. The oscillations can be suppressed if external inputs eliminate the prominent slow accumulation process, providing a potential explanation of the widely studied Berger effect or event-related desynchronization in neural response. The features of neural oscillations and suppression are confirmed during task processing in monkey eye-movement experiments. Our results suggest that finite-size, columnar neural circuits may play an important role in generating neural oscillations around the critical states, potentially enabling functional advantages of both SOCs and oscillations for sensitive response to transient stimuli.

  16. Addition of docetaxel and/or zoledronic acid to standard of care for hormone-naive prostate cancer: a cost-effectiveness analysis.

    Science.gov (United States)

    Zhang, Pengfei; Wen, Feng; Fu, Ping; Yang, Yu; Li, Qiu

    2017-07-31

    The effectiveness of the addition of docetaxel and/or zoledronic acid to the standard of care (SOC) for hormone-naive prostate cancer has been evaluated in the STAMPEDE trial. The object of the present analysis was to evaluate the cost-effectiveness of these treatment options in the treatment of advanced hormone-naive prostate cancer in China. A cost-effectiveness analysis using a Markov model was carried out from the Chinese societal perspective. The efficacy data were obtained from the STAMPEDE trial and health utilities were derived from previous studies. Transition probabilities were calculated based on the survival in each group. The primary endpoint in the analysis was the incremental cost-effectiveness ratio (ICER), and model uncertainties were explored by 1-way sensitivity analysis and probabilistic sensitivity analysis. SOC alone generated an effectiveness of 2.65 quality-adjusted life years (QALYs) at a lifetime cost of $20,969.23. At a cost of $25,001.34, SOC plus zoledronic acid was associated with 2.69 QALYs, resulting in an ICER of $100,802.75/QALY compared with SOC alone. SOC plus docetaxel gained an effectiveness of 2.85 QALYs at a cost of $28,764.66, while the effectiveness and cost data in the SOC plus zoledronic acid/docetaxel group were 2.78 QALYs and $32,640.95. Based on the results of the analysis, SOC plus zoledronic acid, SOC plus docetaxel, and SOC plus zoledronic acid/docetaxel are unlikely to be cost-effective options in patients with advanced hormone-naive prostate cancer compared with SOC alone.

  17. Test-Access Planning and Test Scheduling for Embedded Core-Based System Chips

    NARCIS (Netherlands)

    Goel, Sandeep Kumar

    2005-01-01

    Advances in the semiconductor process technology enable the creation of a complete system on one single die, the so-called system chip or SOC. To reduce time-to-market for large SOCs, reuse of pre-designed and pre-veried blocks called cores is employed. Like the design style, testing of SOCs can be

  18. Preliminary Electrochemical Characterization of Anode Supported Solid Oxide Cell (AS-SOC) Produced in the Institute of Power Engineering Operated in Electrolysis Mode (SOEC)

    Science.gov (United States)

    Kupecki, Jakub; Motyliński, Konrad; Skrzypkiewicz, Marek; Wierzbicki, Michał; Naumovich, Yevgeniy

    2017-12-01

    The article discusses the operation of solid oxide electrochemical cells (SOC) developed in the Institute of Power Engineering as prospective key components of power-to-gas systems. The fundamentals of the solid oxide cells operated as fuel cells (SOFC - solid oxide fuel cells) and electrolysers (SOEC - solid oxide fuel cells) are given. The experimental technique used for electrochemical characterization of cells is presented. The results obtained for planar cell with anodic support are given and discussed. Based on the results, the applicability of the cells in power-to-gas systems (P2G) is evaluated.

  19. An improved PNGV modeling and SOC estimation for lithium iron phosphate batteries

    Science.gov (United States)

    Li, Peng

    2017-11-01

    Because lithium iron phosphate battery has many advantages, it has been used more and more widely in the field of electric vehicle. The lithium iron phosphate battery, presents the improved PNGV model, and the batteries charge discharge characteristics and pulse charge discharge experiments, identification of parameters of the battery model by interpolation and least square fitting method, to achieve a more accurate modeling of lithium iron phosphate battery, and the extended Calman filter algorithm (EKF) is completed state nuclear power battery (SOC) estimate.

  20. Soil organic matter composition from correlated thermal analysis and nuclear magnetic resonance data in Australian national inventory of agricultural soils

    Science.gov (United States)

    Moore, T. S.; Sanderman, J.; Baldock, J.; Plante, A. F.

    2016-12-01

    National-scale inventories typically include soil organic carbon (SOC) content, but not chemical composition or biogeochemical stability. Australia's Soil Carbon Research Programme (SCaRP) represents a national inventory of SOC content and composition in agricultural systems. The program used physical fractionation followed by 13C nuclear magnetic resonance (NMR) spectroscopy. While these techniques are highly effective, they are typically too expensive and time consuming for use in large-scale SOC monitoring. We seek to understand if analytical thermal analysis is a viable alternative. Coupled differential scanning calorimetry (DSC) and evolved gas analysis (CO2- and H2O-EGA) yields valuable data on SOC composition and stability via ramped combustion. The technique requires little training to use, and does not require fractionation or other sample pre-treatment. We analyzed 300 agricultural samples collected by SCaRP, divided into four fractions: whole soil, coarse particulates (POM), untreated mineral associated (HUM), and hydrofluoric acid (HF)-treated HUM. All samples were analyzed by DSC-EGA, but only the POM and HF-HUM fractions were analyzed by NMR. Multivariate statistical analyses were used to explore natural clustering in SOC composition and stability based on DSC-EGA data. A partial least-squares regression (PLSR) model was used to explore correlations among the NMR and DSC-EGA data. Correlations demonstrated regions of combustion attributable to specific functional groups, which may relate to SOC stability. We are increasingly challenged with developing an efficient technique to assess SOC composition and stability at large spatial and temporal scales. Correlations between NMR and DSC-EGA may demonstrate the viability of using thermal analysis in lieu of more demanding methods in future large-scale surveys, and may provide data that goes beyond chemical composition to better approach quantification of biogeochemical stability.

  1. SEMICONDUCTOR INTEGRATED CIRCUITS A 10-bit 200-kS/s SAR ADC IP core for a touch screen SoC

    Science.gov (United States)

    Xingyuan, Tong; Yintang, Yang; Zhangming, Zhu; Wenfang, Sheng

    2010-10-01

    Based on a 5 MSBs (most-significant-bits)-plus-5 LSBs (least-significant-bits) C-R hybrid D/A conversion and low-offset pseudo-differential comparison approach, with capacitor array axially symmetric layout topology and resistor string low gradient mismatch placement method, an 8-channel 10-bit 200-kS/s SAR ADC (successive-approximation-register analog-to-digital converter) IP core for a touch screen SoC (system-on-chip) is implemented in a 0.18 μm 1P5M CMOS logic process. Design considerations for the touch screen SAR ADC are included. With a 1.8 V power supply, the DNL (differential non-linearity) and INL (integral non-linearity) of this converter are measured to be about 0.32 LSB and 0.81 LSB respectively. With an input frequency of 91 kHz at 200-kS/s sampling rate, the spurious-free dynamic range and effective-number-of-bits are measured to be 63.2 dB and 9.15 bits respectively, and the power is about 136 μW. This converter occupies an area of about 0.08 mm2. The design results show that it is very suitable for touch screen SoC applications.

  2. Early secreted antigen ESAT-6 of Mycobacterium Tuberculosis promotes apoptosis of macrophages via targeting the microRNA155-SOCS1 interaction.

    Science.gov (United States)

    Yang, Shaojun; Li, Fake; Jia, Shuangrong; Zhang, Kejun; Jiang, Wenbing; Shang, Ya; Chang, Kai; Deng, Shaoli; Chen, Ming

    2015-01-01

    The early secreted antigenic target 6-kDa protein (ESAT-6) of Mycobacterium tuberculosis (Mtb) not only acts as a key player for virulence but also exhibits a strong immunotherapeutic potential against Mtb. However, little is known about the molecular basis for its potential in immunotherapy. The present study was designed to unravel the role of miRNA-155 in ESAT-6-mediated enhancement of host immunity and apoptosis in macrophages. Lentivirus-mediated miR-155 sponge and miR-155 and SOCS1 overexpression vectors were developed in macrophages. TLR2- or p65-specific siRNA knockdown was employed to silence TLR2 or p65. Quantitative polymerase chain reaction and western blotting analyses were performed to determine mRNA and protein expression levels, respectively. Macrophage apoptosis was analyzed by flow cytometry. ESAT-6 significantly increased miR-155 expression, which was dependent on TLR2/NF-κB activation in macrophages. Induced expression of miRNA-155 was required for the ESAT-6-mediated protective immune response and macrophage apoptosis. ESAT-6 promoted macrophage apoptosis by targeting the miR-155-SOCS1 pathway. The differential expression levels of TLR2, BIC, and SOCS1 were involved in regulating the immune response in human peripheral blood mononuclear cells of patients with active tuberculosis (TB) and latent TB (LTB). ESAT-6 promotes apoptosis of macrophages via targeting the miRNA155-SOCS1 interaction. © 2015 S. Karger AG, Basel.

  3. Early Secreted Antigen ESAT-6 of Mycobacterium Tuberculosis Promotes Apoptosis of Macrophages via Targeting the MicroRNA155-SOCS1 Interaction

    Directory of Open Access Journals (Sweden)

    Shaojun Yang

    2015-02-01

    Full Text Available Background: The early secreted antigenic target 6-kDa protein (ESAT-6 of Mycobacterium tuberculosis (Mtb not only acts as a key player for virulence but also exhibits a strong immunotherapeutic potential against Mtb. However, little is known about the molecular basis for its potential in immunotherapy. The present study was designed to unravel the role of miRNA-155 in ESAT-6-mediated enhancement of host immunity and apoptosis in macrophages. Methods: Lentivirus-mediated miR-155 sponge and miR-155 and SOCS1 overexpression vectors were developed in macrophages. TLR2- or p65-specific siRNA knockdown was employed to silence TLR2 or p65. Quantitative polymerase chain reaction and western blotting analyses were performed to determine mRNA and protein expression levels, respectively. Macrophage apoptosis was analyzed by flow cytometry. Results: ESAT-6 significantly increased miR-155 expression, which was dependent on TLR2/NF-κB activation in macrophages. Induced expression of miRNA-155 was required for the ESAT-6-mediated protective immune response and macrophage apoptosis. ESAT-6 promoted macrophage apoptosis by targeting the miR-155-SOCS1 pathway. The differential expression levels of TLR2, BIC, and SOCS1 were involved in regulating the immune response in human peripheral blood mononuclear cells of patients with active tuberculosis (TB and latent TB (LTB. Conclusion: ESAT-6 promotes apoptosis of macrophages via targeting the miRNA155-SOCS1 interaction.

  4. Power and Thermal Management of System-on-Chip

    DEFF Research Database (Denmark)

    Liu, Wei

    , are necessary at the chip design level. In this work, we investigate the power and thermal management of System-on- Chips (SoCs). Thermal analysis is performed in a SPICE simulation approach based on the electrical-thermal analogy. We investigate the impact of inter- connects on heat distribution...

  5. Angiotensin II (AngII) induces the expression of suppressor of cytokine signaling (SOCS)-3 in rat hypothalamus - a mechanism for desensitization of AngII signaling.

    Science.gov (United States)

    Torsoni, Márcio A; Carvalheira, José B; Calegari, Vivian C; Bezerra, Rosangela M N; Saad, Mário J A; Gontijo, José A; Velloso, Lício A

    2004-04-01

    Angiotensin II exerts a potent dypsogenic stimulus on the hypothalamus, which contributes to its centrally mediated participation in the control of water balance and blood pressure. Repetitive intracerebroventricular (i.c.v.) injections of angiotensin II lead to a loss of effect characterized as physiological desensitization to the peptide's action. In the present study, we demonstrate that angiotensin II induces the expression of suppressor of cytokine signaling (SOCS)-3 via angiotensin receptor 1 (AT1) and JAK-2, mostly located at the median preoptic lateral and anterodorsal preoptic nuclei. SOCS-3 produces an inhibitory effect upon the signal transduction pathways of several cytokines and hormones that employ members of the JAK/STAT families as intermediaries. The partial inhibition of SOCS-3 translation by antisense oligonucleotide was sufficient to significantly reduce the refractoriness of repetitive i.c.v. angiotensin II injections, as evaluated by water ingestion. Thus, by acting through AT1 on the hypothalamus, angiotensin II induces the expression of SOCS-3 which, in turn, blocks further activation of the pathway and consequently leads to desensitization to angiotensin II stimuli concerning its dypsogenic effect.

  6. Modeling soil organic carbon stocks and changes in Spain using the GEFSOC system

    Science.gov (United States)

    Álvaro-Fuentes, Jorge; Easter, Mark; Cantero-Martínez, Carlos; Paustian, Keith

    2010-05-01

    Currently, there is little information about soil organic carbon (SOC) stocks in Spain. To date the effects of land-use and soil management on SOC stocks in Spain have been evaluated in experimental fields under certain soil and climate conditions. However, these field experiments do not account for the spatial variability in management, cropping systems and soil and climate characteristics that exist in the whole territory. More realistic approaches like ecosystem-level dynamic simulation systems linked to geographic information systems (GIS) allow better assessments of SOC stocks at a regional or national level. The Global Environmental Facility Soil Organic Carbon (GEFSOC) system was recently built for this purpose (Milne et al., 2007) and it incorporates three widely used models for estimating SOC dynamics: (a) the Century ecosystem model; (b) the RothC soil C decomposition model; and (c) the Intergovernmental Panel on Climate Change (IPCC) method for assessing soil C at regional scales. We modeled 9.5 Mha in northeast Spain using the GEFSOC system to predict SOC stocks and changes comprising: pasture, forest, cereal-fallow, cereal monoculture, orchards, rice, irrigated land and grapes and olives. The spatial distribution of the different land use categories and their change over time was obtained from the European Corine database and from Spanish census data on land use from 1926 to 2007. At the same time, current and historical management information was collected from different sources in order to have a fairly well picture of changes in land use and management for this area. Soil parameters needed by the system were obtained from the European soil map (1 km x 1 km) and climate data was produced by the Meteorology State Agency (Ministry of the Environment and Rural and Marine Environs of Spain). The SOC stocks simulated were validated with SOC values from the European SOC map and from other national studies. Modeled SOC results suggested that spatial

  7. A method for the densification of ceramic layers, especially ceramic layers within solid oxide cell (SOC) technology, and products obtained by the method

    DEFF Research Database (Denmark)

    2013-01-01

    A ceramic layer, especially for use in solid oxide cell (SOC) technology, is densified in a method comprising (a) providing a multilayer system by depositing the porous ceramic layer, which is to be densified, onto the selected system of ceramic layers on a support, (b) pre-sintering the resulting......(s) in the porous layer surface and (e) performing a thermal treatment at a temperature T2, where T2 > ?1, to obtain densification of and grain growth in the porous layer formed in step (b). The method makes it possible to obtain dense ceramic layers at temperatures, which are compatible with the other materials...... present in a ceramic multilayer system....

  8. Thermal Analysis of MIRIS Space Observation Camera for Verification of Passive Cooling

    Directory of Open Access Journals (Sweden)

    Duk-Hang Lee

    2012-09-01

    Full Text Available We conducted thermal analyses and cooling tests of the space observation camera (SOC of the multi-purpose infrared imaging system (MIRIS to verify passive cooling. The thermal analyses were conducted with NX 7.0 TMG for two cases of attitude of the MIRIS: for the worst hot case and normal case. Through the thermal analyses of the flight model, it was found that even in the worst case the telescope could be cooled to less than 206°K. This is similar to the results of the passive cooling test (~200.2°K. For the normal attitude case of the analysis, on the other hand, the SOC telescope was cooled to about 160°K in 10 days. Based on the results of these analyses and the test, it was determined that the telescope of the MIRIS SOC could be successfully cooled to below 200°K with passive cooling. The SOC is, therefore, expected to have optimal performance under cooled conditions in orbit.

  9. Insulin resistance, adipokine profile and hepatic expression of SOCS-3 gene in chronic hepatitis C.

    Science.gov (United States)

    Wójcik, Kamila; Jabłonowska, Elżbieta; Omulecka, Aleksandra; Piekarska, Anna

    2014-08-14

    To analyze adipokine concentrations, insulin resistance and hepatic expression of suppressor of cytokine signaling 3 (SOCS-3) in patients with chronic hepatitis C genotype 1 with normal body weight, glucose and lipid profile. The study group consisted of 31 patients with chronic hepatitis C and 9 healthy subjects. Total levels of adiponectin, leptin, resistin, visfatin, omentin, osteopontin and insulin were measured using an ELISA kit. The hepatic expression of SOCS-3 was determined by the use of the reverse transcription polymerase chain reaction method. Homeostasis model assessment for insulin resistance (HOMA-IR) values were significantly higher in hepatitis C virus (HCV) infected patients without metabolic disorders compared to healthy controls (2.24 vs 0.59, P = 0.0003). Hepatic steatosis was observed in 32.2% of patients with HCV infection and was found in patients with increased HOMA-IR index (2.81 vs 1.99, P = 0.05) and reduced adiponectin level (5.96 vs 8.37, P = 0.04). Inflammatory activity (G ≥ 2) was related to increased osteopontin concentration (34.04 vs 23.35, P = 0.03). Advanced liver fibrosis (S ≥ 2) was associated with increased levels of omentin and osteopontin (436.94 vs 360.09, P = 0.03 and 32.84 vs 20.29, P = 0.03) and reduced resistin concentration (1.40 vs 1.74, P = 0.047). No correlations were reported between adipokine profile, HOMA-IR values and hepatic expression of the SOCS-3 gene. We speculated that no relationship between adipokines and HOMA-IR values may indicate that HCV can induce insulin resistance itself. Some adipokines appear to be biochemical markers of steatosis, inflammation and fibrosis in patients with chronic HCV infection. © 2014 Baishideng Publishing Group Inc. All rights reserved.

  10. Postlingual deaf speech and the role of audition in speech production: comments on Waldstein's paper [R.S. Waldstein, J. Acoust. Soc. Am. 88, 2099-2114 (1990)].

    Science.gov (United States)

    Sapir, S; Canter, G J

    1991-09-01

    Using acoustic analysis techniques, Waldstein [J. Acoust. Soc. Am. 88, 2099-2114 (1990] reported abnormal speech findings in postlingual deaf speakers. She interpreted her findings to suggest that auditory feedback is important in motor speech control. However, it is argued here that Waldstein's interpretation may be unwarranted without addressing the possibility of neurologic deficits (e.g., dysarthria) as confounding (or even primary) causes of the abnormal speech in her subjects.

  11. A model based on Rock-Eval thermal analysis to quantify the size of the centennially persistent organic carbon pool in temperate soils

    Science.gov (United States)

    Cécillon, Lauric; Baudin, François; Chenu, Claire; Houot, Sabine; Jolivet, Romain; Kätterer, Thomas; Lutfalla, Suzanne; Macdonald, Andy; van Oort, Folkert; Plante, Alain F.; Savignac, Florence; Soucémarianadin, Laure N.; Barré, Pierre

    2018-05-01

    Changes in global soil carbon stocks have considerable potential to influence the course of future climate change. However, a portion of soil organic carbon (SOC) has a very long residence time ( > 100 years) and may not contribute significantly to terrestrial greenhouse gas emissions during the next century. The size of this persistent SOC reservoir is presumed to be large. Consequently, it is a key parameter required for the initialization of SOC dynamics in ecosystem and Earth system models, but there is considerable uncertainty in the methods used to quantify it. Thermal analysis methods provide cost-effective information on SOC thermal stability that has been shown to be qualitatively related to SOC biogeochemical stability. The objective of this work was to build the first quantitative model of the size of the centennially persistent SOC pool based on thermal analysis. We used a unique set of 118 archived soil samples from four agronomic experiments in northwestern Europe with long-term bare fallow and non-bare fallow treatments (e.g., manure amendment, cropland and grassland) as a sample set for which estimating the size of the centennially persistent SOC pool is relatively straightforward. At each experimental site, we estimated the average concentration of centennially persistent SOC and its uncertainty by applying a Bayesian curve-fitting method to the observed declining SOC concentration over the duration of the long-term bare fallow treatment. Overall, the estimated concentrations of centennially persistent SOC ranged from 5 to 11 g C kg-1 of soil (lowest and highest boundaries of four 95 % confidence intervals). Then, by dividing the site-specific concentrations of persistent SOC by the total SOC concentration, we could estimate the proportion of centennially persistent SOC in the 118 archived soil samples and the associated uncertainty. The proportion of centennially persistent SOC ranged from 0.14 (standard deviation of 0.01) to 1 (standard deviation

  12. A model based on Rock-Eval thermal analysis to quantify the size of the centennially persistent organic carbon pool in temperate soils

    Directory of Open Access Journals (Sweden)

    L. Cécillon

    2018-05-01

    Full Text Available Changes in global soil carbon stocks have considerable potential to influence the course of future climate change. However, a portion of soil organic carbon (SOC has a very long residence time ( >  100 years and may not contribute significantly to terrestrial greenhouse gas emissions during the next century. The size of this persistent SOC reservoir is presumed to be large. Consequently, it is a key parameter required for the initialization of SOC dynamics in ecosystem and Earth system models, but there is considerable uncertainty in the methods used to quantify it. Thermal analysis methods provide cost-effective information on SOC thermal stability that has been shown to be qualitatively related to SOC biogeochemical stability. The objective of this work was to build the first quantitative model of the size of the centennially persistent SOC pool based on thermal analysis. We used a unique set of 118 archived soil samples from four agronomic experiments in northwestern Europe with long-term bare fallow and non-bare fallow treatments (e.g., manure amendment, cropland and grassland as a sample set for which estimating the size of the centennially persistent SOC pool is relatively straightforward. At each experimental site, we estimated the average concentration of centennially persistent SOC and its uncertainty by applying a Bayesian curve-fitting method to the observed declining SOC concentration over the duration of the long-term bare fallow treatment. Overall, the estimated concentrations of centennially persistent SOC ranged from 5 to 11 g C kg−1 of soil (lowest and highest boundaries of four 95 % confidence intervals. Then, by dividing the site-specific concentrations of persistent SOC by the total SOC concentration, we could estimate the proportion of centennially persistent SOC in the 118 archived soil samples and the associated uncertainty. The proportion of centennially persistent SOC ranged from 0.14 (standard deviation

  13. Digital approach for the design of statistical analog data acquisition on SoCs

    OpenAIRE

    Adao Antonio de Souza Junior

    2005-01-01

    With the current demand for mixed-signal SoCs, an increasing number of designers are looking for ADC architectures that can be easily implemented over digital substrates. Since ADC performance is strongly dependent upon physical and electrical features, it gets more difficult for them to benefit from more recent technologies, where these features are more variable. This way, analog signal acquisition is not allowed to follow an evolutionary trend compatible with Moore’s Law. In fact, such tre...

  14. Comments on "Precise model measurements versus theoretical prediction of barrier insertion loss in presence of the ground" [J. Acoust. Soc. Am. 73, 44–54 (1983)

    DEFF Research Database (Denmark)

    Rasmussen, Karsten Bo

    1983-01-01

    Some of the theoretical curves in the article by J. Nicolas et al. [J. Acoust. soc. Am. 73, 44–54 (1983)] appear to be erroneous.......Some of the theoretical curves in the article by J. Nicolas et al. [J. Acoust. soc. Am. 73, 44–54 (1983)] appear to be erroneous....

  15. Straw incorporation increases crop yield and soil organic carbon sequestration but varies under different natural conditions and farming practices in China: a system analysis

    OpenAIRE

    Han, Xiao; Xu, Cong; Dungait, Jennifer A. J.; Bol, Roland; Wang, Xiaojie; Wu, Wenliang; Meng, Fanqiao

    2018-01-01

    Loss of soil organic carbon (SOC) from agricultural soils is a key indicator of soil degradation associated with reductions in net primary productivity in crop production systems worldwide. Technically simple and locally appropriate solutions are required for farmers to increase SOC and to improve cropland management. In the last 30 years, straw incorporation (SI) has gradually been implemented across China in the context of agricultural intensification and rural liveliho...

  16. Study of Reversible Logic Synthesis with Application in SOC: A Review

    Science.gov (United States)

    Sharma, Chinmay; Pahuja, Hitesh; Dadhwal, Mandeep; Singh, Balwinder

    2017-08-01

    The prime concern in today’s SOC designs is the power dissipation which increases with technology scaling. The reversible logic possesses very high potential in reducing power dissipation in these designs. It finds its application in latest research fields such as DNA computing, quantum computing, ultra-low power CMOS design and nanotechnology. The reversible circuits can be easily designed using the conventional CMOS technology at a cost of a garbage output which maintains the reversibility. The purpose of this paper is to provide an overview of the developments that have occurred till date in this concept and how the new reversible logic gates are used to design the logic functions.

  17. Protein Interaction Screening for the Ankyrin Repeats and Suppressor of Cytokine Signaling (SOCS) Box (ASB) Family Identify Asb11 as a Novel Endoplasmic Reticulum Resident Ubiquitin Ligase

    DEFF Research Database (Denmark)

    Andresen, Christina Aaen; Smedegaard, Stine; Sylvestersen, Kathrine Beck

    2014-01-01

    The Ankyrin and SOCS (Suppressor of Cytokine Signaling) box (ASB) family of proteins function as the substrate recognition subunit in a subset of Elongin-Cullin-SOCS (ECS) E3 ubiquitin ligases. Despite counting with 18 members in humans, the identity of the physiological targets of the Asb protei...

  18. Observation of self-organized criticality (SOC) behavior during edge biasing experiment on TEXTOR

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.H.; Jachmich, S.; Weynants, R.R. [Ecole Royale Militaire/Koninklijke Militaire School, Laboratory for Plasma Physics, Euratom-Belgian State Association, Brussels, Belgium, Partner in the Trilateral Euregio Cluster (Belgium)

    2004-07-01

    The self-organized criticality (SOC) behavior of the edge plasma transport has been investigated using the fluctuation data measured in the plasma edge and the scrape-off layer of TEXTOR tokamak before and during the edge electrode biasing experiments. In the 'non-shear' discharge phase before biasing, both the potential and density fluctuations clearly exhibit some of the characteristics associated with SOC: (1) existence of f{sup -1} power-law dependence in the frequency spectrum, (2) slowly decaying long tails in the autocorrelation function, (3) values of Hurst parameters larger than 0.5 at all the detected radial locations, (4) non-Gaussian probability density function of fluctuations and (5) radial propagation of avalanche-like events in the edge plasma area. During the biasing phase, with the generation of an edge radial electric field E{sub r} and hence a sheared E{sub r} x B flow, the local turbulence is found to be well de-correlated by the E{sub r} x B velocity shear, consistent with theoretical predictions. Nevertheless, it is concomitantly found that the Hurst parameters are substantially enhanced in the negative flow shear region and in the scrape-off layer as well, which is contrary to theoretical expectation. Implication of these observations to our understanding of plasma transport mechanisms is discussed. (authors)

  19. Assessment of the Economic Impact of Belimumab for the Treatment of Systemic Lupus Erythematosus in the Italian Setting: A Cost-Effectiveness Analysis.

    Science.gov (United States)

    Pierotti, Francesca; Palla, Ilaria; Treur, Maarten; Pippo, Lara; Turchetti, Giuseppe

    2015-01-01

    The purpose of this analysis is to evaluate the cost-effectiveness of belimumab, a new biological treatment specifically developed for the treatment of Systemic Lupus Erythematosus (SLE), in the Italian setting. SLE is a chronic non-organ specific autoimmune disease characterized by a disregulation of the immune system that involves many organs and systems. A cost-effectiveness micro-simulation model with a lifetime horizon originally developed for the UK was adapted to the Italian setting. The analysis compared Standard of Care (SoC) alone vs belimumab plus SoC from a National Healthcare Service (NHS) and societal perspective. Health-economic consequences of treatments and organ damage progression were calculated. When available, Italian data were used, otherwise UK costs were converted using Purchasing Power Parities (PPPs). Utility values were based on the EQ-5D™ assessments in the belimumab clinical trials (BLISS 52 and 76). Results were discounted with 3% for costs and effects. A maximum belimumab treatment duration of 6 years was assumed and wastage costs were considered. Cost per life year gained (Incremental Cost-Effectiveness Ratio, ICER) and cost per Quality Adjusted Life Year (QALY) (Incremental Cost-Utility Ratio, ICUR) were €22,990 and €32,859, respectively. These values reduced to €20,119 and €28,754, respectively, when indirect costs were included. It may be concluded that in the Italian setting and according to the guidelines of the Italian Association of Health Economics (IAHE), belimumab was shown to be cost-effective, in terms of both ICER and ICUR, (€25-40,000/QALY).

  20. Assessment of the Economic Impact of Belimumab for the Treatment of Systemic Lupus Erythematosus in the Italian Setting: A Cost-Effectiveness Analysis.

    Directory of Open Access Journals (Sweden)

    Francesca Pierotti

    Full Text Available The purpose of this analysis is to evaluate the cost-effectiveness of belimumab, a new biological treatment specifically developed for the treatment of Systemic Lupus Erythematosus (SLE, in the Italian setting. SLE is a chronic non-organ specific autoimmune disease characterized by a disregulation of the immune system that involves many organs and systems.A cost-effectiveness micro-simulation model with a lifetime horizon originally developed for the UK was adapted to the Italian setting. The analysis compared Standard of Care (SoC alone vs belimumab plus SoC from a National Healthcare Service (NHS and societal perspective. Health-economic consequences of treatments and organ damage progression were calculated. When available, Italian data were used, otherwise UK costs were converted using Purchasing Power Parities (PPPs. Utility values were based on the EQ-5D™ assessments in the belimumab clinical trials (BLISS 52 and 76. Results were discounted with 3% for costs and effects. A maximum belimumab treatment duration of 6 years was assumed and wastage costs were considered.Cost per life year gained (Incremental Cost-Effectiveness Ratio, ICER and cost per Quality Adjusted Life Year (QALY (Incremental Cost-Utility Ratio, ICUR were €22,990 and €32,859, respectively. These values reduced to €20,119 and €28,754, respectively, when indirect costs were included.It may be concluded that in the Italian setting and according to the guidelines of the Italian Association of Health Economics (IAHE, belimumab was shown to be cost-effective, in terms of both ICER and ICUR, (€25-40,000/QALY.

  1. The ZYNQ book embedded processing with the ARM Cortex-A9 on the Xilinx Zynq-7000 all programmable SoC

    CERN Document Server

    Crockett, Louise H; Enderwitz, Martin A; Stewart, Robert W

    2014-01-01

    This book is about the Zynq-7000 All Programmable System on Chip, the family of devices from Xilinx that combines an application-grade ARM Cortex-A9 processor with traditional FPGA logic fabric. Catering for both new and experienced readers, it covers fundamental issues in an accessible way, starting with a clear overview of the device architecture, and an introduction to the design tools and processes for developing a Zynq SoC. Later chapters progress to more advanced topics such as embedded systems development, IP block design and operating systems. Maintaining a 'real-world' perspective, the book also compares Zynq with other device alternatives, and considers end-user applications. The Zynq Book is accompanied by a set of practical tutorials hosted on a companion website. These tutorials will guide the reader through first steps with Zynq, following on to a complete, audio-based embedded systems design.

  2. An ecological approach to evaluating a system of care program: dollars making sense.

    Science.gov (United States)

    Green, Denise M; Twill, Sarah E; Nackerud, Larry; Holosko, Michael

    2014-01-01

    System of care (SOC) models in North America were developed in response to the needs of children with a severe emotional disturbance. Such children experience problems across life spheres including issues at home that put them at risk of abuse and neglect, difficulties at school including special education classification and dropping-out, and involvement with the juvenile courts. SOC evaluations and research suggests that an overreliance of evaluative research efforts on standardized scales and preconceived measurable outcomes have resulted in a loss of other important data. This study's confirmatory and holistic approach to evaluation illuminates important information concerning commonly ignored variables when using traditional evaluation models. The evaluative research study described focuses on three often overlooked behavioral variables in one SOC initiative, KidsNet Georgia, of Rockdale County, GA. These variables are: (a) using cohort analysis over time; (b) costing out services utilized; and (c) focusing on behavioral indicators and chance over time. The evaluative strategy, data collection, data, and cost analysis are discussed along with implications for practice with severe emotional disturbance youth and their families.

  3. Magnetospheric Multiscale Instrument Suite Operations and Data System

    Science.gov (United States)

    Baker, D. N.; Riesberg, L.; Pankratz, C. K.; Panneton, R. S.; Giles, B. L.; Wilder, F. D.; Ergun, R. E.

    2016-03-01

    The four Magnetospheric Multiscale (MMS) spacecraft will collect a combined volume of ˜100 gigabits per day of particle and field data. On average, only 4 gigabits of that volume can be transmitted to the ground. To maximize the scientific value of each transmitted data segment, MMS has developed the Science Operations Center (SOC) to manage science operations, instrument operations, and selection, downlink, distribution, and archiving of MMS science data sets. The SOC is managed by the Laboratory for Atmospheric and Space Physics (LASP) in Boulder, Colorado and serves as the primary point of contact for community participation in the mission. MMS instrument teams conduct their operations through the SOC, and utilize the SOC's Science Data Center (SDC) for data management and distribution. The SOC provides a single mission data archive for the housekeeping and science data, calibration data, ephemerides, attitude and other ancillary data needed to support the scientific use and interpretation. All levels of data products will reside at and be publicly disseminated from the SDC. Documentation and metadata describing data products, algorithms, instrument calibrations, validation, and data quality will be provided. Arguably, the most important innovation developed by the SOC is the MMS burst data management and selection system. With nested automation and "Scientist-in-the-Loop" (SITL) processes, these systems are designed to maximize the value of the burst data by prioritizing the data segments selected for transmission to the ground. This paper describes the MMS science operations approach, processes and data systems, including the burst system and the SITL concept.

  4. Modeling Spatial Patterns of Soil Respiration in Maize Fields from Vegetation and Soil Property Factors with the Use of Remote Sensing and Geographical Information System

    Science.gov (United States)

    Huang, Ni; Wang, Li; Guo, Yiqiang; Hao, Pengyu; Niu, Zheng

    2014-01-01

    To examine the method for estimating the spatial patterns of soil respiration (Rs) in agricultural ecosystems using remote sensing and geographical information system (GIS), Rs rates were measured at 53 sites during the peak growing season of maize in three counties in North China. Through Pearson's correlation analysis, leaf area index (LAI), canopy chlorophyll content, aboveground biomass, soil organic carbon (SOC) content, and soil total nitrogen content were selected as the factors that affected spatial variability in Rs during the peak growing season of maize. The use of a structural equation modeling approach revealed that only LAI and SOC content directly affected Rs. Meanwhile, other factors indirectly affected Rs through LAI and SOC content. When three greenness vegetation indices were extracted from an optical image of an environmental and disaster mitigation satellite in China, enhanced vegetation index (EVI) showed the best correlation with LAI and was thus used as a proxy for LAI to estimate Rs at the regional scale. The spatial distribution of SOC content was obtained by extrapolating the SOC content at the plot scale based on the kriging interpolation method in GIS. When data were pooled for 38 plots, a first-order exponential analysis indicated that approximately 73% of the spatial variability in Rs during the peak growing season of maize can be explained by EVI and SOC content. Further test analysis based on independent data from 15 plots showed that the simple exponential model had acceptable accuracy in estimating the spatial patterns of Rs in maize fields on the basis of remotely sensed EVI and GIS-interpolated SOC content, with R2 of 0.69 and root-mean-square error of 0.51 µmol CO2 m−2 s−1. The conclusions from this study provide valuable information for estimates of Rs during the peak growing season of maize in three counties in North China. PMID:25157827

  5. A Real-Time evaluation system for a state-of-charge indication algorithm

    NARCIS (Netherlands)

    Pop, V.; Bergveld, H.J.; Notten, P.H.L.; Regtien, Paulus P.L.

    2005-01-01

    The known methods of State-of-Charge (SoC) indication in portable applications are not accurate enough under all practical conditions. This paper describes a real- time evaluation LabVIEW system for an SoC algorithm, that calculates the SoC in [%] and also the remaining run-time available under the

  6. A real-time evaluation system for a state-of-charge indication algorithm

    NARCIS (Netherlands)

    Pop, V.; Bergveld, H.J.; Notten, P.H.L.; Regtien, P.P.L.

    2005-01-01

    The known methods of State-of-Charge (SoC) indication in portable applications are not accurate enough under all practical conditions. This paper describes a real- time evaluation LabVIEW system for an SoC algorithm, that calculates the SoC in [%] and also the remaining run-time available under the

  7. The Multiplicative Zak Transform, Dimension Reduction, and Wavelet Analysis of LIDAR Data

    Science.gov (United States)

    2010-01-01

    systems is likely to fail. Auslander, Eichmann , Gertner, and Tolimieri defined a multiplicative Zak transform [1], mimicking the construction of the Gabor...L. Auslander, G. Eichmann , I. Gertner and R. Tolimieri, “Time-Frequency Analysis and Synthesis of Non-Stationary Signals,” Proc. Soc. Photo-Opt. In

  8. SOCS3 deficiency in leptin receptor-expressing cells mitigates the development of pregnancy-induced metabolic changes

    Directory of Open Access Journals (Sweden)

    Thais T. Zampieri

    2015-03-01

    Conclusions: Our study identified the increased hypothalamic expression of SOCS3 as a key mechanism responsible for triggering pregnancy-induced leptin resistance and metabolic adaptations. These findings not only help to explain a common phenomenon of the mammalian physiology, but it may also aid in the development of approaches to prevent and treat gestational metabolic imbalances.

  9. Noise and Spurious Tones Management Techniques for Multi-GHz RF-CMOS Frequency Synthesizers Operating in Large Mixed Analog-Digital SOCs

    Directory of Open Access Journals (Sweden)

    Maxim Adrian

    2006-01-01

    Full Text Available This paper presents circuit techniques and power supply partitioning, filtering, and regulation methods aimed at reducing the phase noise and spurious tones in frequency synthesizers operating in large mixed analog-digital system-on-chip (SOC. The different noise and spur coupling mechanisms are presented together with solutions to minimize their impact on the overall PLL phase noise performance. Challenges specific to deep-submicron CMOS integration of multi-GHz PLLs are revealed, while new architectures that address these issues are presented. Layout techniques that help reducing the parasitic noise and spur coupling between digital and analog blocks are described. Combining system-level and circuit-level low noise design methods, low phase noise frequency synthesizers were achieved which are compatible with the demanding nowadays wireless communication standards.

  10. Stochastic Modeling and Performance Analysis of Multimedia SoCs

    DEFF Research Database (Denmark)

    Raman, Balaji; Nouri, Ayoub; Gangadharan, Deepak

    2013-01-01

    solutions where each modeling technique has both the above mentioned characteristics. We present a probabilistic analytical framework and a statistical model checking approach to design system-on-chips for low-cost multimedia systems. We apply the modeling techniques to size the output buffer in a video......Reliability and flexibility are among the key required features of a framework used to model a system. Existing approaches to design resource-constrained, soft-real time systems either provide guarantees for output quality or account for loss in the system, but not both. We propose two independent...... decoder. The results shows that, for our stochastic design metric, the analytical framework upper bounds (and relatively accurate) compare to the statistical model checking technique. Also, we observed significant reduction in resource usage (such as output buffer size) with tolerable loss in output...

  11. Convergent evolution of Amadori opine catabolic systems in plasmids of Agrobacterium tumefaciens.

    Science.gov (United States)

    Baek, Chang-Ho; Farrand, Stephen K; Lee, Ko-Eun; Park, Dae-Kyun; Lee, Jeong Kug; Kim, Kun-Soo

    2003-01-01

    Deoxyfructosyl glutamine (DFG, referred to elsewhere as dfg) is a naturally occurring Amadori compound found in rotting fruits and vegetables. DFG also is an opine and is found in tumors induced by chrysopine-type strains of Agrobacterium tumefaciens. Such strains catabolize this opine via a pathway coded for by their plasmids. NT1, a derivative of the nopaline-type A. tumefaciens strain C58 lacking pTiC58, can utilize DFG as the sole carbon source. Genes for utilization of DFG were mapped to the 543-kb accessory plasmid pAtC58. Two cosmid clones of pAtC58 allowed UIA5, a plasmid-free derivative of C58, harboring pSa-C that expresses MocC (mannopine [MOP] oxidoreductase that oxidizes MOP to DFG), to grow by using MOP as the sole carbon source. Genetic analysis of subclones indicated that the genes for utilization of DFG are located in a 6.2-kb BglII (Bg2) region adjacent to repABC-type genes probably responsible for the replication of pAtC58. This region contains five open reading frames organized into at least two transcriptional soc (santhopine catabolism) groups: socR and socABCD. Nucleotide sequence analysis and analyses of transposon-insertion mutations in the region showed that SocR negatively regulates the expression of socR itself and socABCD. SocA and SocB are responsible for transport of DFG and MOP. SocA is a homolog of known periplasmic amino acid binding proteins. The N-terminal half of SocB is a homolog of the transmembrane transporter proteins for several amino acids, and the C-terminal half is a homolog of the transporter-associated ATP-binding proteins. SocC and SocD could be responsible for the enzymatic degradation of DFG, being homologs of sugar oxidoreductases and an amadoriase from Corynebacterium sp., respectively. The protein products of socABCD are not related at the amino acid sequence level to those of the moc and mot genes of Ti plasmids responsible for utilization of DFG and MOP, indicating that these two sets of genes and their

  12. The Impact of Strong Cathodic Polarization on SOC Electrolyte Materials

    DEFF Research Database (Denmark)

    Kreka, Kosova; Hansen, Karin Vels; Jacobsen, Torben

    2016-01-01

    One of the most promising reversible energy conversion/storage technologies is that of Solid Oxide Fuel/Electrolysis Cells (SOFC/SOEC, collectively termed SOC). Long term durability is typically required for such devises to become economically feasible, hence considerable amount of work has...... of impurities at the grain boundaries, electrode poisoning, delamination or cracks of the electrolyte etc., have been observed in cells operated at such conditions, lowering the lifetime of the cell1,2. High polarizations are observed at the electrolyte/cathode interface of an electrolysis cell operated at high...... current density. In case of a cell voltage above 1.6 V, p-type and n-type electronic conductivity are often observed at the anode and cathode respectively3. Hence, a considerable part of the current is lost as leakage through the electrolyte, thus lowering the efficiency of the cell considerably....

  13. Hypomethylation of inflammatory genes (COX2, EGR1, and SOCS3) and increased urinary 8-nitroguanine in arsenic-exposed newborns and children

    Energy Technology Data Exchange (ETDEWEB)

    Phookphan, Preeyaphan; Navasumrit, Panida [Laboratory of Environmental Toxicology, Chulabhorn Research Institute, Laksi, Bangkok (Thailand); Post-graduate Program in Environmental Toxicology, Chulabhorn Graduate Institute, Laksi, Bangkok (Thailand); Center of Excellence on Environmental Health, Toxicology (EHT), Office of the Higher Education Commission, Ministry of Education (Thailand); Waraprasit, Somchamai; Promvijit, Jeerawan; Chaisatra, Krittinee; Ngaotepprutaram, Thitirat [Laboratory of Environmental Toxicology, Chulabhorn Research Institute, Laksi, Bangkok (Thailand); Ruchirawat, Mathuros, E-mail: mathuros@cri.or.th [Laboratory of Environmental Toxicology, Chulabhorn Research Institute, Laksi, Bangkok (Thailand); Center of Excellence on Environmental Health, Toxicology (EHT), Office of the Higher Education Commission, Ministry of Education (Thailand)

    2017-02-01

    Early-life exposure to arsenic increases risk of developing a variety of non-malignant and malignant diseases. Arsenic-induced carcinogenesis may be mediated through epigenetic mechanisms and pathways leading to inflammation. Our previous study reported that prenatal arsenic exposure leads to increased mRNA expression of several genes related to inflammation, including COX2, EGR1, and SOCS3. This study aimed to investigate the effects of arsenic exposure on promoter DNA methylation and mRNA expression of these inflammatory genes (COX2, EGR1, and SOCS3), as well as the generation of 8-nitroguanine, which is a mutagenic DNA lesion involved in inflammation-related carcinogenesis. Prenatally arsenic-exposed newborns had promoter hypomethylation of COX2, EGR1, and SOCS3 in cord blood lymphocytes (p < 0.01). A follow-up study in these prenatally arsenic-exposed children showed a significant hypomethylation of these genes in salivary DNA (p < 0.01). In vitro experiments confirmed that arsenite treatment at short-term high doses (10–100 μM) and long-term low doses (0.5–1 μM) in human lymphoblasts (RPMI 1788) caused promoter hypomethylation of these genes, which was in concordance with an increase in their mRNA expression. Additionally, the level of urinary 8-nitroguanine was significantly higher (p < 0.01) in exposed newborns and children, by 1.4- and 1.8-fold, respectively. Arsenic accumulation in toenails was negatively correlated with hypomethylation of these genes and positively correlated with levels of 8-nitroguanine. These results indicated that early-life exposure to arsenic causes hypomethylation of COX2, EGR1, and SOCS3, increases mRNA expression of these genes, and increases 8-nitroguanine formation. These effects may be linked to mechanisms of arsenic-induced inflammation and cancer development later in life. - Highlight: • Early-life arsenic exposure caused promoter hypomethylation of COX2, EGR1 and SOCS3. • Hypomethylation of these genes is

  14. Hypomethylation of inflammatory genes (COX2, EGR1, and SOCS3) and increased urinary 8-nitroguanine in arsenic-exposed newborns and children

    International Nuclear Information System (INIS)

    Phookphan, Preeyaphan; Navasumrit, Panida; Waraprasit, Somchamai; Promvijit, Jeerawan; Chaisatra, Krittinee; Ngaotepprutaram, Thitirat; Ruchirawat, Mathuros

    2017-01-01

    Early-life exposure to arsenic increases risk of developing a variety of non-malignant and malignant diseases. Arsenic-induced carcinogenesis may be mediated through epigenetic mechanisms and pathways leading to inflammation. Our previous study reported that prenatal arsenic exposure leads to increased mRNA expression of several genes related to inflammation, including COX2, EGR1, and SOCS3. This study aimed to investigate the effects of arsenic exposure on promoter DNA methylation and mRNA expression of these inflammatory genes (COX2, EGR1, and SOCS3), as well as the generation of 8-nitroguanine, which is a mutagenic DNA lesion involved in inflammation-related carcinogenesis. Prenatally arsenic-exposed newborns had promoter hypomethylation of COX2, EGR1, and SOCS3 in cord blood lymphocytes (p < 0.01). A follow-up study in these prenatally arsenic-exposed children showed a significant hypomethylation of these genes in salivary DNA (p < 0.01). In vitro experiments confirmed that arsenite treatment at short-term high doses (10–100 μM) and long-term low doses (0.5–1 μM) in human lymphoblasts (RPMI 1788) caused promoter hypomethylation of these genes, which was in concordance with an increase in their mRNA expression. Additionally, the level of urinary 8-nitroguanine was significantly higher (p < 0.01) in exposed newborns and children, by 1.4- and 1.8-fold, respectively. Arsenic accumulation in toenails was negatively correlated with hypomethylation of these genes and positively correlated with levels of 8-nitroguanine. These results indicated that early-life exposure to arsenic causes hypomethylation of COX2, EGR1, and SOCS3, increases mRNA expression of these genes, and increases 8-nitroguanine formation. These effects may be linked to mechanisms of arsenic-induced inflammation and cancer development later in life. - Highlight: • Early-life arsenic exposure caused promoter hypomethylation of COX2, EGR1 and SOCS3. • Hypomethylation of these genes is

  15. Egr2 enhances insulin resistance via JAK2/STAT3/SOCS-1 pathway in HepG2 cells treated with palmitate.

    Science.gov (United States)

    Lu, Lin; Ye, Xinhua; Yao, Qing; Lu, Aijiao; Zhao, Zhen; Ding, Yang; Meng, Chuchen; Yu, Wenlong; Du, Yunfeng; Cheng, JinLuo

    2018-05-01

    Insulin resistance is generally responsible for the pathogenesis of type 2 diabetes mellitus (T2DM). Early growth response proteins-2 (Egr2) has been reported to be able to increase the expression of the suppressors of cytokine signaling-1 (SOCS-1), and impair insulin signaling pathway through suppression of insulin receptor substrates (IRS), including IRS-1 and IRS-2. However, whether Egr2 is directly involved in the development of insulin resistance, and how its potential contributions to insulin resistance still remain unknown. Here, our present investigation found that the expression levels of Egr2 were up-regulated when insulin resistance occurs, and knockdown of Egr2 abolished the effect of insulin resistance in HepG2 cells induced with palmitate (PA). Importantly, inhibition of Egr2 decreased the expression of SOCS-1 as well as reduced phosphorylation of JAK2 and STAT3. And, our data indicated that silencing of Egr2 accelerated hepatic glucose uptake and reversed the impaired lipid metabolism upon insulin resistance. In summary, the present study confirms that Egr2 could deteriorate insulin resistance via the pathway of JAK2/STAT3/SOCS-1 and may shed light on resolving insulin resistance and further the pathogenesis of T2DM. Copyright © 2017 Elsevier Inc. All rights reserved.

  16. Soc stock in different forest-related land-uses in central Stara planina mountain, Bulgaria

    Directory of Open Access Journals (Sweden)

    Zhiyanski Miglena

    2009-01-01

    Full Text Available Forest conversions may lead to an accumulation of carbon in vegetation, but little is known about changes in soil C storage with establishment of plantation forests. Understanding these effects is important to addressing issues relevant to ecosystem function and productivity, and to global balance of carbon. The study investigated the effects of the created coniferous plantations on former beech and pasture sites on the soil organic carbon storage. The major forest-related land-uses in the high mountainous regions of central Stara Planina Mountain were investigated: mountainous pasture, coniferous plantations (planted on previous pasture and beech forests between four and five decades ago and natural beech forests. The experimental data of soil properties, conducted in 2005, 2006 and 2007, were used in determining the variations in organic carbon storage in forest litter and in mineral soil under different land-use patterns. At each site five representative soil profiles were opened and described giving a total 75 soil samples from the soil layers respectively at 0-10, 10-30 and 30-50 cm depth. A total of 55 samples from forest floor layers (Aol, Aof, Aoh and greensward were collected with 25:25 cm plastic frame. The main soil properties were determined in accordance with the standardized methods in the Laboratory of soil science at the Forest Research Institute - BAS. The IPCC Good Practice Guidance for Land Use, Land Use Change and Forestry was used to estimate the soil organic carbon stock in soil and litter. The results obtained showed that the SOC stock was quite similar among forest land-uses. The conversion of natural beech forests to coniferous plantations in studied region is related with slightly expressed decrease in soil carbon storage. The values of SOC stocks in 0-50 cm soil layer in these sites were 8.5 (±2.1 tones/ha for pine and 11.0 (±1.4 tones/ha for spruce, while under the natural beech forest it was 14.8 (±1.0 tones

  17. Test-Access Planning and Test Scheduling for Embedded Core-Based System Chips

    OpenAIRE

    Goel, Sandeep Kumar

    2005-01-01

    Advances in the semiconductor process technology enable the creation of a complete system on one single die, the so-called system chip or SOC. To reduce time-to-market for large SOCs, reuse of pre-designed and pre-veried blocks called cores is employed. Like the design style, testing of SOCs can be best approached in a core-based fashion. In order to enable core-based test development, an embedded core should be isolated from its surrounding circuitry and electrical test access from chip pins...

  18. Spin dynamics under local gauge fields in chiral spin-orbit coupling systems

    International Nuclear Information System (INIS)

    Tan, S.G.; Jalil, M.B.A.; Fujita, T.; Liu, X.J.

    2011-01-01

    Research highlights: → We derive a modified LLG equation in magnetic systems with spin-orbit coupling (SOC). → Our results are applied to magnetic multilayers, and DMS and magnetic Rashba systems. → SOC mediated magnetization switching is predicted in rare earth metals (large SOC). → The magnetization trajectory and frequency can be modulated by applied voltage. → This facilitates potential application as tunable microwave oscillators. - Abstract: We present a theoretical description of local spin dynamics in magnetic systems with a chiral spin texture and finite spin-orbit coupling (SOC). Spin precession about the relativistic effective magnetic field in a SOC system gives rise to a non-Abelian SU(2) gauge field reminiscent of the Yang-Mills field. In addition, the adiabatic relaxation of electron spin along the local spin yields an U(1) x U(1) topological gauge (Berry) field. We derive the corresponding equation of motion i.e. modified Landau-Lifshitz-Gilbert (LLG) equation, for the local spin under the influence of these effects. Focusing on the SU(2) gauge, we obtain the spin torque magnitude, and the amplitude and frequency of spin oscillations in this system. Our theoretical estimates indicate significant spin torque and oscillations in systems with large spin-orbit coupling, which may be utilized in technological applications such as current-induced magnetization-switching and tunable microwave oscillators.

  19. Architectures, Concepts and Architectures for Service Oriented Computing : proceedings of the 1st International Workshop - ACT4SOC 2007

    NARCIS (Netherlands)

    van Sinderen, Marten J.; Unknown, [Unknown

    2007-01-01

    This volume contains the proceedings of the First International Workshop on Architectures, Concepts and Technologies for Service Oriented Computing (ACT4SOC 2007), held on July 22 in Barcelona, Spain, in conjunction with the Second International Conference on Software and Data Technologies (ICSOFT

  20. Vertical Integration of System-on-Chip Concepts in the Digital Design Curriculum

    Science.gov (United States)

    Tang, Ying; Head, L. M.; Ramachandran, R. P.; Chatman, L. M.

    2011-01-01

    The rapid evolution of System-on-Chip (SoC) challenges academic curricula to keep pace with multidisciplinary/interdisciplinary system thinking. This paper presents a curricular prototype that cuts across artificial course boundaries and provides a meaningful exploration of diverse facets of SoC design. Specifically, experimental contents of a…

  1. A project to study SOC evolution after land use change combining chronosequence and gradient methods

    Science.gov (United States)

    Gabarron-Galeote, Miguel A.; van Wesemael, Bas

    2013-04-01

    In the last decades the interest in the global C budget has increased enormously and soils have a great importance in this issue since they contain about twice as much carbon as the atmosphere. Land use change (LUC) can cause a change in land cover and an associated change in carbon stocks in soils, so it has a major impact in the balance between inputs and outputs of soil organic carbon (SOC). Improved understanding of land-use impacts on the world's terrestrial carbon balance is thus a necessary part of the global effort to mitigate climate change. The aim of this project is to predict the effects of land use and land management change on (SOC) stocks, characterizing the soil organic carbon cycle and its relationship to the vegetal cover in croplands abandoned different years ago and under different Mediterranean climatic conditions in South of Spain. The study area is located in the Cordillera Bética Litoral, in South of Spain. In this area, a climatic gradient can be observed from West to East: from >1,500 mm year-1 in the Strait of Gibraltar to <250 mm year-1 in the Cabo de Gata. More specifically, the study is focussed on three different areas from the climatic conditions point of view: Gaucín (1010 mm year-1), Almogía, (576 mm year-1) and Gérgal (240 mm year-1). By means of the analyses of aerial photographs (1956, 1977, 1984, 1998 and 2009) all the experimental plots will be selected. After this procedure, the three study areas will be composed by experimental plots of these classes: a) Lands with natural vegetation since 1956. b) Abandoned lands between 1956 and 1977. c) Abandoned lands between 1977 and 1984. d) Abandoned lands between 1984 and 1998. e) Abandoned lands between 1998 and 2005. f) Cultivated lands since 1956. The main expected outcomes of the research project are the characterization of the temporal evolution of SOC in soils, the compilation of experimental areas under different Mediterranean climatic conditions, and the characterization

  2. A comparative study and validation of state estimation algorithms for Li-ion batteries in battery management systems

    International Nuclear Information System (INIS)

    Klee Barillas, Joaquín; Li, Jiahao; Günther, Clemens; Danzer, Michael A.

    2015-01-01

    Highlights: • Description of state observers for estimating the battery’s SOC. • Implementation of four estimation algorithms in a BMS. • Reliability and performance study of BMS regarding the estimation algorithms. • Analysis of the robustness and code properties of the estimation approaches. • Guide to evaluate estimation algorithms to improve the BMS performance. - Abstract: To increase lifetime, safety, and energy usage battery management systems (BMS) for Li-ion batteries have to be capable of estimating the state of charge (SOC) of the battery cells with a very low estimation error. The accurate SOC estimation and the real time reliability are critical issues for a BMS. In general an increasing complexity of the estimation methods leads to higher accuracy. On the other hand it also leads to a higher computational load and may exceed the BMS limitations or increase its costs. An approach to evaluate and verify estimation algorithms is presented as a requisite prior the release of the battery system. The approach consists of an analysis concerning the SOC estimation accuracy, the code properties, complexity, the computation time, and the memory usage. Furthermore, a study for estimation methods is proposed for their evaluation and validation with respect to convergence behavior, parameter sensitivity, initialization error, and performance. In this work, the introduced analysis is demonstrated with four of the most published model-based estimation algorithms including Luenberger observer, sliding-mode observer, Extended Kalman Filter and Sigma-point Kalman Filter. The experiments under dynamic current conditions are used to verify the real time functionality of the BMS. The results show that a simple estimation method like the sliding-mode observer can compete with the Kalman-based methods presenting less computational time and memory usage. Depending on the battery system’s application the estimation algorithm has to be selected to fulfill the

  3. Semivolatile Organic Compounds (SOCs) in Fine Particulate Matter (PM2.5) during Clear, Fog, and Haze Episodes in Winter in Beijing, China.

    Science.gov (United States)

    Wang, Ting; Tian, Mi; Ding, Nan; Yan, Xiao; Chen, She-Jun; Mo, Yang-Zhi; Yang, Wei-Qiang; Bi, Xin-Hui; Wang, Xin-Ming; Mai, Bi-Xian

    2018-05-01

    Few efforts have been made to elucidate the influence of weather conditions on the fate of semivolatile organic compounds (SOCs). Here, daily fine particulate matter (PM 2.5 ) during clear, haze, and fog episodes collected in the winter in Beijing, China was analyzed for polycyclic aromatic hydrocarbons (PAHs), brominated flame retardants (BFRs), and organophosphate flame retardants (OPFRs). The total concentrations of PAHs, OPFRs, and BFRs had medians of 45.1 ng/m 3 and 1347 and 46.7 pg/m 3 , respectively. The temporal pattern for PAH concentrations was largely dependent on coal combustion for residential heating. OPFR compositions that change during colder period were related to enhanced indoor emissions due to heating. The mean concentrations of SOCs during haze and fog days were 2-10 times higher than those during clear days. We found that BFRs with lower octanol and air partition coefficients tended to increase during haze and fog episodes, be removed from PM 2.5 during clear episodes, or both. For PAHs and OPFRs, pollutants that are more recalcitrant to degradation were prone to accumulate during haze and fog days. The potential source contribution function (PSCF) model indicated that southern and eastern cities were major source regions of SOCs at this site.

  4. The effect of interferon treatment on STAT/SOCS status in normal and malignant human breast cells

    Czech Academy of Sciences Publication Activity Database

    Součková Skalická, Kamila; Adámková, L.; Lauerová, L.; Krejčí, E.; Kovařík, Aleš; Fojtová, Miloslava; Matoušková, Eva; Buršíková, Eva; Kovařík, J.; Boudný, V.

    2006-01-01

    Roč. 17, č. 9 (2006), ix65-ix65 ISSN 0923-7534. [31st ESMO Congress. 29.09.2006-03.10.2006, Istanbul] R&D Projects: GA MZd(CZ) NR8341; GA ČR(CZ) GA301/06/0912; GA AV ČR(CZ) KJB502070601 Institutional research plan: CEZ:AV0Z50040507; CEZ:AV0Z50520514 Keywords : interferon * breast * STAT/SOCS Subject RIV: BO - Biophysics

  5. Modeling spatial patterns of soil respiration in maize fields from vegetation and soil property factors with the use of remote sensing and geographical information system.

    Directory of Open Access Journals (Sweden)

    Ni Huang

    Full Text Available To examine the method for estimating the spatial patterns of soil respiration (Rs in agricultural ecosystems using remote sensing and geographical information system (GIS, Rs rates were measured at 53 sites during the peak growing season of maize in three counties in North China. Through Pearson's correlation analysis, leaf area index (LAI, canopy chlorophyll content, aboveground biomass, soil organic carbon (SOC content, and soil total nitrogen content were selected as the factors that affected spatial variability in Rs during the peak growing season of maize. The use of a structural equation modeling approach revealed that only LAI and SOC content directly affected Rs. Meanwhile, other factors indirectly affected Rs through LAI and SOC content. When three greenness vegetation indices were extracted from an optical image of an environmental and disaster mitigation satellite in China, enhanced vegetation index (EVI showed the best correlation with LAI and was thus used as a proxy for LAI to estimate Rs at the regional scale. The spatial distribution of SOC content was obtained by extrapolating the SOC content at the plot scale based on the kriging interpolation method in GIS. When data were pooled for 38 plots, a first-order exponential analysis indicated that approximately 73% of the spatial variability in Rs during the peak growing season of maize can be explained by EVI and SOC content. Further test analysis based on independent data from 15 plots showed that the simple exponential model had acceptable accuracy in estimating the spatial patterns of Rs in maize fields on the basis of remotely sensed EVI and GIS-interpolated SOC content, with R2 of 0.69 and root-mean-square error of 0.51 µmol CO2 m(-2 s(-1. The conclusions from this study provide valuable information for estimates of Rs during the peak growing season of maize in three counties in North China.

  6. A High-Throughput, High-Accuracy System-Level Simulation Framework for System on Chips

    Directory of Open Access Journals (Sweden)

    Guanyi Sun

    2011-01-01

    Full Text Available Today's System-on-Chips (SoCs design is extremely challenging because it involves complicated design tradeoffs and heterogeneous design expertise. To explore the large solution space, system architects have to rely on system-level simulators to identify an optimized SoC architecture. In this paper, we propose a system-level simulation framework, System Performance Simulation Implementation Mechanism, or SPSIM. Based on SystemC TLM2.0, the framework consists of an executable SoC model, a simulation tool chain, and a modeling methodology. Compared with the large body of existing research in this area, this work is aimed at delivering a high simulation throughput and, at the same time, guaranteeing a high accuracy on real industrial applications. Integrating the leading TLM techniques, our simulator can attain a simulation speed that is not slower than that of the hardware execution by a factor of 35 on a set of real-world applications. SPSIM incorporates effective timing models, which can achieve a high accuracy after hardware-based calibration. Experimental results on a set of mobile applications proved that the difference between the simulated and measured results of timing performance is within 10%, which in the past can only be attained by cycle-accurate models.

  7. A fully integrated UHF RFID reader SoC for handheld applications in the 0.18 {mu}m CMOS process

    Energy Technology Data Exchange (ETDEWEB)

    Wang Jingchao; Zhang Chun; Wang Zhihua, E-mail: wangjc@gmail.co [Institute of Microelectronics, Tsinghua University, Beijing 100084 (China)

    2010-08-15

    A low cost fully integrated single-chip UHF radio frequency identification (RFID) reader SoC for short distance handheld applications is presented. The SoC integrates all building blocks-including an RF transceiver, a PLL frequency synthesizer, a digital baseband and an MCU-in a 0.18 {mu}m CMOS process. A high-linearity RX front-end is designed to handle the large self-interferer. A class-E power amplifier with high power efficiency is also integrated to fulfill the function of a UHF passive RFID reader. The measured maximum output power of the transmitter is 20.28 dBm and the measured receiver sensitivity is -60 dBm. The digital baseband including MCU core consumes 3.91 mW with a clock of 10 MHz and the analog part including power amplifier consumes 368.4 mW. The chip has a die area of 5.1 x 3.8 mm{sup 2} including pads. (semiconductor integrated circuits)

  8. A fully integrated UHF RFID reader SoC for handheld applications in the 0.18 μm CMOS process

    International Nuclear Information System (INIS)

    Wang Jingchao; Zhang Chun; Wang Zhihua

    2010-01-01

    A low cost fully integrated single-chip UHF radio frequency identification (RFID) reader SoC for short distance handheld applications is presented. The SoC integrates all building blocks-including an RF transceiver, a PLL frequency synthesizer, a digital baseband and an MCU-in a 0.18 μm CMOS process. A high-linearity RX front-end is designed to handle the large self-interferer. A class-E power amplifier with high power efficiency is also integrated to fulfill the function of a UHF passive RFID reader. The measured maximum output power of the transmitter is 20.28 dBm and the measured receiver sensitivity is -60 dBm. The digital baseband including MCU core consumes 3.91 mW with a clock of 10 MHz and the analog part including power amplifier consumes 368.4 mW. The chip has a die area of 5.1 x 3.8 mm 2 including pads. (semiconductor integrated circuits)

  9. Uncertainty analysis in a real-time state-of-charge evaluation system for lithium-ion batteries

    NARCIS (Netherlands)

    Pop, V.; Regtien, P.P.L.; Bergveld, H.J.; Notten, P.H.L.; Op het Veld, B.

    2006-01-01

    Lithium-ion (Li-ion) is the most commonly used battery chemistry in portable applications nowadays. Accurate State-of-Charge (SoC) and remaining run-time (t,) calculation for portable devices is important for the user convenience and to prolong the lifetime of batteries. A new SoC algorithm for

  10. MDGRAPE-4: a special-purpose computer system for molecular dynamics simulations.

    Science.gov (United States)

    Ohmura, Itta; Morimoto, Gentaro; Ohno, Yousuke; Hasegawa, Aki; Taiji, Makoto

    2014-08-06

    We are developing the MDGRAPE-4, a special-purpose computer system for molecular dynamics (MD) simulations. MDGRAPE-4 is designed to achieve strong scalability for protein MD simulations through the integration of general-purpose cores, dedicated pipelines, memory banks and network interfaces (NIFs) to create a system on chip (SoC). Each SoC has 64 dedicated pipelines that are used for non-bonded force calculations and run at 0.8 GHz. Additionally, it has 65 Tensilica Xtensa LX cores with single-precision floating-point units that are used for other calculations and run at 0.6 GHz. At peak performance levels, each SoC can evaluate 51.2 G interactions per second. It also has 1.8 MB of embedded shared memory banks and six network units with a peak bandwidth of 7.2 GB s(-1) for the three-dimensional torus network. The system consists of 512 (8×8×8) SoCs in total, which are mounted on 64 node modules with eight SoCs. The optical transmitters/receivers are used for internode communication. The expected maximum power consumption is 50 kW. While MDGRAPE-4 software has still been improved, we plan to run MD simulations on MDGRAPE-4 in 2014. The MDGRAPE-4 system will enable long-time molecular dynamics simulations of small systems. It is also useful for multiscale molecular simulations where the particle simulation parts often become bottlenecks.

  11. Overexpression of an orchid (Dendrobium nobile SOC1/TM3-like ortholog, DnAGL19, in Arabidopsis regulates HOS1-FT expression

    Directory of Open Access Journals (Sweden)

    Xiao-ru eLiu

    2016-02-01

    Full Text Available Flowering in the appropriate season is critical for successful reproduction in angiosperms. The orchid species, Dendrobium nobile, requires vernalization to achieve flowering in the spring, but the underlying regulatory network has not been identified to date. The MADS-box transcription factor DnAGL19 was previously identified in a study of low-temperature treated D. nobile buds and was suggested to regulate vernalization-induced flowering. In this study, phylogenetic analysis of DnAGL9 and the MADS-box containing proteins showed that DnAGL19 is phylogenetically closely related to the SOC1-like protein from orchid Dendrobium Chao Parya Smile, DOSOC1. The orchid clade closed to but is not included into the SOC1-1/TM3 clades associated with either eudicots or monocots, suggesting that DnAGL19 is an SOC1-1/TM3-like ortholog. DnAGL19 was found to be highly expressed in pseudobulbs, leaves, roots and axillary buds but rarely in flowers, and to be substantially upregulated in axillary buds by prolonged low-temperature treatments. Overexpression of DnAGL19 in Arabidopsis thaliana resulted in a small but significantly reduced time to bolting, suggesting that flowering time was slightly accelerated under normal growth conditions. Consistent with this, the A. thaliana APETELA1 (AP1 gene was expressed at an earlier stage in transgenic lines than in wild type plants, while the FLOWERING LOCUS T (FT gene was suppressed, suggesting that altered regulations on these transcription factors caused the weak promotion of flowering. HIGH EXPRESSION OF OSMOTICALLY RESPONSIVE GENE 1 (HOS1 was slightly activated under the same conditions, suggesting that the HOS1-FT module may be involved in the DnAGL19-related network. Under vernalization conditions, FT expression was significantly upregulated, whereas HOS1 expression in the transgenic A. thaliana has a level similar to that in wild type. Taken together, these results suggest that DnAGL19 controls the action of the

  12. Soil organic carbon assessments in cropping systems using isotopic techniques

    Science.gov (United States)

    Martín De Dios Herrero, Juan; Cruz Colazo, Juan; Guzman, María Laura; Saenz, Claudio; Sager, Ricardo; Sakadevan, Karuppan

    2016-04-01

    Introduction of improved farming practices are important to address the challenges of agricultural production, food security, climate change and resource use efficiency. The integration of livestock with crops provides many benefits including: (1) resource conservation, (2) ecosystem services, (3) soil quality improvements, and (4) risk reduction through diversification of enterprises. Integrated crop livestock systems (ICLS) with the combination of no-tillage and pastures are useful practices to enhance soil organic carbon (SOC) compared with continuous cropping systems (CCS). In this study, the SOC and its fractions in two cropping systems namely (1) ICLS, and (2) CCS were evaluated in Southern Santa Fe Province in Argentina, and the use of delta carbon-13 technique and soil physical fractionation were evaluated to identify sources of SOC in these systems. Two farms inside the same soil cartographic unit and landscape position in the region were compared. The ICLS farm produces lucerne (Medicago sativa Merrill) and oat (Avena sativa L.) grazed by cattle alternatively with grain summer crops sequence of soybean (Glicine max L.) and corn (Zea mays L.), and the farm under continuous cropping system (CCS) produces soybean and corn in a continuous sequence. The soil in the area is predominantly a Typic Hapludoll. Soil samples from 0-5 and 0-20 cm depths (n=4) after the harvest of grain crops were collected in each system and analyzed for total organic carbon (SOC, 0-2000 μm), particulate organic carbon (POC, 50-100 μm) and mineral organic carbon (MOC, is probably due to the presence of deep roots under pastures in ICLS. Delta carbon-13 values for 0-5 cm were -22.9, -21.2 and -19.9 per mil for REF, ICLS and CCS, respectively (Pis explained by the presence of tree species with high lignin content in natural vegetation. Lignin has lower delta carbon-13 compared to cellulose (dominating in crops and pastures), which is present in greater proportion in plant residues of

  13. Stability Analysis for Li-Ion Battery Model Parameters and State of Charge Estimation by Measurement Uncertainty Consideration

    Directory of Open Access Journals (Sweden)

    Shifei Yuan

    2015-07-01

    Full Text Available Accurate estimation of model parameters and state of charge (SoC is crucial for the lithium-ion battery management system (BMS. In this paper, the stability of the model parameters and SoC estimation under measurement uncertainty is evaluated by three different factors: (i sampling periods of 1/0.5/0.1 s; (ii current sensor precisions of ±5/±50/±500 mA; and (iii voltage sensor precisions of ±1/±2.5/±5 mV. Firstly, the numerical model stability analysis and parametric sensitivity analysis for battery model parameters are conducted under sampling frequency of 1–50 Hz. The perturbation analysis is theoretically performed of current/voltage measurement uncertainty on model parameter variation. Secondly, the impact of three different factors on the model parameters and SoC estimation was evaluated with the federal urban driving sequence (FUDS profile. The bias correction recursive least square (CRLS and adaptive extended Kalman filter (AEKF algorithm were adopted to estimate the model parameters and SoC jointly. Finally, the simulation results were compared and some insightful findings were concluded. For the given battery model and parameter estimation algorithm, the sampling period, and current/voltage sampling accuracy presented a non-negligible effect on the estimation results of model parameters. This research revealed the influence of the measurement uncertainty on the model parameter estimation, which will provide the guidelines to select a reasonable sampling period and the current/voltage sensor sampling precisions in engineering applications.

  14. Suppressor of cytokine signalling (SOCS)-3 protects beta cells against IL-1beta-mediated toxicity through inhibition of multiple nuclear factor-kappaB-regulated proapoptotic pathways

    DEFF Research Database (Denmark)

    Karlsen, Allan Ertman; Heding, P E; Frobøse, H

    2004-01-01

    The proinflammatory cytokine IL-1beta induces apoptosis in pancreatic beta cells via pathways dependent on nuclear factor-kappaB (NF-kappaB), mitogen-activated protein kinase, and protein kinase C. We recently showed suppressor of cytokine signalling (SOCS)-3 to be a natural negative feedback reg...... regulator of IL-1beta- and IFN-gamma-mediated signalling in rat islets and beta cell lines, preventing their deleterious effects. However, the mechanisms underlying SOCS-3 inhibition of IL-1beta signalling and prevention against apoptosis remain unknown....

  15. Highly monodisperse M III-based soc -MOFs (M = in and Ga) with cubic and truncated cubic morphologies

    KAUST Repository

    Pang, Maolin

    2012-08-15

    In this work, we carry out an investigation on shape-controlled growth of In III- and Ga III-based square-octahedral metal-organic frameworks (soc-MOFs). In particular, controllable crystal morphological evolution from simple cubes to complex octadecahedra has been achieved, and resultant highly uniform crystal building blocks promise new research opportunities for preparation of self-assembled MOF materials and related applications. © 2012 American Chemical Society.

  16. Highly monodisperse M III-based soc -MOFs (M = in and Ga) with cubic and truncated cubic morphologies

    KAUST Repository

    Pang, Maolin; Cairns, Amy; Liu, Yunling; Belmabkhout, Youssef; Zeng, Huachun; Eddaoudi, Mohamed

    2012-01-01

    In this work, we carry out an investigation on shape-controlled growth of In III- and Ga III-based square-octahedral metal-organic frameworks (soc-MOFs). In particular, controllable crystal morphological evolution from simple cubes to complex octadecahedra has been achieved, and resultant highly uniform crystal building blocks promise new research opportunities for preparation of self-assembled MOF materials and related applications. © 2012 American Chemical Society.

  17. System state estimation and optimal energy control framework for multicell lithium-ion battery system

    International Nuclear Information System (INIS)

    Wei, Jingwen; Dong, Guangzhong; Chen, Zonghai; Kang, Yu

    2017-01-01

    Highlights: • Employed a dual-scale EKF based estimator for in-pack cells’ SOC values. • Proposed a two-stage hybrid state-feedback and output-feedback equalization algorithm. • A switchable balance current mode is designed in the equalization topology. • Verified the performance of proposed method under two conditions. - Abstract: Cell variations caused by the inevitable inconsistency during manufacture and use of battery cells have significant impacts on battery capacity, security and durability for battery energy storage systems. Thus, the battery equalization systems are essentially required to reduce variations of in-pack cells and increase battery pack capability. In order to protect all in-pack cells from damaging, estimate battery state and reduce variations, a system state estimation and energy optimal control framework for multicell lithium-ion battery system is proposed. The state-of-charge (SOC) values of all in-pack cells are firstly estimated using a dual-scale extended Kalman filtering (EKF) to improve estimation accuracy and reduce computation simultaneously. These estimated SOC values provide specific details of battery system, which cannot only be used to protect cells from over-charging/over-discharging, but also be employed to design state-feedback controller for battery equalization system. A two-stage hybrid state-feedback and output-feedback equalization algorithm is proposed. The state-feedback controller is firstly employed for coarse-grained adjustment to reduce equalization time cost with large current. However, due to the inevitable SOC estimation errors, the output-feedback controller is then used for fine-grained adjustment with trickle current. Experimental results show that the proposed framework can provide an effectively estimation and energy control for multicell battery systems. Finally, the implementation of the proposed method is further discussed for the real applications.

  18. Community characteristics and implementation factors associated with effective systems of care.

    Science.gov (United States)

    Lunn, Laurel M; Heflinger, Craig Anne; Wang, Wei; Greenbaum, Paul E; Kutash, Krista; Boothroyd, Roger A; Friedman, Robert M

    2011-07-01

    How are characteristics of communities associated with the implementation of the principles of systems of care (SOC)? This study uses multilevel modeling with a stratified random sample (N = 225) of US counties to explore community-level predictors of the implementation factors of the System of Care Implementation Survey. A model composed of community-level social indicators fits well with 5 of 14 factors identified as relevant for effective SOCs. As hypothesized, community disadvantage was negatively and residential stability positively associated with the implementation of SOC principles. Designation as a mental health professional shortage area was positively related to some implementation scores, as was the percentage of minority residents, while rurality was not significantly associated with any of the factors. Given the limitations of the study, the results should be interpreted with caution, but suggest that further research is merited to clarify these relationships that could inform efforts directed at promoting SOCs.

  19. Ranolazine for the treatment of chronic stable angina: a cost-effectiveness analysis from the UK perspective.

    Science.gov (United States)

    Coleman, Craig I; Freemantle, Nick; Kohn, Christine G

    2015-11-06

    To estimate the cost-effectiveness of ranolazine when added to standard-of-care (SoC) antianginals compared with SoC alone in patients with stable coronary disease experiencing ≥3 attacks/week. An economic model utilising a UK health system perspective, a 1-month cycle-length and a 1-year time horizon. Patients with stable coronary disease experiencing ≥3 attacks/week starting in 1 of 4 angina frequency health states based on Seattle Angina Questionnaire Angina Frequency (SAQAF) scores (100=no; 61-99=monthly; 31-60=weekly; 0-30=daily angina). Ranolazine added to SoC or SoC alone. Patients were allowed to transition between SAQAF states (first cycle only) or death (any cycle) based on probabilities derived from the randomised, controlled Efficacy of Ranolazine in Chronic Angina trial and other studies. Patients not responding to ranolazine in month 1 (not improving ≥1 SAQAF health state) discontinued ranolazine and were assumed to behave like SoC patients. Costs (£2014) and quality-adjusted life-years (QALYs) for patients receiving and not receiving ranolazine. Ranolazine patients lived a mean of 0.701 QALYs at a cost of £5208. Those not receiving ranolazine lived 0.662 QALYs at a cost of £5318. The addition of ranolazine to SoC was therefore a dominant economic strategy. The incremental cost-effectiveness ratio was sensitive to ranolazine cost; exceeding £20,000/QALY when ranolazine's cost was >£203/month. Ranolazine remained a dominant strategy when indirect costs were included and mortality rates were assumed to increase with worsening severity of SAQAF health states. Monte Carlo simulation found ranolazine to be a dominant strategy in ∼71% of 10,000 iterations. Although UK-specific data on ranolazine's efficacy and safety are lacking, our analysis suggest ranolazine added to SoC in patients with weekly or daily angina is likely cost-effective from a UK health system perspective. Published by the BMJ Publishing Group Limited. For permission to use

  20. Tillage practices and straw-returning methods affect topsoil bacterial community and organic C under a rice-wheat cropping system in central China

    Science.gov (United States)

    Guo, Lijin; Zheng, Shixue; Cao, Cougui; Li, Chengfang

    2016-09-01

    The objective of this study was to investigate how the relationships between bacterial communities and organic C (SOC) in topsoil (0-5 cm) are affected by tillage practices [conventional intensive tillage (CT) or no-tillage (NT)] and straw-returning methods [crop straw returning (S) or removal (NS)] under a rice-wheat rotation in central China. Soil bacterial communities were determined by high-throughput sequencing technology. After two cycles of annual rice-wheat rotation, compared with CT treatments, NT treatments generally had significantly more bacterial genera and monounsaturated fatty acids/saturated fatty acids (MUFA/STFA), but a decreased gram-positive bacteria/gram-negative bacteria ratio (G+/G-). S treatments had significantly more bacterial genera and MUFA/STFA, but had decreased G+/G- compared with NS treatments. Multivariate analysis revealed that Gemmatimonas, Rudaea, Spingomonas, Pseudomonas, Dyella, Burkholderia, Clostridium, Pseudolabrys, Arcicella and Bacillus were correlated with SOC, and cellulolytic bacteria (Burkholderia, Pseudomonas, Clostridium, Rudaea and Bacillus) and Gemmationas explained 55.3% and 12.4% of the variance in SOC, respectively. Structural equation modeling further indicated that tillage and residue managements affected SOC directly and indirectly through these cellulolytic bacteria and Gemmationas. Our results suggest that Burkholderia, Pseudomonas, Clostridium, Rudaea, Bacillus and Gemmationas help to regulate SOC sequestration in topsoil under tillage and residue systems.

  1. An FPGA bridge preserving traffing quality of service for on-chip network-based systems

    NARCIS (Netherlands)

    Nejad, A.B.; Escudero Martinez, M.; Goossens, K.G.W.

    2011-01-01

    FPGA prototyping of recent large Systems on Chip (SoCs) is very challenging due to the resource limitation of a single FPGA. Moreover, having external access to SoCs for verification and debug purposes is essential. In this paper, we suggest to partition a network-on-chip (NoC) based system into

  2. A wearable neuro-feedback system with EEG-based mental status monitoring and transcranial electrical stimulation.

    Science.gov (United States)

    Roh, Taehwan; Song, Kiseok; Cho, Hyunwoo; Shin, Dongjoo; Yoo, Hoi-Jun

    2014-12-01

    A wearable neuro-feedback system is proposed with a low-power neuro-feedback SoC (NFS), which supports mental status monitoring with encephalography (EEG) and transcranial electrical stimulation (tES) for neuro-modulation. Self-configured independent component analysis (ICA) is implemented to accelerate source separation at low power. Moreover, an embedded support vector machine (SVM) enables online source classification, configuring the ICA accelerator adaptively depending on the types of the decomposed components. Owing to the hardwired accelerating functions, the NFS dissipates only 4.45 mW to yield 16 independent components. For non-invasive neuro-modulation, tES stimulation up to 2 mA is implemented on the SoC. The NFS is fabricated in 130-nm CMOS technology.

  3. What can we learn from field experiments about the development of SOC and GHG emissions under different management practices?

    Science.gov (United States)

    Spiegel, Heide; Lehtinen, Taru; Schlatter, Norman; Haslmayr, Hans-Peter; Baumgarten, Andreas; ten Berge, Hein

    2015-04-01

    Successful agricultural management practices are required to maintain or enhance soil quality; at the same time climate change mitigation is becoming increasingly important. Within the EU project CATCH-C we analysed the effects of different agricultural practices not only on crop productivity, but also on soil quality indicators (e.g. soil organic carbon (SOC)) and climate change (CC) mitigation indicators (e.g. CO2, CH4, N2O emissions). European data sets and associated literature, mainly from long-term experiments were evaluated. This evaluation of agricultural management practices was carried out comparing a set of improved ("best") and often applied ("current") management practices. Positive and negative effects occurred when best management practices are adopted. As expected, none of the investigated practices could comply with all objectives simultaneously, i.e. maintaining high yields, mitigating climate change and improving chemical, physical and biological soil quality. The studied soil management practices "non-inversion tillage", "organic fertilisation" (application of farm yard manure, slurry, compost) and "incorporation of crop residues" represent important management practices for farmers to increase SOC, thus improving soil quality. However, CO2 and, especially, N2O emissions may rise as well. The evaluation of CC mitigation is often limited by the lack of data from - preferably - continuous GHG emission measurements. Thus, more long-term field studies are needed to better assess the CO2, CH4 and, especially, N2O emissions following the above mentioned favorably rated MPs. Only if SOC and GHG emissions are measured in the same field experiments, it will be possible to compute overall balances of necessary CO2-C equivalent emissions. CATCH-C is funded within the 7th Framework Programme for Research, Technological Development and Demonstration, Theme 2 - Biotechnologies, Agriculture & Food. (Grant Agreement N° 289782).

  4. Scaling laws and indications of self-organized criticality in urban systems

    International Nuclear Information System (INIS)

    Chen Yanguang; Zhou Yixing

    2008-01-01

    Evolution of urban systems has been considered to exhibit some form of self-organized criticality (SOC) in the literature. This paper provides further mathematical foundations and empirical evidences to support the supposition. The hierarchical structure of systems of cities can be formulated as three exponential functions: the number law, the population size law, and the area law. These laws are identical in form to the Horton-Strahler laws of rivers and Gutenberg-Richter laws of earthquakes. From the exponential functions, three indications of SOC are also derived: the frequency-spectrum relation indicting the 1/f noise, the power laws indicating the fractal structure, and the Zipf's law indicating the rank-size distribution. These mathematical models form a set of scaling laws for urban systems, as demonstrated in the empirical study of the system of cities in China. The fact that the scaling laws of urban systems bear an analogy to those on rivers and earthquakes lends further support to the notion of possible SOC in urban systems

  5. Toward a reduced-wire readout system for ultrasound imaging.

    Science.gov (United States)

    Lim, Jaemyung; Arkan, Evren F; Degertekin, F Levent; Ghovanloo, Maysam

    2014-01-01

    We present a system-on-a-chip (SoC) for use in high-frequency capacitive micromachined ultrasonic transducer (CMUT) imaging systems. This SoC consists of trans-impedance amplifiers (TIA), delay locked loop (DLL) based clock multiplier, quadrature sampler, and pulse width modulator (PWM). The SoC down converts RF echo signal to baseband by quadrature sampling which facilitates modulation. To send data through a 1.6 m wire in the catheter which has limited bandwidth and is vulnerable to noise, the SoC creates a pseudo-digital PWM signal which can be used for back telemetry or wireless readout of the RF data. In this implementation, using a 0.35-μm std. CMOS process, the TIA and single-to-differential (STD) converter had 45 MHz bandwidth, the quadrature sampler had 10.1 dB conversion gain, and the PWM had 5-bit ENoB. Preliminary results verified front-end functionality, and the power consumption of a TIA, STD, quadrature sampler, PWM, and clock multiplier was 26 mW from a 3 V supply.

  6. An adaptive state of charge estimation approach for lithium-ion series-connected battery system

    Science.gov (United States)

    Peng, Simin; Zhu, Xuelai; Xing, Yinjiao; Shi, Hongbing; Cai, Xu; Pecht, Michael

    2018-07-01

    Due to the incorrect or unknown noise statistics of a battery system and its cell-to-cell variations, state of charge (SOC) estimation of a lithium-ion series-connected battery system is usually inaccurate or even divergent using model-based methods, such as extended Kalman filter (EKF) and unscented Kalman filter (UKF). To resolve this problem, an adaptive unscented Kalman filter (AUKF) based on a noise statistics estimator and a model parameter regulator is developed to accurately estimate the SOC of a series-connected battery system. An equivalent circuit model is first built based on the model parameter regulator that illustrates the influence of cell-to-cell variation on the battery system. A noise statistics estimator is then used to attain adaptively the estimated noise statistics for the AUKF when its prior noise statistics are not accurate or exactly Gaussian. The accuracy and effectiveness of the SOC estimation method is validated by comparing the developed AUKF and UKF when model and measurement statistics noises are inaccurate, respectively. Compared with the UKF and EKF, the developed method shows the highest SOC estimation accuracy.

  7. Changing the system by changing the workforce: employing consumers to increase access, cultural diversity, and engagement.

    Science.gov (United States)

    Wenz-Gross, Melodie; Irsfeld, Toni DuBrino; Twomey, Tammy; Perez, Ana; Thompson, Judith; Wally, Martha; Colleton, Barbara; Kroell, Christine; McKeown, Steven K; Metz, Peter

    2012-06-01

    Services to families have traditionally been delivered in a medical model. This presents challenges including workforce shortages, lack of cultural diversity, lack of training in strength-based work, and difficulty in successfully engaging and retaining families in the therapy process. The system of care (SOC) effort has worked to establish formal roles for caregivers in SOC to improve services. This paper provides an example of one community's efforts to change the SOC by expanding the roles available to caregivers in creating systems change. It describes the model developed by Communities of Care (CoC), a SOC in Central Massachusetts, and its evolution over a 10 year period. First person accounts by system partners, caregivers hired into professional roles as well as a family receiving services, demonstrate how hiring caregivers at all levels can change systems and change lives, not only for those being served but for the caregiver/professionals doing the work. It also demonstrates, however, that change at the system level is incremental, takes time, and can be fleeting unless an ongoing effort is made to support and sustain those changes.

  8. Combined action of taurine and cations of certain metals on post-irradiation survival of SOC cell culture

    International Nuclear Information System (INIS)

    Yartsev, E.I.; Aldonyasov, V.I.; Yakovlev, V.G.

    1975-01-01

    Effects of combined application of taurine and metals (potassium, magnesium, calcium and zinc) on the cell level have been studied. It has been found that various concentrations of taurine and potassium and zinc salts increase the survival of irradiated SOC cells up to 40% while addition of magnesium and calcium salts does not affect the taurine effectiveness. The highest effectiveness is obtained when potassium and taurine are added in equimolar amounts to the incubation medium

  9. Inducibility of STAT 1/SOCS 3 transcripts and proteins by interferon-alpha/gamma in human melanoma cell lines

    Czech Academy of Sciences Publication Activity Database

    Kovařík, Aleš; Fojtová, Miloslava; Boudný, V.; Adamková, L.; Kovařík, J.

    2004-01-01

    Roč. 14, Suppl. 1 (2004), s. S87 ISSN 1107-3756. [World Congress on Advances in Oncology /9./ and International Symposium on Molecular Medicine /7./. 14.10.2004-16.10.2004, Hersonissos] R&D Projects: GA MZd NC7139; GA ČR GA301/03/0370; GA AV ČR IBS5004010 Keywords : melanoma cells * STAT 1 * SOCS 3 Subject RIV: BO - Biophysics

  10. Robust Online State of Charge Estimation of Lithium-Ion Battery Pack Based on Error Sensitivity Analysis

    Directory of Open Access Journals (Sweden)

    Ting Zhao

    2015-01-01

    Full Text Available Accurate and reliable state of charge (SOC estimation is a key enabling technique for large format lithium-ion battery pack due to its vital role in battery safety and effective management. This paper tries to make three contributions to existing literatures through robust algorithms. (1 Observer based SOC estimation error model is established, where the crucial parameters on SOC estimation accuracy are determined by quantitative analysis, being a basis for parameters update. (2 The estimation method for a battery pack in which the inconsistency of cells is taken into consideration is proposed, ensuring all batteries’ SOC ranging from 0 to 1, effectively avoiding the battery overcharged/overdischarged. Online estimation of the parameters is also presented in this paper. (3 The SOC estimation accuracy of the battery pack is verified using the hardware-in-loop simulation platform. The experimental results at various dynamic test conditions, temperatures, and initial SOC difference between two cells demonstrate the efficacy of the proposed method.

  11. Accuracy analysis of the State-of-Charge and remaining run-time determination for lithium-ion batteries

    NARCIS (Netherlands)

    Pop, V.; Bergveld, H.J.; Notten, P.H.L.; Op het Veld, J.H.G.; Regtien, Paulus P.L.

    2008-01-01

    This paper describes the various error sources in a real-time State-of-Charge (SoC) evaluation system and their effects on the overall accuracy in the calculation of the remaining run-time of a battery-operated system. The SoC algorithm for Li-ion batteries studied in this paper combines direct

  12. Accuracy analysis of the state-of-charge and remaining run-time determination for lithium-ion batteries

    NARCIS (Netherlands)

    Pop, V.; Bergveld, H.J.; Notten, P.H.L.; Op het Veld, J.H.G.; Regtien, P.P.L.

    2009-01-01

    This paper describes the various error sources in a real-time State-of-Charge (SoC) evaluation system and their effects on the overall accuracy in the calculation of the remaining run-time of a battery-operated system. The SoC algorithm for Li-ion batteries studied in this paper combines direct

  13. Soil carbon sequestration in rainfed production systems in the semiarid tropics of India.

    Science.gov (United States)

    Srinivasarao, Ch; Lal, Rattan; Kundu, Sumanta; Babu, M B B Prasad; Venkateswarlu, B; Singh, Anil Kumar

    2014-07-15

    Severe soil organic carbon (SOC) depletion is a major constraint in rainfed agroecosystems in India because it directly influences soil quality, crop productivity and sustainability. The magnitude of soil organic, inorganic and total carbon stocks in the semi-arid bioclimate is estimated at 2.9, 1.9 and 4.8 Pg respectively. Sorghum, finger millet, pearl millet, maize, rice, groundnut, soybean, cotton, food legumes etc. are predominant crop production systems with a little, if any, recycling of organic matter. Data from the long term experiments on major rainfed production systems in India show that higher amount of crop residue C input (Mg/ha/y) return back to soil in soybean-safflower (3.37) system practiced in Vertisol region of central India. Long term addition of chemical fertilizer and organic amendments improved the SOC stock. For every Mg/ha increase in SOC stock in the root zone, there occurs an increase in grain yield (kg/ha) of 13, 101, 90, 170, 145, 18 and 160 for groundnut, finger millet, sorghum, pearl millet, soybean and rice, respectively. Long-term cropping without using any organic amendment and/or mineral fertilizers can severely deplete the SOC stock which is the highest in groundnut-finger millet system (0.92 Mg C/ha/y) in Alfisols. Some agroforestry systems also have a huge potential of C sequestration to the extent of 10Mg/ha/y in short rotation eucalyptus and Leucaena plantations. The critical level of C input requirements for maintaining SOC at the antecedent level ranges from 1.1 to 3.5 Mg C/ha/y and differs among soil type and production systems. National level policy interventions needed to promote sustainable use of soil and water resources include prohibiting residue burning, reducing deforestation, promoting integrated farming systems and facilitating payments for ecosystem services. A wide spread adoption of these measures can improve soil quality through increase in SOC sequestration and improvement in agronomic productivity of

  14. Embedded system in FPGA-based LLRF controller for FLASH

    Science.gov (United States)

    Szewinski, Jaroslaw; Pucyk, Piotr; Jalmuzna, Wojciech; Fafara, Przemyslaw; Pieciukiewicz, Marcin; Romaniuk, Ryszard; Pozniak, Krzysztof T.

    2006-10-01

    FPGA devices are often used in High Energy Physics and accelerator technology experiments, where the highest technologies are needed. To make FPGA based systems more flexible, common technique is to provide SoC (System on a Chip) solution in the FPGA, which is in most cases a CPU unit. Such a combination gives possibility to balance between hardware and software implementation of particular task. SoC solution on FPGA can be very flexible, because in simplest cases no additional hardware is needed to run programs on CPU, and when system has such devices like UART, SDRAM memory, mass storage and network interface, it can handle full featured operating system such as Linux or VxWorks. Embedded process can be set up in different configurations, depending on the available resources on board, so every user can adjust system to his own needs. Embedded systems can be also used to perform partial self-reconfiguration of FPGA logic of the chip, on which the system is running. This paper will also present some results on SoC implementations in a Low Level RF system under design for the VUV Free Electron Laser, FLASH, DESY, Hamburg.

  15. A Low Power, Parallel Wearable Multi-Sensor System for Human Activity Evaluation.

    Science.gov (United States)

    Li, Yuecheng; Jia, Wenyan; Yu, Tianjian; Luan, Bo; Mao, Zhi-Hong; Zhang, Hong; Sun, Mingui

    2015-04-01

    In this paper, the design of a low power heterogeneous wearable multi-sensor system, built with Zynq System-on-Chip (SoC), for human activity evaluation is presented. The powerful data processing capability and flexibility of this SoC represent significant improvements over our previous ARM based system designs. The new system captures and compresses multiple color images and sensor data simultaneously. Several strategies are adopted to minimize power consumption. Our wearable system provides a new tool for the evaluation of human activity, including diet, physical activity and lifestyle.

  16. Potential of Reversible Solid Oxide Cells as Electricity Storage System

    Directory of Open Access Journals (Sweden)

    Paolo Di Giorgio

    2016-08-01

    Full Text Available Electrical energy storage (EES systems allow shifting the time of electric power generation from that of consumption, and they are expected to play a major role in future electric grids where the share of intermittent renewable energy systems (RES, and especially solar and wind power plants, is planned to increase. No commercially available technology complies with all the required specifications for an efficient and reliable EES system. Reversible solid oxide cells (ReSOC working in both fuel cell and electrolysis modes could be a cost effective and highly efficient EES, but are not yet ready for the market. In fact, using the system in fuel cell mode produces high temperature heat that can be recovered during electrolysis, when a heat source is necessary. Before ReSOCs can be used as EES systems, many problems have to be solved. This paper presents a new ReSOC concept, where the thermal energy produced during fuel cell mode is stored as sensible or latent heat, respectively, in a high density and high specific heat material and in a phase change material (PCM and used during electrolysis operation. The study of two different storage concepts is performed using a lumped parameters ReSOC stack model coupled with a suitable balance of plant. The optimal roundtrip efficiency calculated for both of the configurations studied is not far from 70% and results from a trade-off between the stack roundtrip efficiency and the energy consumed by the auxiliary power systems.

  17. An approach to improve the match-on-card fingerprint authentication system security

    CSIR Research Space (South Africa)

    Nair, Kishor Krishnan

    2016-07-01

    Full Text Available -on-Card (TOC), Match-on- Card (MOC), Work-Sharing On-Card (WSOC), and System-on-Card (SOC). Out of these four approaches, the SOC is considered as the most secure and expensive, whereas the TOC is considered as the least secure and least expensive. The MOC...

  18. An Approach to Improve the Match-on-Card ngerprint Authentication System Security

    CSIR Research Space (South Africa)

    Nair, Kishor Krishnan

    2016-08-18

    Full Text Available -on-Card (TOC), Match-on-Card (MOC), Work-Sharing On-Card (WSOC), and System-on-Card (SOC). Out of these four approaches, the SOC is considered as the most secure and expensive, whereas the TOC is considered as the least secure and least expensive. The MOC...

  19. Legal Protection on IP Cores for System-on-Chip Designs

    Science.gov (United States)

    Kinoshita, Takahiko

    The current semiconductor industry has shifted from vertical integrated model to horizontal specialization model in term of integrated circuit manufacturing. In this circumstance, IP cores as solutions for System-on-Chip (SoC) have become increasingly important for semiconductor business. This paper examines to what extent IP cores of SoC effectively can be protected by current intellectual property system including integrated circuit layout design law, patent law, design law, copyright law and unfair competition prevention act.

  20. Mapping the variation of soil organic carbon (SOC) stock in time and space in Sicily, an extremely variable semi-arid Mediterranean region, highlighted that C was lost in area rich in organic C and gained in poor-C areas

    Science.gov (United States)

    Schillaci, Calogero; Acutis, Marco; Lombardo, Luigi; Lipani, Aldo; Fantappiè, Maria; Märker, Michael; Saia, Sergio

    2017-04-01

    The stock of organic carbon in the soil (SOC) is an indicator of soil ability to support agro-ecosystems productivity and resilience to environmental changes (Schillaci et al. 2016; 2017). In addition, SOC stock change through space and especially time is a valuable indicator of the soil ability to sequester CO2 from the atmosphere and thus its potential to reduce the greenhouse gas effect. In the present work, we mapped (1-km resolution) the space-time variation of the SOC stock after 15 years (1993 to 2008) in a semi-arid Mediterranean area (25,286 km2) after modelling SOC concentration (0-0.4 m depth) with boosted regression trees (BRT) and computing the SOC stock after the application of the bulk density maps of ISRIC (soilgrid.com, Hengl et al., 2014). The area under study (Sicily, south of Italy) has a plenty of contrasting environments, with changing ecosystems, soils, and microclimatic regions. The BRT procedure was run with a set of 25 predictors per year, including land use, soil traits, morphometric indicators and remote sensing covariates (derived from Landsat5 data). The BRT output consisted of a high pseudo-R2(=0.71 for 1993 and 0.63 for 2008) of the SOC concentration, low uncertainty (standard deviation doi:10.1016/j.geoderma.2016.10.

  1. [Soil quality assessment under different cropping system and straw management in farmland of arid oasis region].

    Science.gov (United States)

    Zhang, Peng Peng; Pu, Xiao Zhen; Zhang, Wang Feng

    2018-03-01

    To reveal the regulatory mechanism of agricultural management practices on soil quality, an experiment was carried out to study the different cropping system and straw management on soil organic carbon and fractions and soil enzyme activity in farmland of arid oasis region, which would provide a scientific basic for enhancing agricultural resources utilization and sustainable development. In crop planting planning area, we took the mainly crop (cotton, wheat, maize) as research objects and designed long-term continues cropping and crop rotation experiments. The results showed that the soil organic carbon (SOC), soil microbial biomass C, labile C, water-soluble organic C, and hot-water-soluble organic C content were increased by 3.6%-9.9%, 41.8%-98.9%, 3.3%-17.0%, 11.1%-32.4%, 4.6%-27.5% by crop rotation compared to continues cropping, and 12%-35.9%, 22.4%-49.7%, 30.7%-51.0%, 10.6%-31.9%, 41.0%-96.4% by straw incorporated compared to straw removed, respectively. The soil catalase, dehydrogenase, β-glucosidase, invertase glucose, cellulase glucose activity were increased by 6.4%-10.9%, 6.6%-18.8%, 5.9%-15.3%, 10.0%-27.4%, 28.1%-37.5% by crop rotation compared to continues cropping, and 31.4%-47.5%, 19.9%-46.6%, 13.8%-20.7%, 19.8%-55.6%, 54.1%-70.9% by straw incorporated compared to straw removed, respectively. There were significant positive linear correlations among SOC, labile SOC fractions and soil enzyme. Therefore, we concluded that labile SOC fractions and soil enzyme were effective index for evaluating the change of SOC and soil quality. Based on factor analysis, in arid region, developing agricultural production using cropland management measures, such as straw-incorporated and combined short-term continues cotton and crop rotation, could enhance SOC and labile SOC fractions contents and soil enzyme activity, which could improve soil quality and be conducive to agricultural sustainable development.

  2. Soil Organic Carbon (SOC) distribution in two differents soil types (Podzol and Andosol) under natural forest cover.

    Science.gov (United States)

    Álvarez-Romero, Marta; Papa, Stefania; Verstraeten, Arne; Cools, Nathalie; Lozano-García, Beatriz; Parras-Alcántara, Luis; Coppola, Elio

    2017-04-01

    Andosols are young soils that shall know a successive evolution towards pedological types where the dominant pedogenetic processes are more evident. Vegetation and climate influence Andosols evolution to other order of soils. In cold and wet climates or on acid vulcanite under heavy leaching young Andosols could change into Podzols (Van Breemn and Buurman, 1998). Were investigated a Podzol soil (World References Base, 2014) at Zoniën (Belgium), were and an Andosol soil (World References Base, 2014) at Lago Laceno (Avellino, Italy). This study shows the data on the SOC (Soil Organic Carbon) fractionation in two profiles from two natural pine forest soils. Together with the conventional activities of sampling and analysis of soil profile were examined surveys meant to fractionation and characterization of SOC, in particular: Total Organic Carbon (TOC) and Total Extractable Carbon (TEC) soil contents were determined by Italian official method of soil analysis (Mi.P.A.F. (2000)). Different soil C fractions were also determined: Humic Acid Carbon (HAC), Fulvic Acid Carbon (FAC), Not Humic Carbon (NHC) and Humin Carbon (Huc) fractions were obtained by difference. In the whole profile, therefore, were also assayed cellulose and lignin contents. The aim of this work was to compare the distribution of different soil organic components in a podzol and a soil with andic properties. The data show great similarity, among the selected profiles, in the organic components distribution estudied. References: - Mi.P.A.F. - Ministero per le Politiche Agricole e Forestali - Osservatorio Nazionale Pedologico e per la Qualità del Suolo (2000): Metodi Ufficiali di Analisi Chimica del Suolo. In: Franco Angeli (Editor), Collana di metodi analitici per l'agricoltura diretta da Paolo Sequi, n. 1124.2, Milano, Italy. - Van Breemn N. and Buurman P. (1998) Chapter 12 Formation of Andisols. In: Soil formation. Kluwer Ed., Wageningen, The Netherlands, 271-289. -Ussiri D.A.N., Johnson C

  3. Q-systems as cluster algebras

    International Nuclear Information System (INIS)

    Kedem, Rinat

    2008-01-01

    Q-systems first appeared in the analysis of the Bethe equations for the XXX model and generalized Heisenberg spin chains (Kirillov and Reshetikhin 1987 Zap. Nauchn. Sem. Leningr. Otd. Mat. Inst. Steklov. 160 211-21, 301). Such systems are known to exist for any simple Lie algebra and many other Kac-Moody algebras. We formulate the Q-system associated with any simple, simply-laced Lie algebras g in the language of cluster algebras (Fomin and Zelevinsky 2002 J. Am. Math. Soc. 15 497-529), and discuss the relation of the polynomiality property of the solutions of the Q-system in the initial variables, which follows from the representation-theoretical interpretation, to the Laurent phenomenon in cluster algebras (Fomin and Zelevinsky 2002 Adv. Appl. Math. 28 119-44)

  4. Soil organic carbon distribution in Mediterranean areas under a climate change scenario via multiple linear regression analysis.

    Science.gov (United States)

    Olaya-Abril, Alfonso; Parras-Alcántara, Luis; Lozano-García, Beatriz; Obregón-Romero, Rafael

    2017-08-15

    Over time, the interest on soil studies has increased due to its role in carbon sequestration in terrestrial ecosystems, which could contribute to decreasing atmospheric CO 2 rates. In many studies, independent variables were related to soil organic carbon (SOC) alone, however, the contribution degree of each variable with the experimentally determined SOC content were not considered. In this study, samples from 612 soil profiles were obtained in a natural protected (Red Natura 2000) of Sierra Morena (Mediterranean area, South Spain), considering only the topsoil 0-25cm, for better comparison between results. 24 independent variables were used to define it relationship with SOC content. Subsequently, using a multiple linear regression analysis, the effects of these variables on the SOC correlation was considered. Finally, the best parameters determined with the regression analysis were used in a climatic change scenario. The model indicated that SOC in a future scenario of climate change depends on average temperature of coldest quarter (41.9%), average temperature of warmest quarter (34.5%), annual precipitation (22.2%) and annual average temperature (1.3%). When the current and future situations were compared, the SOC content in the study area was reduced a 35.4%, and a trend towards migration to higher latitude and altitude was observed. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Anàlisi i integració de models de programació paral·lels en SoC Tegra 2

    OpenAIRE

    Prat Robles, David

    2011-01-01

    Anàlisi i integració de models de programació paral.lels en SoC Tegra 2 Instal·lació Linux i verificació del funcionament Benchmarks amb altres processadors Escalabilitat de Pthreads VS OpenMP Muntatge del clúster amb MPI Mesures des la xarxa Ethernet Portar StarSs amb NANOS++ a ARMv7 Mesures de consum i temperatura Conclusions i prediccions

  6. System Engineering Analysis of Squadron Officer College

    Science.gov (United States)

    2012-03-01

    study identified five challenges to converting to a blended learning course. The greatest challenge is getting commitment and buy -in from senior...students thru the Army and Air Force Exchange Service (AAFES) snack bar. Managers are responsible for ensuring adequate support throughout the...Administration Function The administration function allows daily tasks to operate. SOC administration functions include providing students with

  7. Fiscal 2000 research achievement report on the research and development of advanced design technologies for system-on-chip; 2000 nendo system on chip sentan sekkei gijutsu no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-05-01

    Efforts were made to develop technologies for rapid improvement in SoC (system on chip) design productivity. In concrete terms, the concept of V-core (virtual core) was introduced into SoC design for the establishment of reusing technology and design automation in the uppermost stream region of designing. Activities were conducted in the two fields of (1) research and development of V-core based design technology and (2) research and development of a V-core database. Efforts exerted in field (1) aimed at the research and development of system specifications description technology, architecture generation technology, soft V-core internal structure optimization technology, optimized RTL (register transfer level) description generation technology, and system performance verification technology. In field (2), efforts were made to develop core database technology, core development support tools, core verification technology, and design assets verification technology. The system specifications description technology is a technique to define SoC system level specifications (degree of model abstraction). (NEDO)

  8. Quantification of SOC and Clay Content Using Visible Near-Infrared Reflectance–Mid-Infrared Reflectance Spectroscopy With Jack-Knifing Partial Least Squares Regression

    DEFF Research Database (Denmark)

    Peng, Yi; Knadel, Maria; Gislum, René

    2014-01-01

    A total of 125 soil samples were collected from a Danish field varying in soil texture from sandy to loamy. Visible near-infrared reflectance (Vis-NIR) and mid-infrared reflectance (MIR) spectroscopy combined with chemometric methods were used to predict soil organic carbon (SOC) and clay content...

  9. An Elongin-Cullin-SOCS Box Complex Regulates Stress-Induced Serotonergic Neuromodulation

    Directory of Open Access Journals (Sweden)

    Xicotencatl Gracida

    2017-12-01

    Full Text Available Neuromodulatory cells transduce environmental information into long-lasting behavioral responses. However, the mechanisms governing how neuronal cells influence behavioral plasticity are difficult to characterize. Here, we adapted the translating ribosome affinity purification (TRAP approach in C. elegans to profile ribosome-associated mRNAs from three major tissues and the neuromodulatory dopaminergic and serotonergic cells. We identified elc-2, an Elongin C ortholog, specifically expressed in stress-sensing amphid neuron dual ciliated sensory ending (ADF serotonergic sensory neurons, and we found that it plays a role in mediating a long-lasting change in serotonin-dependent feeding behavior induced by heat stress. We demonstrate that ELC-2 and the von Hippel-Lindau protein VHL-1, components of an Elongin-Cullin-SOCS box (ECS E3 ubiquitin ligase, modulate this behavior after experiencing stress. Also, heat stress induces a transient redistribution of ELC-2, becoming more nuclearly enriched. Together, our results demonstrate dynamic regulation of an E3 ligase and a role for an ECS complex in neuromodulation and control of lasting behavioral states.

  10. Relationships among sense of coherence, oral health status, nutritional status and care need level of older adults according to path analysis.

    Science.gov (United States)

    Dewake, Nanae; Hamasaki, Tomoko; Sakai, Rie; Yamada, Shima; Nima, Yuko; Tomoe, Miki; Kakuta, Satoko; Iwasaki, Masanori; Soh, Inho; Shimazaki, Yoshihiro; Ansai, Toshihiro

    2017-11-01

    Sense of coherence (SOC) is a measurement of ability of an individual to cope with psychological stress and remain in good health. The aim of the present study was to examine the relationships among SOC score, oral health status, nutritional status and care need level of older adults using path analysis. We enrolled 53 older adults (17 men and 36 women) who were attending a day care service (mean age 80.4 ± 6.5 years). SOC was assessed using a 13-item, seven-scale instrument. Oral health status (number of present teeth, denture use) and nutritional status (assessed with Mini-Nutritional Assessment Short-Form) were also evaluated. Path analysis was used to examine the relationship of SOC with other related factors, including care need level. The mean SOC score was 57.0 ± 13.9. Mini-Nutritional Assessment Short-Form results showed that one participant (1.8%) was malnourished, 26 (49.1%) were at risk of malnutrition and 26 (49.1%) had normal nutritional status. Participants with high SOC scores showed a strong positive attitude, had a relatively large number of teeth, were in good nutritional condition and showed low care need levels. The present results showed that maintaining a high SOC level and good oral health help to reduce care need levels in older adults, and also prevent a worsening of their nutritional condition. Geriatr Gerontol Int 2017; 17: 2083-2088. © 2017 Japan Geriatrics Society.

  11. Adaptive state-of-charge indication system for a Li-ion battery-powered devices

    NARCIS (Netherlands)

    Pop, V.; Danilov, D.; Bergveld, H.J.; Notten, P.H.L.; Regtien, P.P.L.

    2006-01-01

    Accurate State-of-Charge (SoC) and remammg run-time indication for portable devices is important for the user convenience and to prolong the lifetime of batteries. So far, no one succeeded in coming up with a SoC system that is accurate enough under all realistic user conditions. An algorithm that

  12. Multimedia Terminal System-on-Chip Design and Simulation

    Directory of Open Access Journals (Sweden)

    Barbieri Ivano

    2005-01-01

    Full Text Available This paper proposes a design approach based on integrated architectural and system-on-chip (SoC simulations. The main idea is to have an efficient framework for the design and the evaluation of multimedia terminals, allowing a fast system simulation with a definable degree of accuracy. The design approach includes the simulation of very long instruction word (VLIW digital signal processors (DSPs, the utilization of a device multiplexing the media streams, and the emulation of the real-time media acquisition. This methodology allows the evaluation of both the multimedia algorithm implementations and the hardware platform, giving feedback on the complete SoC including the interaction between modules and conflicts in accessing either the bus or shared resources. An instruction set architecture (ISA simulator and an SoC simulation environment compose the integrated framework. In order to validate this approach, the evaluation of an audio-video multiprocessor terminal is presented, and the complete simulation test results are reported.

  13. Spin force and the generation of sustained spin current in time-dependent Rashba and Dresselhaus systems

    International Nuclear Information System (INIS)

    Ho, Cong Son; Tan, Seng Ghee; Jalil, Mansoor B. A.

    2014-01-01

    The generation of spin current and spin polarization in a two-dimensional electron gas structure is studied in the presence of Dresselhaus and Rashba spin-orbit couplings (SOC), the strength of the latter being modulated in time by an ac gate voltage. By means of the non-Abelian gauge field approach, we established the relation between the Lorentz spin force and the spin current in the SOC system, and showed that the longitudinal component of the spin force induces a transverse spin current. For a constant (time-invariant) Rashba system, we recover the universal spin Hall conductivity of e/(8π) , derived previously via the Berry phase and semi-classical methods. In the case of a time-dependent SOC system, the spin current is sustained even under strong impurity scattering. We evaluated the ac spin current generated by a time-modulated Rashba SOC in the absence of any dc electric field. The magnitude of the spin current reaches a maximum when the modulation frequency matches the Larmor frequency of the electrons

  14. Debugging systems-on-chip communication-centric and abstraction-based techniques

    CERN Document Server

    Vermeulen, Bart

    2014-01-01

    This book describes an approach and supporting infrastructure to facilitate debugging the silicon implementation of a System-on-Chip (SOC), allowing its associated product to be introduced into the market more quickly.  Readers learn step-by-step the key requirements for debugging a modern, silicon SOC implementation, nine factors that complicate this debugging task, and a new debug approach that addresses these requirements and complicating factors.  The authors’ novel communication-centric, scan-based, abstraction-based, run/stop-based (CSAR) debug approach is discussed in detail, showing how it helps to meet debug requirements and address the nine, previously identified factors that complicate debugging silicon implementations of SOCs. The authors also derive the debug infrastructure requirements to support debugging of a silicon implementation of an SOC with their CSAR debug approach. This debug infrastructure consists of a generic on-chip debug architecture, a configurable automated design-for-debug ...

  15. A Point Mutation in Suppressor of Cytokine Signalling 2 (Socs2 Increases the Susceptibility to Inflammation of the Mammary Gland while Associated with Higher Body Weight and Size and Higher Milk Production in a Sheep Model.

    Directory of Open Access Journals (Sweden)

    Rachel Rupp

    2015-12-01

    Full Text Available Mastitis is an infectious disease mainly caused by bacteria invading the mammary gland. Genetic control of susceptibility to mastitis has been widely evidenced in dairy ruminants, but the genetic basis and underlying mechanisms are still largely unknown. We describe the discovery, fine mapping and functional characterization of a genetic variant associated with elevated milk leukocytes count, or SCC, as a proxy for mastitis. After implementing genome-wide association studies, we identified a major QTL associated with SCC on ovine chromosome 3. Fine mapping of the region, using full sequencing with 12X coverage in three animals, provided one strong candidate SNP that mapped to the coding sequence of a highly conserved gene, suppressor of cytokine signalling 2 (Socs2. The frequency of the SNP associated with increased SCC was 21.7% and the Socs2 genotype explained 12% of the variance of the trait. The point mutation induces the p.R96C substitution in the SH2 functional domain of SOCS2 i.e. the binding site of the protein to various ligands, as well-established for the growth hormone receptor GHR. Using surface plasmon resonance we showed that the p.R96C point mutation completely abrogates SOCS2 binding affinity for the phosphopeptide of GHR. Additionally, the size, weight and milk production in p.R96C homozygote sheep, were significantly increased by 24%, 18%, and 4.4%, respectively, when compared to wild type sheep, supporting the view that the point mutation causes a loss of SOCS2 functional activity. Altogether these results provide strong evidence for a causal mutation controlling SCC in sheep and highlight the major role of SOCS2 as a tradeoff between the host's inflammatory response to mammary infections, and body growth and milk production, which are all mediated by the JAK/STAT signaling pathway.

  16. Novel sampling methods for atmospheric semi-volatile organic compounds (SOCs) in a high altitude alpine environment.

    Science.gov (United States)

    Offenthaler, I; Jakobi, G; Kaiser, A; Kirchner, M; Kräuchi, N; Niedermoser, B; Schramm, K-W; Sedivy, I; Staudinger, M; Thanner, G; Weiss, P; Moche, W

    2009-12-01

    High- and low-volume active air samplers as well as bulk deposition samplers were developed to sample atmospheric SOCs under the adverse conditions of a mountain environment. Active sampling employed separate filters for different European source regions. Filters were switched depending on daily trajectory forecasts, whose accuracy was evaluated post hoc. The sampling continued on three alpine summits over five periods of four months. The prevailing trajectories varied stronger between sampling periods than between stations. The sampling equipment (active and bulk deposition) proved dependable for operation in a mountain environment, with idle times being mainly due to non-routine manipulations and connectivity.

  17. Optimization of a PEMFC/battery pack power system for a bus application

    International Nuclear Information System (INIS)

    Barelli, Linda; Bidini, Gianni; Ottaviano, Andrea

    2012-01-01

    Highlights: ► A dynamic model of a PEMFC/battery system for bus traction has been developed. ► The model incorporates the dynamics of the fuel cell and the state of charge (SOC) of the battery pack. ► The system output power have been determined according to the real driving load demand of a bus during 12 h. ► The model has allowed the sizing of the fuel cell and the hydrogen tank with the SOC control strategy optimization. ► The PEMFC power that allows to optimize the operation in terms of both SOC control strategy and consumption is 33 kW e . -- Abstract: In a global environment context in which the urgent need to reduce pollutant emissions is of central relevance, it is becoming increasingly important the research for solutions, concerning the vehicular transport sector with low environmental impact. Fuel cell technology is expected to become a viable solution for these applications due to its environmental friendly characteristics. The present study concerns the traction system of a bus considering the case of hybrid solutions consisting of a proton exchange membrane fuel cell (PEMFC) in parallel with a battery pack. In particular, a dynamic model of a PEMFC/battery system is presented for the application under study. The model incorporates the dynamics of the fuel cell and the state of charge (SOC) of the battery pack. The fuel cell and the battery output power have been determined according to the real driving load demand of a bus taking into consideration a daily operation of 12 h. Such a model has allowed the correct dimensioning of the hybrid power system (giving a particular attention to the fuel cell and the hydrogen tank) together with the optimization of the SOC control strategy.

  18. A comparison of soil organic carbon stocks in Viking Age and modern land use systems in Denmark

    DEFF Research Database (Denmark)

    Breuning-Madsen, Henrik; Kristensen, J.Aa.; Holst, M.K.

    2013-01-01

    in modern farmlands during thousands of years in relation to inputs of manure, fertilizers, liming and drainage. In this paper the SOC stocks from anaerobic soil horizons in two big loamy burial mounds from the Viking Age, representing the land use system 1000 years ago, are compared with results from...... land use systems with high input of manure. Compared to ancient sandy soils that do not show any SOC loss during the past 3000 years, there is a clear SOC loss from the loamy soils, probably about 40% during the last 150 years, where most of the loamy soils have been drained. (C) 2013 Elsevier B.V. All...

  19. An Improved Energy Management Strategy for Hybrid Energy Storage System in Light Rail Vehicles

    Directory of Open Access Journals (Sweden)

    Long Cheng

    2018-02-01

    Full Text Available A single-objective optimization energy management strategy (EMS for an onboard hybrid energy storage system (HESS for light rail (LR vehicles is proposed. The HESS uses batteries and supercapacitors (SCs. The main objective of the proposed optimization is to reduce the battery and SC losses while maintaining the SC state of charge (SOC within specific limits based on the distance between consecutive LR stations. To do this, a series of optimized SOC limits is used to prevent the SC from becoming exhausted prematurely instead of the standard SC SOC penalty term in the cost function. Meanwhile, a rule-based EMS (RB-EMS is used to give the SCs charging priority over the batteries when the vehicle is braking. Moreover, a simplified method for the optimization is proposed to reduce the computational burden. Simulation and experimental results for the proposed EMS and a standard SC SOC penalty-based cost function optimization are provided to evaluate losses. As a result, it is shown that the proposed EMS, compared with standard SC SOC penalty-based cost function optimization, decreases losses and prevents the SOC from reach the discharging limits.

  20. Non-equilibrium study of spin wave interference in systems with both Rashba and Dresselhaus (001) spin-orbit coupling

    International Nuclear Information System (INIS)

    Chen, Kuo-Chin; Su, Yu-Hsin; Chang, Ching-Ray; Chen, Son-Hsien

    2014-01-01

    We study the electron spin transport in two dimensional electron gas (2DEG) system with both Rashba and Dresselhaus (001) spin-orbital coupling (SOC). We assume spatial behavior of spin precession in the non-equilibrium transport regime, and study also quantum interference induced by non-Abelian spin-orbit gauge field. The method we adopt in this article is the non-equilibrium Green's function within a tight binding framework. We consider one ferromagnetic lead which injects spin polarized electron to a system with equal strength of Rashba and Dresselhaus (001) SOC, and we observe the persistent spin helix property. We also consider two ferromagnetic leads injecting spin polarized electrons into a pure Dresselhaus SOC system, and we observe the resultant spin wave interference pattern

  1. Computer System Design System-on-Chip

    CERN Document Server

    Flynn, Michael J

    2011-01-01

    The next generation of computer system designers will be less concerned about details of processors and memories, and more concerned about the elements of a system tailored to particular applications. These designers will have a fundamental knowledge of processors and other elements in the system, but the success of their design will depend on the skills in making system-level tradeoffs that optimize the cost, performance and other attributes to meet application requirements. This book provides a new treatment of computer system design, particularly for System-on-Chip (SOC), which addresses th

  2. Quantum pump in a system with both Rashba and Dresselhaus spin–orbit couplings

    International Nuclear Information System (INIS)

    Xiao, Yun-Chang; Deng, Wei-Yin; Deng, Wen-Ji; Zhu, Rui; Wang, Rui-Qiang

    2013-01-01

    We investigate the adiabatic quantum pump phenomena in a semiconductor with Rashba and Dresselhaus spin–orbit couplings (SOCs). Although it is driven by applying spin-independent potentials, the system can pump out spin-dependent currents, i.e., generate nonzero charge and spin currents at the same time. The SOC can modulate both the magnitude and the direction of currents, exhibiting an oscillating behavior. Moreover, it is shown that the spin current has different sensitivities to two types of the SOC. These results provide an alternative method to adjust pumped current and might be helpful for designing spin pumping devices.

  3. Spin polarization of tunneling current in barriers with spin-orbit coupling

    International Nuclear Information System (INIS)

    Fujita, T; Jalil, M B A; Tan, S G

    2008-01-01

    We present a general method for evaluating the maximum transmitted spin polarization and optimal spin axis for an arbitrary spin-orbit coupling (SOC) barrier system, in which the spins lie in the azimuthal plane and finite spin polarization is achieved by wavevector filtering of electrons. Besides momentum filtering, another prerequisite for finite spin polarization is asymmetric occupation or transmission probabilities of the eigenstates of the SOC Hamiltonian. This is achieved most efficiently by resonant tunneling through multiple SOC barriers. We apply our analysis to common SOC mechanisms in semiconductors: pure bulk Dresselhaus SOC, heterostructures with mixed Dresselhaus and Rashba SOC and strain-induced SOC. In particular, we find that the interplay between Dresselhaus and Rashba SOC effects can yield several advantageous features for spin filter and spin injector functions, such as increased robustness to wavevector spread of electrons

  4. Spin polarization of tunneling current in barriers with spin-orbit coupling.

    Science.gov (United States)

    Fujita, T; Jalil, M B A; Tan, S G

    2008-03-19

    We present a general method for evaluating the maximum transmitted spin polarization and optimal spin axis for an arbitrary spin-orbit coupling (SOC) barrier system, in which the spins lie in the azimuthal plane and finite spin polarization is achieved by wavevector filtering of electrons. Besides momentum filtering, another prerequisite for finite spin polarization is asymmetric occupation or transmission probabilities of the eigenstates of the SOC Hamiltonian. This is achieved most efficiently by resonant tunneling through multiple SOC barriers. We apply our analysis to common SOC mechanisms in semiconductors: pure bulk Dresselhaus SOC, heterostructures with mixed Dresselhaus and Rashba SOC and strain-induced SOC. In particular, we find that the interplay between Dresselhaus and Rashba SOC effects can yield several advantageous features for spin filter and spin injector functions, such as increased robustness to wavevector spread of electrons.

  5. Comments on ''The optimization of electronic precision in ultrasonic velocity measurements: A comparison of the time interval averaging and sing around methods'' [J. Acoust. Soc. Am. 73, 1833--1837 (1983)

    International Nuclear Information System (INIS)

    Karplus, H.B.

    1984-01-01

    J. D. Aindow and R. C. Chivers [J. Acoust. Soc. Am. 73, 1833 (1983)] compared the precision of the direct ''time-of-flight'' technique with the ''sing-around'' method for sound velocity measurement. Their conclusion is changed by the newer, faster, commercial clocks (2 ns HP5345<0.1 ns HP5370), giving the advantage to the time of flight method. The analysis is herewith augmented by calculating the time jitter in terms of signal to noise ratio, which was correctly shown to be negligible with 100-ns clocks, but becomes increasingly more significant with faster clocks

  6. Metal–organic frameworks to satisfy gas upgrading demands: fine-tuning the soc-MOF platform for the operative removal of H2S

    KAUST Repository

    Belmabkhout, Youssef; Pillai, Renjith S.; Alezi, Dalal; Shekhah, Osama; Bhatt, Prashant; Chen, Zhijie; Adil, Karim; Vaesen, Sebastien; De Weireld, Guy; Pang, Maolin; Suetin, Mikhail; Cairns, Amy; Solovyeva, Vera; Shkurenko, Aleksander; El Tall, Omar; Maurin, Guillaume; Eddaoudi, Mohamed

    2017-01-01

    -framework counter ions (NO3-, Cl- and Br-). In3+-, Fe3+-, Ga3+-and the newly isolated Al(III)-based isostructural soc-MOF were extensively studied and evaluated for the separation-based production of high-quality fuels (i.e., CH4, C3H8 and n-C4H10) and olefins

  7. State-of-Charge Estimation and Active Cell Pack Balancing Design of Lithium Battery Power System for Smart Electric Vehicle

    OpenAIRE

    Gao, Z. C.; Chin, C. S.; Toh, W. D.; Chiew, J.; Jia, J.

    2017-01-01

    This paper presents an integrated state-of-charge (SOC) estimation model and active cell balancing of a 12-cell lithium iron phosphate (LiFePO4) battery power system. The strong tracking cubature extended Kalman filter (STCEKF) gave an accurate SOC prediction compared to other Kalman-based filter algorithms. The proposed groupwise balancing of the multiple SOC exhibited a higher balancing speed and lower balancing loss than other cell balancing designs. The experimental results demonstrated t...

  8. Soil and crop residue CO2-C emission under tillage systems in sugarcane-producing areas of southern Brazil

    Directory of Open Access Journals (Sweden)

    Luís Gustavo Teixeira

    2013-10-01

    Full Text Available Appropriate management of agricultural crop residues could result in increases on soil organic carbon (SOC and help to mitigate gas effect. To distinguish the contributions of SOC and sugarcane (Saccharum spp. residues to the short-term CO2-C loss, we studied the influence of several tillage systems: heavy offset disk harrow (HO, chisel plow (CP, rotary tiller (RT, and sugarcane mill tiller (SM in 2008, and CP, RT, SM, moldboard (MP, and subsoiler (SUB in 2009, with and without sugarcane residues relative to no-till (NT in the sugarcane producing region of Brazil. Soil CO2-C emissions were measured daily for two weeks after tillage using portable soil respiration systems. Daily CO2-C emissions declined after tillage regardless of tillage system. In 2008, total CO2-C from SOC and/or residue decomposition was greater for RT and lowest for CP. In 2009, emission was greatest for MP and CP with residues, and smallest for NT. SOC and residue contributed 47 % and 41 %, respectively, to total CO2-C emissions. Regarding the estimated emissions from sugarcane residue and SOC decomposition within the measurement period, CO2-C factor was similar to sugarcane residue and soil organic carbon decomposition, depending on the tillage system applied. Our approach may define new emission factors that are associated to tillage operations on bare or sugarcane-residue-covered soils to estimate the total carbon loss.

  9. Changes in soil organic carbon and total nitrogen in croplands converted to walnut-based agroforestry systems and orchards in southeastern Loess Plateau of China.

    Science.gov (United States)

    Lu, Sen; Meng, Ping; Zhang, Jinsong; Yin, Changjun; Sun, Shiyou

    2015-11-01

    Limited information is available on the effects of agroforestry system practices on soil properties in the Loess Plateau of China. Over the last decade, a vegetation restoration project has been conducted in this area by converting cropland into tree-based agroforestry systems and orchards to combat soil erosion and degradation. The objective of the present study was to determine the effects of land use conversion on soil organic carbon and total nitrogen in southeastern Loess Plateau. The experiment included three treatments: walnut intercropping system (AF), walnut orchard (WO), and traditional cropland (CR). After 7 years of continual management, soil samples were collected at 0-10, 10-30, and 30-50-cm depths for three treatments, and soil organic carbon (SOC) and total nitrogen (TN) were measured. Results showed that compared with the CR and AF treatments, WO treatment decreased both SOC and TN concentrations in the 0-50-cm soil profile. However, similar patterns of SOC and TN concentrations were observed in the AF and CR treatments across the entire profile. The SOC stocks at 0-50-cm depth were 5.42, 5.52, and 4.67 kg m(-2) for CR, AF, and WO treatments, respectively. The calculated TN stocks at 0-50-cm depth were 0.63, 0.62, and 0.57 kg m(-2) for CR, AF, and WO treatments, respectively. This result demonstrated that the stocks of SOC and TN in WO were clearly lower than those of AF and CR and that the walnut-based agroforestry system was more beneficial than walnut monoculture in terms of SOC and TN sequestration. Owing to the short-term intercropping practice, the changes in SOC and TN stocks were slight in AF compared with those in CR. However, a significant decrease in SOC and TN stocks was observed during the conversion of cropland to walnut orchard after 7 years of management. We also found that land use types had no significant effect on soil C/N ratio. These findings demonstrated that intercropping between walnut rows can potentially maintain

  10. Use of inverse modeling to evaluate CENTURY-predictions for soil carbon sequestration in US rain-fed corn production systems.

    Directory of Open Access Journals (Sweden)

    Hoyoung Kwon

    Full Text Available We evaluated the accuracy and precision of the CENTURY soil organic matter model for predicting soil organic carbon (SOC sequestration under rainfed corn-based cropping systems in the US. This was achieved by inversely modeling long-term SOC data obtained from 10 experimental sites where corn, soybean, or wheat were grown with a range of tillage, fertilization, and organic matter additions. Inverse modeling was accomplished using a surrogate model for CENTURY's SOC dynamics sub-model wherein mass balance and decomposition kinetics equations from CENTURY are coded and solved by using a nonlinear regression routine of a standard statistical software package. With this approach we generated statistics of CENTURY parameters that are associated with the effects of N fertilization and organic amendment on SOC decay, which are not as well quantified as those of tillage, and initial status of SOC. The results showed that the fit between simulated and observed SOC prior to inverse modeling (R2 = 0.41 can be improved to R2 = 0.84 mainly by increasing the rate of SOC decay up to 1.5 fold for the year in which N fertilizer application rates are over 200 kg N ha-1. We also observed positive relationships between C inputs and the rate of SOC decay, indicating that the structure of CENTURY, and therefore model accuracy, could be improved by representing SOC decay as Michaelis-Menten kinetics rather than first-order kinetics. Finally, calibration of initial status of SOC against observed levels allowed us to account for site history, confirming that values should be adjusted to account for soil condition during model initialization. Future research should apply this inverse modeling approach to explore how C input rates and N abundance interact to alter SOC decay rates using C inputs made in various forms over a wider range of rates.

  11. Anatomy of a Security Operations Center

    Science.gov (United States)

    Wang, John

    2010-01-01

    Many agencies and corporations are either contemplating or in the process of building a cyber Security Operations Center (SOC). Those Agencies that have established SOCs are most likely working on major revisions or enhancements to existing capabilities. As principle developers of the NASA SOC; this Presenters' goals are to provide the GFIRST community with examples of some of the key building blocks of an Agency scale cyber Security Operations Center. This presentation viII include the inputs and outputs, the facilities or shell, as well as the internal components and the processes necessary to maintain the SOC's subsistence - in other words, the anatomy of a SOC. Details to be presented include the SOC architecture and its key components: Tier 1 Call Center, data entry, and incident triage; Tier 2 monitoring, incident handling and tracking; Tier 3 computer forensics, malware analysis, and reverse engineering; Incident Management System; Threat Management System; SOC Portal; Log Aggregation and Security Incident Management (SIM) systems; flow monitoring; IDS; etc. Specific processes and methodologies discussed include Incident States and associated Work Elements; the Incident Management Workflow Process; Cyber Threat Risk Assessment methodology; and Incident Taxonomy. The Evolution of the Cyber Security Operations Center viII be discussed; starting from reactive, to proactive, and finally to proactive. Finally, the resources necessary to establish an Agency scale SOC as well as the lessons learned in the process of standing up a SOC viII be presented.

  12. A preliminary assessment of system cost impacts of using transportable storage casks and other shippable metal casks in the utility/DOE spent fuel management system

    International Nuclear Information System (INIS)

    Johnson, E.R.

    1988-01-01

    In view of the foregoing, a study was conducted by E.R. Johnson Associates, Inc. and H and R Technical Associates, Inc. to determine the prospective viability of the use of TSCs and shippable SOCs in the combined utility/DOE system. This study considered costs, ALARA considerations and the logistics of the use and delivery of casks to the DOE system by utilities. It was intended that this study would result in a technical and cost resource base that could be used for evaluating various strategies and scenarios for deploying TSCs or SOCs in the combined utility/DOE spent fuel management system with respect to the prospective economic advantage that could be realized

  13. Novel sampling methods for atmospheric semi-volatile organic compounds (SOCs) in a high altitude alpine environment

    Energy Technology Data Exchange (ETDEWEB)

    Offenthaler, I. [Umweltbundesamt GmbH (Austria); Jakobi, G. [Helmholtz Zentrum Muenchen (German Research Centre for Environmental Health) (Germany); Kaiser, A. [ZAMG-Zentralanstalt fuer Meteorologie und Geo-dynamik (Austria); Kirchner, M. [Helmholtz Zentrum Muenchen (German Research Centre for Environmental Health) (Germany); Kraeuchi, N. [WSL-Swiss Federal Institute for Forest, Snow and Landscape Research (Switzerland); Niedermoser, B. [ZAMG-Zentralanstalt fuer Meteorologie und Geo-dynamik (Austria); Schramm, K.-W. [Helmholtz Zentrum Muenchen (German Research Centre for Environmental Health) (Germany); Sedivy, I. [WSL-Swiss Federal Institute for Forest, Snow and Landscape Research (Switzerland); Staudinger, M. [ZAMG-Zentralanstalt fuer Meteorologie und Geo-dynamik (Austria); Thanner, G.; Weiss, P. [Umweltbundesamt GmbH (Austria); Moche, W., E-mail: wolfgang.moche@umweltbundesamt.a [Umweltbundesamt GmbH (Austria)

    2009-12-15

    High- and low-volume active air samplers as well as bulk deposition samplers were developed to sample atmospheric SOCs under the adverse conditions of a mountain environment. Active sampling employed separate filters for different European source regions. Filters were switched depending on daily trajectory forecasts, whose accuracy was evaluated post hoc. The sampling continued on three alpine summits over five periods of four months. The prevailing trajectories varied stronger between sampling periods than between stations. The sampling equipment (active and bulk deposition) proved dependable for operation in a mountain environment, with idle times being mainly due to non-routine manipulations and connectivity. - Equipment for direction-specific air sampling and bulk deposition sampling in mountains was developed and tested.

  14. Understanding Hydrogen Sorption in In- soc -MOF: A Charged Metal-Organic Framework with Open-Metal Sites, Narrow Channels, and Counterions

    KAUST Repository

    Pham, Tony

    2015-03-04

    © 2015 American Chemical Society. Grand canonical Monte Carlo (GCMC) simulations of hydrogen sorption were performed in In-soc-MOF, a charged metal-organic framework (MOF) that contains In3O trimers coordinated to 5,5′-azobis(1,3-benzenedicarboxylate) linkers. The MOF contains nitrate counterions that are located in carcerand-like capsules of the framework. This MOF was shown to have a high hydrogen uptake at 77 K and 1.0 atm. The simulations were performed with a potential that includes explicit many-body polarization interactions, which were important for modeling gas sorption in a charged/polar MOF such as In-soc-MOF. The simulated hydrogen sorption isotherms were in good agreement with experiment in this challenging platform for modeling. The simulations predict a high initial isosteric heat of adsorption, Qst, value of about 8.5 kJ mol-1, which is in contrast to the experimental value of 6.5 kJ mol-1 for all loadings. The difference in the Qst behavior between experiment and simulation is attributed to the fact that, in experimental measurements, the sorbate molecules cannot access the isolated cages containing the nitrate ions, the most energetically favorable site in the MOF, at low pressures due to an observed diffusion barrier. In contrast, the simulations were able to capture the sorption of hydrogen onto the nitrate ions at low loading due to the equilibrium nature of GCMC simulations. The experimental Qst values were reproduced in simulation by blocking access to all of the nitrate ions in the MOF. Furthermore, at 77 K, the sorbed hydrogen molecules were reminiscent of a dense fluid in In-soc-MOF starting at approximately 5.0 atm, and this was verified by monitoring the isothermal compressibility, βT, values. The favorable sites for hydrogen sorption were identified from the polarization distribution as the nitrate ions, the In3O trimers, and the azobenzene nitrogen atoms. Lastly, the two-dimensional quantum rotational levels

  15. A VLSI System-on-Chip for Particle Detectors

    CERN Document Server

    AUTHOR|(CDS)2078019

    In this thesis I present a System-on-Chip (SoC) I designed to oer a self- contained, compact data acquisition platform for micromegas detector mon- itoring. I carried on my work within the RD-51 collab oration of CERN. With a companion ADC, my architecture is capable to acquire the signal from a detector electro de, pro cess the data and p erform monitoring tests. The SoC is built around on a custom 8-bit micropro cessor with internal mem- ory resources and emb eds the p eripherals to b e interf...

  16. Study on self organized criticality of China power grid blackouts

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Xingyong; Zhang, Xiubin; He, Bin [Department of Electrical Engineering, Shanghai Jiao Tong University, 800 Dongchuan Road, Minhang District, Shanghai 200240 (China)

    2009-03-15

    Based on the complex system theory and the concept of self organized criticality (SOC) theory, the mechanism of China power grid blackout is studied by analyzing the blackout data in the China power system from 1981 to 2002. The probability distribution functions of various measures of blackout size have a power tail. The analysis of scaled window variance and rescaled range statistics of the time series show moderate long time correlations. The blackout data seem consistent with SOC; the results obtained show that SOC dynamics may play an important role in the dynamics of power systems blackouts. It would be possible to propose novel approaches for understanding and controlling power systems blackouts. (author)

  17. Study on self organized criticality of China power grid blackouts

    Energy Technology Data Exchange (ETDEWEB)

    Zhao Xingyong [Department of Electrical Engineering, Shanghai Jiao Tong University, 800 Dongchuan Road, Minhang District, Shanghai 200240 (China)], E-mail: zhaoxingyong@sjtu.edu.cn; Zhang Xiubin; He Bin [Department of Electrical Engineering, Shanghai Jiao Tong University, 800 Dongchuan Road, Minhang District, Shanghai 200240 (China)

    2009-03-15

    Based on the complex system theory and the concept of self organized criticality (SOC) theory, the mechanism of China power grid blackout is studied by analyzing the blackout data in the China power system from 1981 to 2002. The probability distribution functions of various measures of blackout size have a power tail. The analysis of scaled window variance and rescaled range statistics of the time series show moderate long time correlations. The blackout data seem consistent with SOC; the results obtained show that SOC dynamics may play an important role in the dynamics of power systems blackouts. It would be possible to propose novel approaches for understanding and controlling power systems blackouts.

  18. Paeoniflorin Suppressed High Glucose-Induced Retinal Microglia MMP-9 Expression and Inflammatory Response via Inhibition of TLR4/NF-κB Pathway Through Upregulation of SOCS3 in Diabetic Retinopathy.

    Science.gov (United States)

    Zhu, Su-Hua; Liu, Bing-Qian; Hao, Mao-Juan; Fan, Yi-Xin; Qian, Cheng; Teng, Peng; Zhou, Xiao-Wei; Hu, Liang; Liu, Wen-Tao; Yuan, Zhi-Lan; Li, Qing-Ping

    2017-10-01

    Diabetic retinopathy (DR) is a serious-threatening complication of diabetes and urgently needed to be treated. Evidence has accumulated indicating that microglia inflammation within the retina plays a critical role in DR. Microglial matrix metalloproteinase 9 (MMP-9) has an important role in the destruction of the integrity of the blood-retinal barrier (BRB) associated with the development of DR. MMP-9 was also considered important for regulating inflammatory responses. Paeoniflorin, a monoterpene glucoside, has a potent immunomodulatory effect on microglia. We hypothesized that paeoniflorin could significantly suppress microglial MMP-9 activation induced by high glucose and further relieve DR. BV2 cells were used to investigate the effects and mechanism of paeoniflorin. The activation of MMP-9 was measured by gelatin zymography. Cell signaling was measured by western blot assay and immunofluorescence assay. High glucose increased the activation of MMP-9 in BV2 cells, which was abolished by HMGB1, TLR4, p38 MAPK, and NF-κB inhibition. Phosphorylation of p38 MAPK induced by high glucose was decreased by TLR4 inhibition in BV2 cells. Paeoniflorin induced suppressor of cytokine signaling 3 (SOCS3) expression and reduced MMP-9 activation in BV2 cells. The effect of paeoniflorin on SOCS3 was abolished by the TLR4 inhibitor. In streptozotocin (STZ)-induced diabetes mice, paeoniflorin induced SOCS3 expression and reduced MMP-9 activation. Paeoniflorin suppressed STZ-induced IBA-1 and IL-1β expression and decreased STZ-induced high blood glucose level. In conclusion, paeoniflorin suppressed high glucose-induced retinal microglia MMP-9 expression and inflammatory response via inhibition of the TLR4/NF-κB pathway through upregulation of SOCS3 in diabetic retinopathy.

  19. Design of the ANTARES LCM-DAQ board test bench using a FPGA-based system-on-chip approach

    Energy Technology Data Exchange (ETDEWEB)

    Anvar, S. [CEA Saclay, DAPNIA/SEDI, 91191 Gif-sur-Yvette Cedex (France); Kestener, P. [CEA Saclay, DAPNIA/SEDI, 91191 Gif-sur-Yvette Cedex (France)]. E-mail: pierre.kestener@cea.fr; Le Provost, H. [CEA Saclay, DAPNIA/SEDI, 91191 Gif-sur-Yvette Cedex (France)

    2006-11-15

    The System-on-Chip (SoC) approach consists in using state-of-the-art FPGA devices with embedded RISC processor cores, high-speed differential LVDS links and ready-to-use multi-gigabit transceivers allowing development of compact systems with substantial number of IO channels. Required performances are obtained through a subtle separation of tasks between closely cooperating programmable hardware logic and user-friendly software environment. We report about our experience in using the SoC approach for designing the production test bench of the off-shore readout system for the ANTARES neutrino experiment.

  20. Sensitivity analysis of the GEMS soil organic carbon model to land cover land use classification uncertainties under different climate scenarios in Senegal

    Science.gov (United States)

    Dieye, A.M.; Roy, David P.; Hanan, N.P.; Liu, S.; Hansen, M.; Toure, A.

    2012-01-01

    Spatially explicit land cover land use (LCLU) change information is needed to drive biogeochemical models that simulate soil organic carbon (SOC) dynamics. Such information is increasingly being mapped using remotely sensed satellite data with classification schemes and uncertainties constrained by the sensing system, classification algorithms and land cover schemes. In this study, automated LCLU classification of multi-temporal Landsat satellite data were used to assess the sensitivity of SOC modeled by the Global Ensemble Biogeochemical Modeling System (GEMS). The GEMS was run for an area of 1560 km2 in Senegal under three climate change scenarios with LCLU maps generated using different Landsat classification approaches. This research provides a method to estimate the variability of SOC, specifically the SOC uncertainty due to satellite classification errors, which we show is dependent not only on the LCLU classification errors but also on where the LCLU classes occur relative to the other GEMS model inputs.

  1. Distribution of Soil Organic Carbon and the Influencing Factors in An Oasis Farmland Area

    Directory of Open Access Journals (Sweden)

    WANG Ze

    2014-08-01

    Full Text Available The soil organic carbon(SOC of a typical oasis farmland in middle part of Manasi county of Xinjiang was used as the research ob原 ject. Using remote sensing and lab analysis techniques, influences of soil texture, terrain, land uses, and crop types on SOC content of farmland were studied. Results showed that the SOC distribution in farmland of Manasi was mainly determined by comprehensive natural environmental factors. The SOC content decreased along with the increasing soil depth. For soil textures, the SOC content from high to low was clay loam>powder loam>silty loam. Slope direction had significantly positive correlations with SOC contents at 0~30 cm and 30~60 cm, while altitude and SOC content at 60~100 cm were significantly positive correlation. The SOC content of orchard was the highest, and the uncultivated land was the lowest under different land-use patterns. For different crop planting systems, the order of SOC content was corn field >wine grapes field>cotton field, and the difference was significant.

  2. Investigating the error sources of the online state of charge estimation methods for lithium-ion batteries in electric vehicles

    Science.gov (United States)

    Zheng, Yuejiu; Ouyang, Minggao; Han, Xuebing; Lu, Languang; Li, Jianqiu

    2018-02-01

    Sate of charge (SOC) estimation is generally acknowledged as one of the most important functions in battery management system for lithium-ion batteries in new energy vehicles. Though every effort is made for various online SOC estimation methods to reliably increase the estimation accuracy as much as possible within the limited on-chip resources, little literature discusses the error sources for those SOC estimation methods. This paper firstly reviews the commonly studied SOC estimation methods from a conventional classification. A novel perspective focusing on the error analysis of the SOC estimation methods is proposed. SOC estimation methods are analyzed from the views of the measured values, models, algorithms and state parameters. Subsequently, the error flow charts are proposed to analyze the error sources from the signal measurement to the models and algorithms for the widely used online SOC estimation methods in new energy vehicles. Finally, with the consideration of the working conditions, choosing more reliable and applicable SOC estimation methods is discussed, and the future development of the promising online SOC estimation methods is suggested.

  3. Modeling soil organic carbon stock after 10 years of cover crops in Mediterranean vineyards: improving ANN prediction by digital terrain analysis.

    Science.gov (United States)

    Lo Papa, Giuseppe; Novara, Agata; Santoro, Antonino; Gristina, Luciano

    2014-05-01

    Estimate changes in soil organic carbon (SOC) stock after Agro Environment Measures adoption are strategically for national and regional scale. Uncertainty in estimates also represents a very important parameter in terms of evaluation of the exact costs and agro environment payments to farmers. In this study we modeled the variation of SOC stock after 10-year cover crop adoption in a vine growing area of South-Eastern Sicily. A paired-site approach was chosen to study the difference in SOC stocks. A total 100 paired sites (i.e. two adjacent plots) were chosen and three soil samples (Ap soil horizons, circa 0-30 cm depth) were collected in each plot to obtain a mean value of organic carbon concentration for each plot. The variation of soil organic carbon (SOCv) for each plot was calculated by differences between concentrations of the plot subjected to cover crops (SOC10) and the relative plot subjected to traditional agronomic practices (SOC0). The feasibility of using artificial neural networks as a method to predict soil organic carbon stock variation and the contribution of digital terrain analysis to improve the prediction were tested. We randomly subdivided the experimental values of SOC-stock difference in 80 learning samples and 20 test samples for model validation. SOCv was strongly correlated to the SOC0 concentration. Model validation using only SOCv as unique covariate showed a training and test perfection of 0.724 and 0.871 respectively. We hypothesized that terrain-driven hydrological flow patterns, mass-movement and local micro-climatic factors could be responsible processes contributing for SOC redistributions, thus affecting soil carbon stock in time. Terrain attributes were derived by digital terrain analysis from the 10 m DEM of the study area. A total of 37 terrain attributes were calculated and submitted to statistical feature selection. The Chi-square ranking indicated only 4 significant covariates among the terrain attributes (slope height

  4. Lipopolysaccharide (LPS) stimulates adipokine and socs3 gene expression in mouse brain and pituitary gland in vivo, and in N-1 hypothalamic neurons in vitro.

    Science.gov (United States)

    Brown, Russell; Imran, Syed A; Wilkinson, Michael

    2009-04-30

    Adipokines that modulate metabolic and inflammatory responses, such as resistin (rstn) and fasting-induced adipose factor (fiaf), are also expressed in mouse brain and pituitary gland. Since lipopolysaccharide (LPS)-induced endotoxinemia provokes an anorectic response via a hypothalamic-dependent mechanism we hypothesized that LPS would also modify hypothalamic adipokine expression. Challenging male CD-1 mice with LPS (5 mg/kg; s.c.) significantly reduced bodyweight (24 h) and realtime RT-PCR revealed time- and tissue-dependent increases in rstn, fiaf and suppressor of cytokine signaling-3 (socs-3) mRNA in hypothalamic, pituitary, cortical and adipose tissues. Gene expression was rapidly increased (3-6 h) in the hypothalamus and pituitary, but returned to normal within 24 h. In contrast, with the exception of rstn in fat, the expression of target genes remained elevated in cortex and visceral fat at 24 h post-injection. In order to more specifically examine the hypothalamic response to LPS we investigated its effects directly on N-1 hypothalamic neurons in vitro. LPS (25 microg/mL; 3 h) had no effect on rstn mRNA, but significantly stimulated fiaf and socs-3 expression. Although various toll-like receptor 4 (TLR4) antagonists (parthenolide, PD098059, and SB202190) did not prevent the LPS-induced increases in fiaf and socs-3, they did partially attenuate its stimulatory effects. We conclude that LPS treatment increases the expression of central, and possibly neuronal, adipokine genes which may influence local tissue repair and function, but could also have downstream consequences on the hypothalamic control of appetite and energy metabolism following an inflammatory insult.

  5. Adaptation in the fuzzy self-organising controller

    DEFF Research Database (Denmark)

    Jantzen, Jan; Poulsen, Niels Kjølstad

    2003-01-01

    This simulation study provides an analysis of the adaptation mechanism in the self-organising fuzzy controller, SOC. The approach is to apply a traditional adaptive control viewpoint. A simplified performance measure in the SOC controller is used in a loss function, and thus the MIT rule implies...... an update mechanism similar to the SOC update mechanism. Two simulations of proportionally controlled systems show the behaviour of the proportional gain as it adapts to a specified behaviour....

  6. Black swans, power laws, and dragon-kings: Earthquakes, volcanic eruptions, landslides, wildfires, floods, and SOC models

    Science.gov (United States)

    Sachs, M. K.; Yoder, M. R.; Turcotte, D. L.; Rundle, J. B.; Malamud, B. D.

    2012-05-01

    Extreme events that change global society have been characterized as black swans. The frequency-size distributions of many natural phenomena are often well approximated by power-law (fractal) distributions. An important question is whether the probability of extreme events can be estimated by extrapolating the power-law distributions. Events that exceed these extrapolations have been characterized as dragon-kings. In this paper we consider extreme events for earthquakes, volcanic eruptions, wildfires, landslides and floods. We also consider the extreme event behavior of three models that exhibit self-organized criticality (SOC): the slider-block, forest-fire, and sand-pile models. Since extrapolations using power-laws are widely used in probabilistic hazard assessment, the occurrence of dragon-king events have important practical implications.

  7. Itinerant ferromagnetism in actinide 5 f -electron systems: Phenomenological analysis with spin fluctuation theory

    Science.gov (United States)

    Tateiwa, Naoyuki; Pospíšil, Jiří; Haga, Yoshinori; Sakai, Hironori; Matsuda, Tatsuma D.; Yamamoto, Etsuji

    2017-07-01

    We have carried out an analysis of magnetic data in 69 uranium, 7 neptunium, and 4 plutonium ferromagnets with the spin fluctuation theory developed by Takahashi [Y. Takahashi, J. Phys. Soc. Jpn. 55, 3553 (1986), 10.1143/JPSJ.55.3553]. The basic and spin fluctuation parameters of the actinide ferromagnets are determined and the applicability of the spin fluctuation theory to actinide 5 f system has been discussed. Itinerant ferromagnets of the 3 d transition metals and their intermetallics follow a generalized Rhodes-Wohlfarth relation between peff/ps and TC/T0 , viz., peff/ps∝(TC/T0) -3 /2 . Here, ps, peff, TC, and T0 are the spontaneous and effective magnetic moments, the Curie temperature, and the width of spin fluctuation spectrum in energy space, respectively. The same relation is satisfied for TC/T0uranium and neptunium ferromagnets below (TC/T0)kink=0.32 ±0.02 , where a kink structure appears in relation between the two quantities. ps increases more weakly above (TC/T0)kink. A possible interpretation with the TC/T0 dependence of ps is given.

  8. Effects of Conservation Tillage on Topsoil Microbial Metabolic Characteristics and Organic Carbon within Aggregates under a Rice (Oryza sativa L.) –Wheat (Triticum aestivum L.) Cropping System in Central China

    Science.gov (United States)

    Liu, Tian-Qi; Cao, Cou-Gui; Li, Cheng-Fang

    2016-01-01

    Investigating microbial metabolic characteristics and soil organic carbon (SOC) within aggregates and their relationships under conservation tillage may be useful in revealing the mechanism of SOC sequestration in conservation tillage systems. However, limited studies have been conducted to investigate the relationship between SOC and microbial metabolic characteristics within aggregate fractions under conservation tillage. We hypothesized that close relationships can exist between SOC and microbial metabolic characteristics within aggregates under conservation tillage. In this study, a field experiment was conducted from June 2011 to June 2013 following a split-plot design of a randomized complete block with tillage practices [conventional intensive tillage (CT) and no tillage (NT)] as main plots and straw returning methods [preceding crop residue returning (S, 2100−2500 kg C ha−1) and removal (NS, 0 kg C ha-1)] as subplots with three replications. The objective of this study was to reveal the effects of tillage practices and residue-returning methods on topsoil microbial metabolic characteristics and organic carbon (SOC) fractions within aggregates and their relationships under a rice–wheat cropping system in central China. Microbial metabolic characteristics investigated using the Biolog system was examined within two aggregate fractions (>0.25 and 0.25 aggregate, and 0.25 mm aggregate (11.3%), and 0.25 mm aggregate, and 0.25 mm aggregate, and tillage (NT and S) increased microbial metabolic activities and Shannon index in >0.25 and directly improved SOC by promoting DOC in >0.25 mm aggregate in the upper (0−5 cm) soil layer under conservation tillage systems, as well as directly and indirectly by promoting DOC and MBC in tillage increased SOC in aggregates in the topsoil by improving microbial metabolic activities. PMID:26731654

  9. System on chip module configured for event-driven architecture

    Science.gov (United States)

    Robbins, Kevin; Brady, Charles E.; Ashlock, Tad A.

    2017-10-17

    A system on chip (SoC) module is described herein, wherein the SoC modules comprise a processor subsystem and a hardware logic subsystem. The processor subsystem and hardware logic subsystem are in communication with one another, and transmit event messages between one another. The processor subsystem executes software actors, while the hardware logic subsystem includes hardware actors, the software actors and hardware actors conform to an event-driven architecture, such that the software actors receive and generate event messages and the hardware actors receive and generate event messages.

  10. Kalman filtering state of charge estimation for battery management system based on a stochastic fuzzy neural network battery model

    International Nuclear Information System (INIS)

    Xu Long; Wang Junping; Chen Quanshi

    2012-01-01

    Highlights: ► A novel extended Kalman Filtering SOC estimation method based on a stochastic fuzzy neural network (SFNN) battery model is proposed. ► The SFNN which has filtering effect on noisy input can model the battery nonlinear dynamic with high accuracy. ► A robust parameter learning algorithm for SFNN is studied so that the parameters can converge to its true value with noisy data. ► The maximum SOC estimation error based on the proposed method is 0.6%. - Abstract: Extended Kalman filtering is an intelligent and optimal means for estimating the state of a dynamic system. In order to use extended Kalman filtering to estimate the state of charge (SOC), we require a mathematical model that can accurately capture the dynamics of battery pack. In this paper, we propose a stochastic fuzzy neural network (SFNN) instead of the traditional neural network that has filtering effect on noisy input to model the battery nonlinear dynamic. Then, the paper studies the extended Kalman filtering SOC estimation method based on a SFNN model. The modeling test is realized on an 80 Ah Ni/MH battery pack and the Federal Urban Driving Schedule (FUDS) cycle is used to verify the SOC estimation method. The maximum SOC estimation error is 0.6% compared with the real SOC obtained from the discharging test.

  11. Rapid Industrial Prototyping and SoC Design of 3G/4G Wireless Systems Using an HLS Methodology

    Directory of Open Access Journals (Sweden)

    Andres Takach

    2006-07-01

    Full Text Available Many very-high-complexity signal processing algorithms are required in future wireless systems, giving tremendous challenges to real-time implementations. In this paper, we present our industrial rapid prototyping experiences on 3G/4G wireless systems using advanced signal processing algorithms in MIMO-CDMA and MIMO-OFDM systems. Core system design issues are studied and advanced receiver algorithms suitable for implementation are proposed for synchronization, MIMO equalization, and detection. We then present VLSI-oriented complexity reduction schemes and demonstrate how to interact these high-complexity algorithms with an HLS-based methodology for extensive design space exploration. This is achieved by abstracting the main effort from hardware iterations to the algorithmic C/C++ fixed-point design. We also analyze the advantages and limitations of the methodology. Our industrial design experience demonstrates that it is possible to enable an extensive architectural analysis in a short-time frame using HLS methodology, which significantly shortens the time to market for wireless systems.

  12. Rapid Industrial Prototyping and SoC Design of 3G/4G Wireless Systems Using an HLS Methodology

    Directory of Open Access Journals (Sweden)

    Cavallaro JosephR

    2006-01-01

    Full Text Available Many very-high-complexity signal processing algorithms are required in future wireless systems, giving tremendous challenges to real-time implementations. In this paper, we present our industrial rapid prototyping experiences on 3G/4G wireless systems using advanced signal processing algorithms in MIMO-CDMA and MIMO-OFDM systems. Core system design issues are studied and advanced receiver algorithms suitable for implementation are proposed for synchronization, MIMO equalization, and detection. We then present VLSI-oriented complexity reduction schemes and demonstrate how to interact these high-complexity algorithms with an HLS-based methodology for extensive design space exploration. This is achieved by abstracting the main effort from hardware iterations to the algorithmic C/C++ fixed-point design. We also analyze the advantages and limitations of the methodology. Our industrial design experience demonstrates that it is possible to enable an extensive architectural analysis in a short-time frame using HLS methodology, which significantly shortens the time to market for wireless systems.

  13. Generation of Embedded Hardware/Software from SystemC

    Directory of Open Access Journals (Sweden)

    Dominique Houzet

    2006-08-01

    Full Text Available Designers increasingly rely on reusing intellectual property (IP and on raising the level of abstraction to respect system-on-chip (SoC market characteristics. However, most hardware and embedded software codes are recoded manually from system level. This recoding step often results in new coding errors that must be identified and debugged. Thus, shorter time-to-market requires automation of the system synthesis from high-level specifications. In this paper, we propose a design flow intended to reduce the SoC design cost. This design flow unifies hardware and software using a single high-level language. It integrates hardware/software (HW/SW generation tools and an automatic interface synthesis through a custom library of adapters. We have validated our interface synthesis approach on a hardware producer/consumer case study and on the design of a given software radiocommunication application.

  14. Generation of Embedded Hardware/Software from SystemC

    Directory of Open Access Journals (Sweden)

    Ouadjaout Salim

    2006-01-01

    Full Text Available Designers increasingly rely on reusing intellectual property (IP and on raising the level of abstraction to respect system-on-chip (SoC market characteristics. However, most hardware and embedded software codes are recoded manually from system level. This recoding step often results in new coding errors that must be identified and debugged. Thus, shorter time-to-market requires automation of the system synthesis from high-level specifications. In this paper, we propose a design flow intended to reduce the SoC design cost. This design flow unifies hardware and software using a single high-level language. It integrates hardware/software (HW/SW generation tools and an automatic interface synthesis through a custom library of adapters. We have validated our interface synthesis approach on a hardware producer/consumer case study and on the design of a given software radiocommunication application.

  15. Run-time middleware to support real-time system scenarios

    NARCIS (Netherlands)

    Goossens, K.; Koedam, M.; Sinha, S.; Nelson, A.; Geilen, M.

    2015-01-01

    Systems on Chip (SOC) are powerful multiprocessor systems capable of running multiple independent applications, often with both real-time and non-real-time requirements. Scenarios exist at two levels: first, combinations of independent applications, and second, different states of a single

  16. Influence of Battery Parametric Uncertainties on the State-of-Charge Estimation of Lithium Titanate Oxide-Based Batteries

    DEFF Research Database (Denmark)

    Stroe, Ana-Irina; Meng, Jinhao; Stroe, Daniel-Ioan

    2018-01-01

    to describe the battery dynamics. The SOC estimation method proposed in this paper is based on an Extended Kalman Filter (EKF) and nonlinear battery model which was parameterized using extended laboratory tests performed on several 13 Ah lithium titanate oxide (LTO)-based lithium-ion batteries. The developed......State of charge (SOC) is one of the most important parameters in battery management systems, as it indicates the available battery capacity at every moment. There are numerous battery model-based methods used for SOC estimation, the accuracy of which depends on the accuracy of the model considered...... a sensitivity analysis it was showed that the SOC and voltage estimation error are only slightly dependent on the variation of the battery model parameters with the SOC....

  17. An optimal power management system for a regenerative auxiliary power system for delivery refrigerator trucks

    International Nuclear Information System (INIS)

    Mohagheghi Fard, Soheil; Khajepour, Amir

    2016-01-01

    Highlights: • A new anti-idling system for refrigerator trucks is proposed. • This system enables regenerative braking. • An innovative two-level controller is proposed for the power management system. • A fast dynamic programming technique to find real-time SOC trajectory is proposed. • In addition to idling elimination, this system reduces fuel consumption. - Abstract: Engine idling of refrigerator trucks during loading and unloading contributes to greenhouse gas emissions due to their increased fuel consumption. This paper proposes a new anti-idling system that uses two sources of power, battery and engine-driven generator, to run the compressor of the refrigeration system. Therefore, idling can be eliminated because the engine is turned OFF and the battery supplies auxiliary power when the vehicle is stopped for loading or unloading. This system also takes advantage of regenerative braking for increased fuel savings. The power management of this system needs to satisfy two requirements: it must minimize fuel consumption in the whole cycle and must ensure that the battery has enough energy for powering the refrigeration system when the engine is OFF. To meet these objectives, a two-level controller is proposed. In the higher level of this controller, a fast dynamic programming technique that utilizes extracted statistical features of drive and duty cycles of a refrigerator truck is used to find suboptimal values of the initial and final SOC of any two consecutive loading/unloading stops. The lower level of the controller employs an adaptive equivalent fuel consumption minimization (A-ECMS) to determine the split ratio of auxiliary power between the generator and battery for each segment with initial and final SOC obtained by the high-level controller. The simulation results confirm that this new system can eliminate idling of refrigerator trucks and reduce their fuel consumption noticeably such that the cost of replacing components is recouped in a

  18. State-of-Charge Estimation and Active Cell Pack Balancing Design of Lithium Battery Power System for Smart Electric Vehicle

    Directory of Open Access Journals (Sweden)

    Z. C. Gao

    2017-01-01

    Full Text Available This paper presents an integrated state-of-charge (SOC estimation model and active cell balancing of a 12-cell lithium iron phosphate (LiFePO4 battery power system. The strong tracking cubature extended Kalman filter (STCEKF gave an accurate SOC prediction compared to other Kalman-based filter algorithms. The proposed groupwise balancing of the multiple SOC exhibited a higher balancing speed and lower balancing loss than other cell balancing designs. The experimental results demonstrated the robustness and performance of the battery when subjected to current load profile of an electric vehicle under varying ambient temperature.

  19. A Retrospective Chart Review of Two Different Insulin Administration Systems on Glycemic Control in Older Adults in Long-Term Care.

    Science.gov (United States)

    Boonin, Alan; Balinski, Brenda; Sauter, Jerry; Martinez, Joe; Abbott, Scott

    2017-01-01

    The current retrospective chart review compared glycemic control and cost impact of two insulin administration systems, V-Go ® versus usual care with standard of care (SOC) insulin injections, in eight patients residing in a nursing home (NH). A total of 1,937 blood glucose (BG) values were collected over 61 days. Significant improvements were observed for the V-Go versus SOC group in time in range 100 mg/dL to 200 mg/dL (V-Go 59.09% vs. SOC 34.02%; p < 0.001), reduced BG fluctuations as measured by standard deviation (V-Go 61.2 vs. SOC 92.1; p < 0.001), and improved mean daily BG (V-Go 159.38 mg/dL vs. SOC 223.86 mg/dL; p < 0.001). The estimated A1c change, calculated from BG values, decreased from 8.9% to 7.2% in the V-Go group and increased from 9.0% to 9.4% in the SOC group. Compared to SOC, use of V-Go decreased the mean time for insulin administration by nursing staff by 26.3 minutes per patient per day and associated labor costs by $328.75 per patient per month. Insulin administration with V-Go may improve glycemic control and reduce administration costs compared to existing care in the NH setting. [Journal of Gerontological Nursing, 43(1), 10-16.]. Copyright 2017, SLACK Incorporated.

  20. System analysis and design

    International Nuclear Information System (INIS)

    Son, Seung Hui

    2004-02-01

    This book deals with information technology and business process, information system architecture, methods of system development, plan on system development like problem analysis and feasibility analysis, cases for system development, comprehension of analysis of users demands, analysis of users demands using traditional analysis, users demands analysis using integrated information system architecture, system design using integrated information system architecture, system implementation, and system maintenance.

  1. Adaptive Control Design for Autonomous Operation of Multiple Energy Storage Systems in Power Smoothing Applications

    DEFF Research Database (Denmark)

    Meng, Lexuan; Dragicevic, Tomislav; Guerrero, Josep M.

    2018-01-01

    -pass-filter (HPF) structure. It generates the power reference according to the fluctuating power and provides a stabilization effect. The power and energy supplied by ESS are majorly configured by the cut-off frequency and gain of the HPF. Considering the operational limits on ESS state-of-charge (SoC), this paper...... proposes an adaptive cut-off frequency design method to realize communication-less and autonomous operation of a system with multiple distributed ESS. The experimental results demonstrate that the SoCs of all ESS units are kept within safe margins, while the SoC level and power of the paralleled units...... converge to the final state, providing a natural plug-and-play function....

  2. Analysis of the internal temperature of the cells in a battery pack during SOC balancing

    Science.gov (United States)

    Mizanur, R.; Rashid, M. M.; Rahman, A.; Zahirul Alam, A. H. M.; Ihsan, S.; Mollik, M. S.

    2017-03-01

    Lithium-ion batteries are more suitable for the application of electric vehicle due to high energy and power density compared to other rechargeable batteries. However, the battery pack temperature has a great impact on the overall performance, cycle life, normal charging-discharging behaviour and even safety. During rapid charge transferring process, the internal temperature may exceed its allowable limit (460C). In this paper, an analysis of internal temperature during charge balancing and discharging conditions is presented. Specific interest is paid to the effects of temperature on the different rate of ambient temperature and discharging current. Matlab/Simulink Li-ion battery model and quasi-resonant converter base balancing system are used to study the temperature effect. Rising internal temperature depends on the rate of balancing current and ambient temperature found in the simulation results.

  3. Carbon storage in soil size fractions under two cacao agroforestry systems in Bahia, Brazil.

    Science.gov (United States)

    Gama-Rodrigues, Emanuela F; Ramachandran Nair, P K; Nair, Vimala D; Gama-Rodrigues, Antonio C; Baligar, Virupax C; Machado, Regina C R

    2010-02-01

    Shaded perennial agroforestry systems contain relatively high quantities of soil carbon (C) resulting from continuous deposition of plant residues; however, the extent to which the C is sequestered in soil will depend on the extent of physical protection of soil organic C (SOC). The main objective of this study was to characterize SOC storage in relation to soil fraction-size classes in cacao (Theobroma cacao L.) agroforestry systems (AFSs). Two shaded cacao systems and an adjacent natural forest in reddish-yellow Oxisols in Bahia, Brazil were selected. Soil samples were collected from four depth classes to 1 m depth and separated by wet-sieving into three fraction-size classes (>250 microm, 250-53 microm, and <53 microm)-corresponding to macroaggregate, microaggregate, and silt-and-clay size fractions-and analyzed for C content. The total SOC stock did not vary among systems (mean: 302 Mg/ha). On average, 72% of SOC was in macroaggregate-size, 20% in microaggregate-size, and 8% in silt-and-clay size fractions in soil. Sonication of aggregates showed that occlusion of C in soil aggregates could be a major mechanism of C protection in these soils. Considering the low level of soil disturbances in cacao AFSs, the C contained in the macroaggregate fraction might become stabilized in the soil. The study shows the role of cacao AFSs in mitigating greenhouse gas (GHG) emission through accumulation and retention of high amounts of organic C in the soils and suggests the potential benefit of this environmental service to the nearly 6 million cacao farmers worldwide.

  4. A microkernel middleware architecture for distributed embedded real-zime systems

    OpenAIRE

    Pfeffer, Matthias

    2001-01-01

    A microkernel middleware architecture for distributed embedded real-zime systems / T. Ungerer ... - In: Symposium on Reliable Distributed Systems : Proceedings : October 28 - 31, 2001, New Orleans, Louisiana, USA. - Los Alamitos, Calif. [u.a.] : IEEE Computer Soc., 2001. - S. 218-226

  5. A comparison of soil organic carbon stock in ancient and modern land use systems in Denmark

    DEFF Research Database (Denmark)

    Breuning-Madsen, Henrik; Elberling, Bo; Balstrøm, Thomas

    2009-01-01

    . A comparison of the organic matter content in these mound cores and the plough layer in modern farmland offers an opportunity to compare the soil organic carbon (SOC) stocks in ancient and modern land use systems and to evaluate the long-term trends in carbon (C) sequestration in relation to modern farmland......During the South Scandinavian Early Bronze Age about 3300 years ago, thousands of burial mounds were constructed of sods from fallow ground used for grazing in Denmark and northern Germany. In some of these mounds a wet, anaerobic core developed, preventing the decomposition of organic matter...... with varying inputs of manure and inorganic fertilizers. In the present paper we compare SOC stocks based on integrated horizon-specific densities and SOC contents in three 3300-year-old buried farmland soils, representing the land use system at that time, with results from soil surveys representing modern...

  6. Effects of 24 Years of Conservation Tillage Systems on Soil Organic Carbon and Soil Productivity

    Directory of Open Access Journals (Sweden)

    Kenneth R. Olson

    2013-01-01

    Full Text Available The 24-year study was conducted in southern Illinois (USA on land similar to that being removed from Conservation Reserve Program (CRP to evaluate the effects of conservation tillage systems on: (1 amount and rates of soil organic carbon (SOC storage and retention, (2 the long-term corn and soybean yields, and (3 maintenance and restoration of soil productivity of previously eroded soils. The no-till (NT plots did store and retain 7.8 Mg C ha−1 more and chisel plow (CP −1.6 Mg C ha−1 less SOC in the soil than moldboard plow (MP during the 24 years. However, no SOC sequestration occurred in the sloping and eroding NT, CP, and MP plots since the SOC level of the plot area was greater at the start of the experiment than at the end. The NT plots actually lost a total of −1.2 Mg C ha−1, the CP lost −9.9 Mg C ha−1, and the MP lost −8.2 Mg C ha−1 during the 24-year study. The long-term productivity of NT compared favorably with that of MP and CP systems.

  7. Systems-on-Chip (SoC) for applications in High-Energy Physics

    CERN Document Server

    De Gaspari, Massimiliano; Fischer, Peter

    In view of the Time Projection Chamber for the future Linear Collider (LCTPC), a new front-end Application-Specic Integrated Circuit has been developed: the 16 channels Super-Altro Demonstrator. Given the small pad area of $1 \\times 4mm^{2}$, the chip is a compact integrated system, including signal preamplifcation/shaping, 10-bit analog-to-digital conversion and digital signal processing. Adequate design techniques were used to reduce noise coupling between analog and digital parts of the system. The bunch train structure of the linear collider is exploited by the introduction of power pulsing features in the design, which result in a signicant reduction of the power consumption. The tests carried out show noise as low as 316 electrons and effectiveness of the power pulsing approach. Super-Altro can be used for studies of gaseous detector readout with classical wire chambers as well as modern GEMs and MicroMegas. This thesis also studies Analog-to-Digital Converters (ADC) suitable for integration in High-Ene...

  8. Differences in net global warming potential and greenhouse gas intensity between major rice-based cropping systems in China

    Science.gov (United States)

    Xiong, Zhengqin; Liu, Yinglie; Wu, Zhen; Zhang, Xiaolin; Liu, Pingli; Huang, Taiqing

    2015-01-01

    Double rice (DR) and upland crop-single rice (UR) systems are the major rice-based cropping systems in China, yet differences in net global warming potential (NGWP) and greenhouse gas intensity (GHGI) between the two systems are poorly documented. Accordingly, a 3-year field experiment was conducted to simultaneously measure methane (CH4) and nitrous oxide (N2O) emissions and changes in soil organic carbon (SOC) in oil rape-rice-rice and wheat-rice (representing DR and UR, respectively) systems with straw incorporation (0, 3 and 6 t/ha) during the rice-growing seasons. Compared with the UR system, the annual CH4, N2O, grain yield and NGWP were significantly increased in the DR system, though little effect on SOC sequestration or GHGI was observed without straw incorporation. Straw incorporation increased CH4 emission and SOC sequestration but had no significant effect on N2O emission in both systems. Averaged over the three study years, straw incorporation had no significant effect on NGWP and GHGI in the UR system, whereas these parameters were greatly increased in the DR system, i.e., by 108% (3 t/ha) and 180% (6 t/ha) for NGWP and 103% (3 t/ha) and 168% (6 t/ha) for GHGI. PMID:26626733

  9. Differences in net global warming potential and greenhouse gas intensity between major rice-based cropping systems in China.

    Science.gov (United States)

    Xiong, Zhengqin; Liu, Yinglie; Wu, Zhen; Zhang, Xiaolin; Liu, Pingli; Huang, Taiqing

    2015-12-02

    Double rice (DR) and upland crop-single rice (UR) systems are the major rice-based cropping systems in China, yet differences in net global warming potential (NGWP) and greenhouse gas intensity (GHGI) between the two systems are poorly documented. Accordingly, a 3-year field experiment was conducted to simultaneously measure methane (CH4) and nitrous oxide (N2O) emissions and changes in soil organic carbon (SOC) in oil rape-rice-rice and wheat-rice (representing DR and UR, respectively) systems with straw incorporation (0, 3 and 6 t/ha) during the rice-growing seasons. Compared with the UR system, the annual CH4, N2O, grain yield and NGWP were significantly increased in the DR system, though little effect on SOC sequestration or GHGI was observed without straw incorporation. Straw incorporation increased CH4 emission and SOC sequestration but had no significant effect on N2O emission in both systems. Averaged over the three study years, straw incorporation had no significant effect on NGWP and GHGI in the UR system, whereas these parameters were greatly increased in the DR system, i.e., by 108% (3 t/ha) and 180% (6 t/ha) for NGWP and 103% (3 t/ha) and 168% (6 t/ha) for GHGI.

  10. Rapid Transient Fault Insertion in Large Digital Systems

    NARCIS (Netherlands)

    Rohani, A.; Kerkhoff, Hans G.

    This paper presents a technique for rapidtransientfault injection, regarding the CPU time, to perform simulation-based fault-injection in complex System-on-Chip Systems (SoCs). The proposed approach can be applied to complex circuits, as it is not required to modify the top-level modules of a

  11. A Fully Integrated Wireless Compressed Sensing Neural Signal Acquisition System for Chronic Recording and Brain Machine Interface.

    Science.gov (United States)

    Liu, Xilin; Zhang, Milin; Xiong, Tao; Richardson, Andrew G; Lucas, Timothy H; Chin, Peter S; Etienne-Cummings, Ralph; Tran, Trac D; Van der Spiegel, Jan

    2016-07-18

    Reliable, multi-channel neural recording is critical to the neuroscience research and clinical treatment. However, most hardware development of fully integrated, multi-channel wireless neural recorders to-date, is still in the proof-of-concept stage. To be ready for practical use, the trade-offs between performance, power consumption, device size, robustness, and compatibility need to be carefully taken into account. This paper presents an optimized wireless compressed sensing neural signal recording system. The system takes advantages of both custom integrated circuits and universal compatible wireless solutions. The proposed system includes an implantable wireless system-on-chip (SoC) and an external wireless relay. The SoC integrates 16-channel low-noise neural amplifiers, programmable filters and gain stages, a SAR ADC, a real-time compressed sensing module, and a near field wireless power and data transmission link. The external relay integrates a 32 bit low-power microcontroller with Bluetooth 4.0 wireless module, a programming interface, and an inductive charging unit. The SoC achieves high signal recording quality with minimized power consumption, while reducing the risk of infection from through-skin connectors. The external relay maximizes the compatibility and programmability. The proposed compressed sensing module is highly configurable, featuring a SNDR of 9.78 dB with a compression ratio of 8×. The SoC has been fabricated in a 180 nm standard CMOS technology, occupying 2.1 mm × 0.6 mm silicon area. A pre-implantable system has been assembled to demonstrate the proposed paradigm. The developed system has been successfully used for long-term wireless neural recording in freely behaving rhesus monkey.

  12. An Exploratory Study of Conflict and Its Management in Systems of Care for Children with Mental, Emotional, or Behavioral Problems and Their Families.

    Science.gov (United States)

    Boothroyd, Roger A; Evans, Mary E; Chen, Huey-Jen; Boustead, Robyn; Blanch, Andrea K

    2015-07-01

    Since 1993, the Substance Abuse and Mental Health Services Administration has invested more than $1 billion establishing systems of care (SOC) in over 173 local communities to provide services to children with mental, emotional, and behavioral problems and their families. The SOC model requires that effective partnerships be developed between parents and professionals and also among different service sectors and agencies. To date, there has been no systematic examination of these interagency partnerships associated with the presence of conflict and its management. This paper reports the findings from a survey of the members of the governing boards of currently funded and graduated SOC sites related to interorganizational conflict. The results indicated that conflict was common in SOC regardless of the stage of the system's development. The most common types of conflict included incompatible goals, interpersonal relationships, and overlapping authority. When conflict occurred, a number of management efforts were used including analyzing the conflict and developing a strategy to deal with it and dealing with the conflict behind the scenes. Suggestions are provided for identifying and managing conflict.

  13. Comparative evaluation of GHG emissions from the use of Miscanthus for bio-hydrocarbon production via fast pyrolysis and bio-oil upgrading

    International Nuclear Information System (INIS)

    Shemfe, Mobolaji B.; Whittaker, Carly; Gu, Sai; Fidalgo, Beatriz

    2016-01-01

    Highlights: • GHG emissions from the upgrading of pyrolysis-derived bio-oil is quantified.. • Soil organic carbon sequestration rate had a significant effect on GHG emission. • Increasing plant scale could improve the environmental performance of the system. • Nitrogen to the pyrolysis reactor had significant impact on GHG emissions. - Abstract: This study examines the GHG emissions associated with producing bio-hydrocarbons via fast pyrolysis of Miscanthus. The feedstock is then upgraded to bio-oil products via hydroprocessing and zeolite cracking. Inventory data for this study were obtained from current commercial cultivation practices of Miscanthus in the UK and state-of-the-art process models developed in Aspen Plus®. The system boundary considered spans from the cultivation of Miscanthus to conversion of the pyrolysis-derived bio-oil into bio-hydrocarbons up to the refinery gate. The Miscanthus cultivation subsystem considers three scenarios for soil organic carbon (SOC) sequestration rates. These were assumed as follows: (i) excluding (SOC), (ii) low SOC and (iii) high (SOC) for best and worst cases. Overall, Miscanthus cultivation contributed moderate to negative values to GHG emissions, from analysis of excluding SOC to high SOC scenarios. Furthermore, the rate of SOC in the Miscanthus cultivation subsystem has significant effects on total GHG emissions. Where SOC is excluded, the fast pyrolysis subsystem shows the highest positive contribution to GHG emissions, while the credit for exported electricity was the main ‘negative’ GHG emission contributor for both upgrading pathways. Comparison between the bio-hydrocarbons produced from the two upgrading routes and fossil fuels indicates GHG emission savings between 68% and 87%. Sensitivity analysis reveals that bio-hydrocarbon yield and nitrogen gas feed to the fast pyrolysis reactor are the main parameters that influence the total GHG emissions for both pathways.

  14. Object Recognition System-on-Chip Using the Support Vector Machines

    Directory of Open Access Journals (Sweden)

    Houzet Dominique

    2005-01-01

    Full Text Available The first aim of this work is to propose the design of a system-on-chip (SoC platform dedicated to digital image and signal processing, which is tuned to implement efficiently multiply-and-accumulate (MAC vector/matrix operations. The second aim of this work is to implement a recent promising neural network method, namely, the support vector machine (SVM used for real-time object recognition, in order to build a vision machine. With such a reconfigurable and programmable SoC platform, it is possible to implement any SVM function dedicated to any object recognition problem. The final aim is to obtain an automatic reconfiguration of the SoC platform, based on the results of the learning phase on an objects' database, which makes it possible to recognize practically any object without manual programming. Recognition can be of any kind that is from image to signal data. Such a system is a general-purpose automatic classifier. Many applications can be considered as a classification problem, but are usually treated specifically in order to optimize the cost of the implemented solution. The cost of our approach is more important than a dedicated one, but in a near future, hundreds of millions of gates will be common and affordable compared to the design cost. What we are proposing here is a general-purpose classification neural network implemented on a reconfigurable SoC platform. The first version presented here is limited in size and thus in object recognition performances, but can be easily upgraded according to technology improvements.

  15. Self-learning control system for plug-in hybrid vehicles

    Science.gov (United States)

    DeVault, Robert C [Knoxville, TN

    2010-12-14

    A system is provided to instruct a plug-in hybrid electric vehicle how optimally to use electric propulsion from a rechargeable energy storage device to reach an electric recharging station, while maintaining as high a state of charge (SOC) as desired along the route prior to arriving at the recharging station at a minimum SOC. The system can include the step of calculating a straight-line distance and/or actual distance between an orientation point and the determined instant present location to determine when to initiate optimally a charge depleting phase. The system can limit extended driving on a deeply discharged rechargeable energy storage device and reduce the number of deep discharge cycles for the rechargeable energy storage device, thereby improving the effective lifetime of the rechargeable energy storage device. This "Just-in-Time strategy can be initiated automatically without operator input to accommodate the unsophisticated operator and without needing a navigation system/GPS input.

  16. Storage of soil organic carbon in coffee (Coffea arabica L. production systems in the municipality of Líbano, Tolima, Colombia

    Directory of Open Access Journals (Sweden)

    Hernán Jair Andrade Castañeda

    2013-07-01

    Full Text Available The increase in greenhouse gas emissions from anthropogenic sources has resulted in climate change, which affects all living beings. Coffee (Coffea arabica L. plantations, in monoculture or together with timber species such as salmwood (Cordia alliodora, mitigate climate change due to fixation of atmospheric CO2 that is deposited in biomass and soils. This study was carried out in the municipality of Líbano, Tolima, Colombia with the objective of defining which of the following coffee production systems store more soil organic carbon (SOC: 1 monoculture, 2 agroforestry systems (AFS with salmwood, and 3 AFS with plantain. Farms with those systems that are the most dominant in the study zone were selected. From each system, five repetitions were identified to be analyzed with a completely randomized design. In each plot or repetition, five samples for bulk density (BD using the cylinder method and a composite sample for concentration of SOC were taken and analyzed using the Walkley and Black approach. The systems of production did not significantly (p > 0.05 affect either the BD or the concentration of SOC. However, AFS with plantain tended to have less BD than monoculture and AFS with salmwood (0.83 ± 0.03 vs 0.88 ± 0.03 vs 0.92 ± 0.04 g·cm-3, respectively. These systems of production stored between 50 and 54 t·ha-1 of SOC in the top 30 cm, which indicates their capacity for climate change mitigation.

  17. On-Chip Bondwire Magnetics with Ferrite-Epoxy Glob Coating for Power Systems on Chip

    Directory of Open Access Journals (Sweden)

    Jian Lu

    2008-01-01

    Full Text Available A novel concept of on-chip bondwire inductors and transformers with ferrite epoxy glob coating is proposed to offer a cost effective approach realizing power systems on chip (SOC. We have investigated the concept both experimentally and with finite element modeling. A Q factor of 30–40 is experimentally demonstrated for the bondwire inductors which represents an improvement by a factor of 3–30 over the state-of-the-art MEMS micromachined inductors. Transformer parameters including self- and mutual inductance and coupling factors are extracted from both modeled and measured S-parameters. More importantly, the bondwire magnetic components can be easily integrated into SOC manufacturing processes with minimal changes and open enormous possibilities for realizing cost-effective, high-current, high-efficiency power SOCs.

  18. An Improved Energy Management Strategy for Hybrid Energy Storage System in Light Rail Vehicles

    OpenAIRE

    Long Cheng; Wei Wang; Shaoyuan Wei; Hongtao Lin; Zhidong Jia

    2018-01-01

    A single-objective optimization energy management strategy (EMS) for an onboard hybrid energy storage system (HESS) for light rail (LR) vehicles is proposed. The HESS uses batteries and supercapacitors (SCs). The main objective of the proposed optimization is to reduce the battery and SC losses while maintaining the SC state of charge (SOC) within specific limits based on the distance between consecutive LR stations. To do this, a series of optimized SOC limits is used to prevent the SC from ...

  19. Diseño de una Red de Sensores Inalámbrica para un Sistema de Observación Costero (SOC)

    OpenAIRE

    Albaladejo Pérez, Cristina

    2009-01-01

    Las Redes de Sensores Inalámbricas (Wireless Sensor Network, WSN) son un conjunto de dispositivos que permiten una comunicación sin cables, interconectados entre sí a través de una red inalámbrica y a su vez conectados a un sistema central en el que se recopilará la información recogida por cada uno de los sensores. En el presente Trabajo Fin de Máster se persigue realizar un estudio teórico sobre el diseño de una WSN para un Sistema de Observación Costero (SOC) cuyo objetivo es la monitoriz...

  20. Ranolazine for the treatment of chronic stable angina: a cost-effectiveness analysis from the UK perspective

    OpenAIRE

    Coleman, Craig I; Freemantle, Nick; Kohn, Christine G

    2015-01-01

    Objectives To estimate the cost-effectiveness of ranolazine when added to standard-of-care (SoC) antianginals compared with SoC alone in patients with stable coronary disease experiencing ?3 attacks/week. Setting An economic model utilising a UK health system perspective, a 1-month cycle-length and a 1-year time horizon. Participants Patients with stable coronary disease experiencing ?3 attacks/week starting in 1 of 4 angina frequency health states based on Seattle Angina Questionnaire Angina...

  1. OLDAPS: Obsidian Least Destructive Analysis Provenancing System

    Energy Technology Data Exchange (ETDEWEB)

    Eder, F.M.; Sterba, J.H.; Bichler, M. [Atominstitut, Vienna University of Technology, Vienna (Austria); Neelmeijer, C.; Merchel, S. [Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany); Pearce, N.J.G. [Institute of Geography and Earth Sciences, Aberystwyth University, Wales (United Kingdom)

    2013-07-01

    Full text: The natural volcanic glass obsidian is one of the classical objects of archaeometric analyses. Reliable provenancing by means of the highly specific chemical composition, the 'chemical fingerprint', can provide information about trading routes, extension of territory, long-distance contacts and the mobility of prehistoric people. Since the pioneer work of Cann and Renfrew in 1964 [1] various analytical methods have been employed on obsidian samples in order to locate their provenance. The existing data already offers important knowledge about long-distance interactions between prehistoric human populations. However, most applied techniques just show a small part of the element spectrum. Latest studies showed that published results gained by different analytical methods are not consistent due to systematic errors [2-3]. Therefore, the application of three complementary analytical techniques on the same set of raw material samples allows both a better characterization of obsidian sources and a comparison and validation of analytical results. The aim of this multi-methodical approach is to apply in particular: 1) lon Beam Analysis (IBA) comprising of Particle Induced X-ray Emission (PIXE) and Particle Induced Gamma-ray Emission (PIGE), 2) Instrumental Neutron Activation Analysis (INAA), 3) Laser Ablation-lnductively Coupled Plasma-Mass Spectrometry (LA-ICP-MS), to detect a maximum element spectrum and to compare element concentrations determined with at least two analytical techniques. This approach should check the accuracy and reliability of analytical results and should show a maximum of compositional differences between European obsidian sources to reveal the most characteristic 'chemical fingerprint' composed of more than 40 elements. These investigations are part of a new multi-methodical analytical database called the 'Obsidian Least Destructive Analysis Provenancing System' (OLDAPS). This novel scientific approach for

  2. Modeling soil organic carbon dynamics and their driving factors in the main global cereal cropping systems

    Science.gov (United States)

    Wang, Guocheng; Zhang, Wen; Sun, Wenjuan; Li, Tingting; Han, Pengfei

    2017-10-01

    Changes in the soil organic carbon (SOC) stock are determined by the balance between the carbon input from organic materials and the output from the decomposition of soil C. The fate of SOC in cropland soils plays a significant role in both sustainable agricultural production and climate change mitigation. The spatiotemporal changes of soil organic carbon in croplands in response to different carbon (C) input management and environmental conditions across the main global cereal systems were studied using a modeling approach. We also identified the key variables that drive SOC changes at a high spatial resolution (0.1° × 0.1°) and over a long timescale (54 years from 1961 to 2014). A widely used soil C turnover model (RothC) and state-of-the-art databases of soil and climate variables were used in the present study. The model simulations suggested that, on a global average, the cropland SOC density increased at annual rates of 0.22, 0.45 and 0.69 Mg C ha-1 yr-1 under crop residue retention rates of 30, 60 and 90 %, respectively. Increasing the quantity of C input could enhance soil C sequestration or reduce the rate of soil C loss, depending largely on the local soil and climate conditions. Spatially, under a specific crop residue retention rate, relatively higher soil C sinks were found across the central parts of the USA, western Europe, and the northern regions of China. Relatively smaller soil C sinks occurred in the high-latitude regions of both the Northern and Southern hemispheres, and SOC decreased across the equatorial zones of Asia, Africa and America. We found that SOC change was significantly influenced by the crop residue retention rate (linearly positive) and the edaphic variable of initial SOC content (linearly negative). Temperature had weak negative effects, and precipitation had significantly negative impacts on SOC changes. The results can help guide carbon input management practices to effectively mitigate climate change through soil C

  3. Ankyrin repeat and SOCS box containing protein 4 (Asb-4 colocalizes with insulin receptor substrate 4 (IRS4 in the hypothalamic neurons and mediates IRS4 degradation

    Directory of Open Access Journals (Sweden)

    Xia Zefeng

    2011-09-01

    Full Text Available Abstract Background The arcuate nucleus of the hypothalamus regulates food intake. Ankyrin repeat and SOCS box containing protein 4 (Asb-4 is expressed in neuropeptide Y and proopiomelanocortin (POMC neurons in the arcuate nucleus, target neurons in the regulation of food intake and metabolism by insulin and leptin. However, the target protein(s of Asb-4 in these neurons remains unknown. Insulin receptor substrate 4 (IRS4 is an adaptor molecule involved in the signal transduction by both insulin and leptin. In the present study we examined the colocalization and interaction of Asb-4 with IRS4 and the involvement of Asb-4 in insulin signaling. Results In situ hybridization showed that the expression pattern of Asb-4 was consistent with that of IRS4 in the rat brain. Double in situ hybridization showed that IRS4 colocalized with Asb-4, and both Asb-4 and IRS4 mRNA were expressed in proopiomelanocortin (POMC and neuropeptide Y (NPY neurons within the arcuate nucleus of the hypothalamus. In HEK293 cells co-transfected with Myc-tagged Asb-4 and Flag-tagged IRS4, Asb-4 co-immunoprecipitated with IRS4; In these cells endogenous IRS4 also co-immunoprecipitated with transfected Myc-Asb-4; Furthermore, Asb-4 co-immunoprecipitated with IRS4 in rat hypothalamic extracts. In HEK293 cells over expression of Asb-4 decreased IRS4 protein levels and deletion of the SOCS box abolished this effect. Asb-4 increased the ubiquitination of IRS4; Deletion of SOCS box abolished this effect. Expression of Asb-4 decreased both basal and insulin-stimulated phosphorylation of AKT at Thr308. Conclusions These data demonstrated that Asb-4 co-localizes and interacts with IRS4 in hypothalamic neurons. The interaction of Asb-4 with IRS4 in cell lines mediates the degradation of IRS4 and decreases insulin signaling.

  4. Soil organic carbon dynamics in wheat-maize cropping systems of north China: application of isotope approach to long-term experiments

    Science.gov (United States)

    Wang, J.; Wang, X.; Xu, M.; Zhang, W.

    2013-12-01

    Soil organic carbon (SOC) in agro-ecosystem is largely influencedby agricultural practices such as croppingand fertilization. However, quantifying the contributions of various crops has been lacking. Here, we applied isotopic approachto study SOC dynamics under wheat-maize rotation with variousfertilization treatments atthree long-term experiment sites innorth China. Three treatments were chosen: no fertilizer (control), chemical nitrogen-phosphorus-potassium (NPK) and NPK plus straw (NPKS).Soil samples were collected from0-20, 20-40, 40-60, 60-80 and 80-100cm after 13 and 20 years of treatment, and SOC and its stable 13C compositions were determined. Generally, SOC content significantly decreased with depths, from 8.2 ×1.4 g kg-1 (in 0-20 cm) to 3.3×1.0 g kg-1 (in 80-100 cm) across all treatments and sites. Soil δ13C values at all depths, treatments and sites ranged from -24.2‰ to -21.6‰, averaged -22.8‰, indicating that ~70% of SOC was derived from wheat and previous C3 plant, and ~30% from maize and previous C4 plant.Both SOC and soil δ13C were significantly affected by fertilization managements, especiallyin 0-40 cm where linear relationship occurred between SOC and estimated C input. Overall, the slop of the linear equation, i.e., conversion efficiency, was four times greater for wheat-derived C relative to that for maize residue C. Our study indicated that maize-derived C contributed less to C sequestration in wheat-maize rotation system of north China. Figure 1. Relationships between SOC stock (0-40 cm) and accumulated C input for wheat (C3), maize (C4) and total. Significance is marked with one (P < 0.05), two (P < 0.01) and three (P < 0.001) asterisks.

  5. New frontiers in single-cell analysis

    OpenAIRE

    Templer, Richard H.; Ces, Oscar

    2008-01-01

    For this special issue of J. R. Soc. Interface we present an overview of the driving forces behind technological advances in the field of single-cell analysis. These range from increasing our understanding of cellular heterogeneity through to the study of rare cells, areas of research that cannot be tackled effectively using current high-throughput population-based averaging techniques.

  6. Journal of Earth System Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    temporal variation of soil organic carbon in different land use systems in the ... the last was evaluated to determine how the proximity of the city impacts the SOC. .... Characteristics of global strong earthquakes and their implications for the ...

  7. Proteomic Analysis of Laser Microdissected Melanoma Cells from Skin Organ Cultures

    Science.gov (United States)

    Hood, Brian L.; Grahovac, Jelena; Flint, Melanie S.; Sun, Mai; Charro, Nuno; Becker, Dorothea; Wells, Alan; Conrads, Thomas P

    2010-01-01

    Gaining insights into the molecular events that govern the progression from melanoma in situ to advanced melanoma, and understanding how the local microenvironment at the melanoma site influences this progression, are two clinically pivotal aspects that to date are largely unexplored. In an effort to identify key regulators of the crosstalk between melanoma cells and the melanoma-skin microenvironment, primary and metastatic human melanoma cells were seeded into skin organ cultures (SOCs), and grown for two weeks. Melanoma cells were recovered from SOCs by laser microdissection and whole-cell tryptic digests analyzed by nanoflow liquid chromatography-tandem mass spectrometry with an LTQ-Orbitrap. The differential protein abundances were calculated by spectral counting, the results of which provides evidence that cell-matrix and cell-adhesion molecules that are upregulated in the presence of these melanoma cells recapitulate proteomic data obtained from comparative analysis of human biopsies of invasive melanoma and a tissue sample of adjacent, non-involved skin. This concordance demonstrates the value of SOCs for conducting proteomic investigations of the melanoma microenvironment. PMID:20459140

  8. Determining the spatial variability of wetland soil bulk density, organic matter, and the conversion factor between organic matter and organic carbon across coastal Louisiana, U.S.A.

    Science.gov (United States)

    Wang, Hongqing; Piazza, Sarai C.; Sharp, Leigh A.; Stagg, Camille L.; Couvillion, Brady R.; Steyer, Gregory D.; McGinnis, Thomas E.

    2016-01-01

    Soil bulk density (BD), soil organic matter (SOM) content, and a conversion factor between SOM and soil organic carbon (SOC) are often used in estimating SOC sequestration and storage. Spatial variability in BD, SOM, and the SOM–SOC conversion factor affects the ability to accurately estimate SOC sequestration, storage, and the benefits (e.g., land building area and vertical accretion) associated with wetland restoration efforts, such as marsh creation and sediment diversions. There are, however, only a few studies that have examined large-scale spatial variability in BD, SOM, and SOM–SOC conversion factors in coastal wetlands. In this study, soil cores, distributed across the entire coastal Louisiana (approximately 14,667 km2) were used to examine the regional-scale spatial variability in BD, SOM, and the SOM–SOC conversion factor. Soil cores for BD and SOM analyses were collected during 2006–09 from 331 spatially well-distributed sites in the Coastwide Reference Monitoring System network. Soil cores for the SOM–SOC conversion factor analysis were collected from 15 sites across coastal Louisiana during 2006–07. Results of a split-plot analysis of variance with incomplete block design indicated that BD and SOM varied significantly at a landscape level, defined by both hydrologic basins and vegetation types. Vertically, BD and SOM varied significantly among different vegetation types. The SOM–SOC conversion factor also varied significantly at the landscape level. This study provides critical information for the assessment of the role of coastal wetlands in large regional carbon budgets and the estimation of carbon credits from coastal restoration.

  9. Grasslands and Croplands Have Different Microbial Biomass Carbon Levels per Unit of Soil Organic Carbon

    Directory of Open Access Journals (Sweden)

    Terence P. McGonigle

    2017-07-01

    Full Text Available Primarily using cropped systems, previous studies have reported a positive linear relationship between microbial biomass carbon (MBC and soil organic carbon (SOC. We conducted a meta-analysis to explore this relationship separately for grasslands and croplands using available literature. Studies were limited to those using fumigation–extraction for MBC for field samples. Trials were noted separately where records were distinct in space or time. Grasslands were naturally occurring, restored, or seeded. Cropping systems were typical of the temperate zone. MBC had a positive linear response to increasing SOC that was significant in both grasslands (p < 0.001; r2 = 0.76 and croplands (p < 0.001; r2 = 0.48. However, MBC increased 2.5-fold more steeply per unit of increasing SOC for grassland soils, as compared to the corresponding response in cropland soils. Expressing MBC as a proportion of SOC across the regression overall, slopes corresponded to 2.7% for grasslands and 1.1% for croplands. The slope of the linear relationship for grasslands was significantly (p = 0.0013 steeper than for croplands. The difference between the two systems is possibly caused by a greater proportion of SOC in grasslands being active rather than passive, relative to that in croplands, with that active fraction promoting the formation of MBC.

  10. Systems engineering and analysis

    CERN Document Server

    Blanchard, Benjamin S

    2010-01-01

    For senior-level undergraduate and first and second year graduate systems engineering and related courses. A total life-cycle approach to systems and their analysis. This practical introduction to systems engineering and analysis provides the concepts, methodologies, models, and tools needed to understand and implement a total life-cycle approach to systems and their analysis. The authors focus first on the process of bringing systems into being--beginning with the identification of a need and extending that need through requirements determination, functional analysis and allocation, design synthesis, evaluation, and validation, operation and support, phase-out, and disposal. Next, the authors discuss the improvement of systems currently in being, showing that by employing the iterative process of analysis, evaluation, feedback, and modification, most systems in existence can be improved in their affordability, effectiveness, and stakeholder satisfaction.

  11. Factors controlling soil organic carbon stability along a temperate forest altitudinal gradient

    Science.gov (United States)

    Tian, Qiuxiang; He, Hongbo; Cheng, Weixin; Bai, Zhen; Wang, Yang; Zhang, Xudong

    2016-01-01

    Changes in soil organic carbon (SOC) stability may alter carbon release from the soil and, consequently, atmospheric CO2 concentration. The mean annual temperature (MAT) can change the soil physico-chemical characteristics and alter the quality and quantity of litter input into the soil that regulate SOC stability. However, the relationship between climate and SOC stability remains unclear. A 500-day incubation experiment was carried out on soils from an 11 °C-gradient mountainous system on Changbai Mountain in northeast China. Soil respiration during the incubation fitted well to a three-pool (labile, intermediate and stable) SOC decomposition model. A correlation analysis revealed that the MAT only influenced the labile carbon pool size and not the SOC stability. The intermediate carbon pool contributed dominantly to cumulative carbon release. The size of the intermediate pool was strongly related to the percentage of sand particle. The decomposition rate of the intermediate pool was negatively related to soil nitrogen availability. Because both soil texture and nitrogen availability are temperature independent, the stability of SOC was not associated with the MAT, but was heavily influenced by the intrinsic processes of SOC formation and the nutrient status. PMID:26733344

  12. Behavior Patterns, Origin of Problems and Solutions Regarding Hysteresis Phenomena in Complex Battery Systems

    DEFF Research Database (Denmark)

    Khan, Mohammad Rezwan; Barreras, Jorge Varela; Stan, Ana-Irina

    2014-01-01

    . Therefore, an accurate knowledge of the hysteresis of OCV is vital for various applications and battery models. This is because currently Battery Management Systems (BMS) use the well-defined OCV-SoC representative curve for SoC estimation and power prediction. Particularly lithium-ion batteries with iron......One of the common phenomenona for most of the battery cell chemistries is hysteresis. Since an open circuit voltage (OCV) path is not identical for the charge and discharge of the battery cell at different states of charge (SoC) level, the battery cells show the hysteresis effect. Usually, the OCV...... i.e. voltage with zero current after previous charge is higher than the OCV after discharge at the same SoC level. It embodies the hysteresis of the battery cell. The OCV is principally subjected to previous operating condition and cannot be taken as self-regulating from the operating history...

  13. A Real-Time Joint Estimator for Model Parameters and State of Charge of Lithium-Ion Batteries in Electric Vehicles

    Directory of Open Access Journals (Sweden)

    Jianping Gao

    2015-08-01

    Full Text Available Accurate state of charge (SoC estimation of batteries plays an important role in promoting the commercialization of electric vehicles. The main work to be done in accurately determining battery SoC can be summarized in three parts. (1 In view of the model-based SoC estimation flow diagram, the n-order resistance-capacitance (RC battery model is proposed and expected to accurately simulate the battery’s major time-variable, nonlinear characteristics. Then, the mathematical equations for model parameter identification and SoC estimation of this model are constructed. (2 The Akaike information criterion is used to determine an optimal tradeoff between battery model complexity and prediction precision for the n-order RC battery model. Results from a comparative analysis show that the first-order RC battery model is thought to be the best based on the Akaike information criterion (AIC values. (3 The real-time joint estimator for the model parameter and SoC is constructed, and the application based on two battery types indicates that the proposed SoC estimator is a closed-loop identification system where the model parameter identification and SoC estimation are corrected mutually, adaptively and simultaneously according to the observer values. The maximum SoC estimation error is less than 1% for both battery types, even against the inaccurate initial SoC.

  14. Earth System Models Underestimate Soil Carbon Diagnostic Times in Dry and Cold Regions.

    Science.gov (United States)

    Jing, W.; Xia, J.; Zhou, X.; Huang, K.; Huang, Y.; Jian, Z.; Jiang, L.; Xu, X.; Liang, J.; Wang, Y. P.; Luo, Y.

    2017-12-01

    Soils contain the largest organic carbon (C) reservoir in the Earth's surface and strongly modulate the terrestrial feedback to climate change. Large uncertainty exists in current Earth system models (ESMs) in simulating soil organic C (SOC) dynamics, calling for a systematic diagnosis on their performance based on observations. Here, we built a global database of SOC diagnostic time (i.e.,turnover times; τsoil) measured at 320 sites with four different approaches. We found that the estimated τsoil was comparable among approaches of 14C dating () (median with 25 and 75 percentiles), 13C shifts due to vegetation change () and the ratio of stock over flux (), but was shortest from laboratory incubation studies (). The state-of-the-art ESMs underestimated the τsoil in most biomes, even by >10 and >5 folds in cold and dry regions, respectively. Moreover,we identified clear negative dependences of τsoil on temperature and precipitation in both of the observational and modeling results. Compared with Community Land Model (version 4), the incorporation of soil vertical profile (CLM4.5) could substantially extend the τsoil of SOC. Our findings suggest the accuracy of climate-C cycle feedback in current ESMs could be enhanced by an improved understanding of SOC dynamics under the limited hydrothermal conditions.

  15. Battery durability and longevity based power management for plug-in hybrid electric vehicle with hybrid energy storage system

    International Nuclear Information System (INIS)

    Zhang, Shuo; Xiong, Rui; Cao, Jiayi

    2016-01-01

    Highlights: • A novel procedure for developing an optimal power management strategy was proposed. • Efficiency and durability were considered to improve the practical performance. • Three control rules were abstracted from the optimization results with DP algorithm. • The proposed control strategy was verified under different SoC and SoH conditions. • The proposed strategy could further improve the energy efficiency obviously. - Abstract: Efficiency and durability are becoming two key issues for the energy storage system in electric vehicles together with their associated power management strategies. In this paper, we present a procedure for the design of a near-optimal power management strategy for the hybrid battery and ultracapacitor energy storage system (HESS) in a plug-in hybrid electric vehicle. The design procedure starts by defining a cost function to minimize the electricity consumption of the HESS and to optimize the operating behavior of the battery. To determine the optimal control actions and power distribution between two power sources, a dynamic programming (DP)-based novel analysis method is proposed, and the optimization framework is presented accordingly. Through analysis of the DP control actions under different battery state-of-health (SoH) conditions, near-optimal rules are extracted. A rule based power management is proposed based on the abstracted rules and simulation results indicate that the new control strategy can improve system efficiency under different SoH and different SoC conditions. Ultimately, the performance of proposed strategy is further verified under different types of driving cycles including the MANHATTAN cycle, 1015 6PRIUS cycle and UDDSHDV cycle.

  16. Drivers of soil organic matter vulnerability to climate change. Part I: Laboratory incubations of Swiss forest soils and radiocarbon analysis

    Science.gov (United States)

    González Domínguez, Beatriz; Studer, Mirjam S.; Niklaus, Pascal A.; Haghipour, Negar; McIntyre, Cameron; Wacker, Lukas; Zimmermann, Stephan; Walthert, Lorenz; Hagedorn, Frank; Abiven, Samuel

    2016-04-01

    Given the key role of soil organic carbon (SOC) on climate and greenhouse gas regulation, there is an increasing need to incorporate the carbon (C) feedback between SOC and the atmosphere into earth system models. The evaluation of these models points towards uncertainties on the response of CO2-C fluxes, derived from the decomposition of SOC, to the influence of controls/drivers. SOC vulnerability refers to the likelihood of losing previously stabilized soil organic matter, by the effect of environmental factors. The objective of this study is to produce a SOC vulnerability ranking of soils and to provide new insights into the influence of environmental and soil properties controls. Research on SOC vulnerability tends to focus on climatic controls and neglect the effect of other factors, such as soil geochemistry and mineralogy, on C stabilization/de-stabilization processes. In this work, we hypothesized that climate (mean annual temperature and soil moisture status proxy at the research sites in the period 1981-2010), soil (pH and % clay) and terrain (slope gradient and orientation) characteristics are the main controls of the CO2-C fluxes from SOC. Following a statistics-based approach, we selected 54 forest sites across Switzerland, which cover a broad spectrum of values for the hypothesized controls. Then, we selected the study sites so that the controls are orthogonal to each other; thus, their effect was not confounded. At each site, we collected three non-overlapping topsoil (i.e. 20 cm) composites within 40 x 40 m2 plots. In the laboratory, we sieved fresh soils at 2 mm and run a 2-weeks pre-incubation, before beginning a 6-months aerobic soil incubation under controlled conditions of moisture and temperature. Periodically, we collected NaOH (1M) traps containing the CO2-C derived from microbial heterotrophic respiration. We calculated the cumulative CO2-C respired and the one-pool SOC decomposition rates from the 54 forest sites, and linked these data to

  17. Modeling soil organic carbon dynamics and their driving factors in the main global cereal cropping systems

    Directory of Open Access Journals (Sweden)

    G. Wang

    2017-10-01

    Full Text Available Changes in the soil organic carbon (SOC stock are determined by the balance between the carbon input from organic materials and the output from the decomposition of soil C. The fate of SOC in cropland soils plays a significant role in both sustainable agricultural production and climate change mitigation. The spatiotemporal changes of soil organic carbon in croplands in response to different carbon (C input management and environmental conditions across the main global cereal systems were studied using a modeling approach. We also identified the key variables that drive SOC changes at a high spatial resolution (0.1°  ×  0.1° and over a long timescale (54 years from 1961 to 2014. A widely used soil C turnover model (RothC and state-of-the-art databases of soil and climate variables were used in the present study. The model simulations suggested that, on a global average, the cropland SOC density increased at annual rates of 0.22, 0.45 and 0.69 Mg C ha−1 yr−1 under crop residue retention rates of 30, 60 and 90 %, respectively. Increasing the quantity of C input could enhance soil C sequestration or reduce the rate of soil C loss, depending largely on the local soil and climate conditions. Spatially, under a specific crop residue retention rate, relatively higher soil C sinks were found across the central parts of the USA, western Europe, and the northern regions of China. Relatively smaller soil C sinks occurred in the high-latitude regions of both the Northern and Southern hemispheres, and SOC decreased across the equatorial zones of Asia, Africa and America. We found that SOC change was significantly influenced by the crop residue retention rate (linearly positive and the edaphic variable of initial SOC content (linearly negative. Temperature had weak negative effects, and precipitation had significantly negative impacts on SOC changes. The results can help guide carbon input management practices to

  18. Modeling, analysis and optimization of network-on-chip communication architectures

    CERN Document Server

    Ogras, Umit Y

    2013-01-01

    Traditionally, design space exploration for Systems-on-Chip (SoCs) has focused on the computational aspects of the problem at hand. However, as the number of components on a single chip and their performance continue to increase, the communication architecture plays a major role in the area, performance and energy consumption of the overall system. As a result, a shift from computation-based to communication-based design becomes mandatory. Towards this end, network-on-chip (NoC) communication architectures have emerged recently as a promising alternative to classical bus and point-to-point communication architectures. This book explores outstanding research problems related to modeling, analysis and optimization of NoC communication architectures. More precisely, we present novel design methodologies, software tools and FPGA prototypes to aid the design of application-specific NoCs.

  19. Particle swarm optimization based fuzzy logic controller for autonomous green power energy system with hydrogen storage

    International Nuclear Information System (INIS)

    Safari, S.; Ardehali, M.M.; Sirizi, M.J.

    2013-01-01

    Highlights: ► Optimized fuzzy logic controller for a hybrid green power system is developed. ► PSO algorithm is used to optimize membership functions of controller. ► Optimized fuzzy logic controller results in lower O and M costs and LPSP. ► Optimization results in less variation of battery state of charge. - Abstract: The objective of this study is to develop an optimized fuzzy logic controller (FLC) for operating an autonomous hybrid green power system (HGPS) based on the particle swarm optimization (PSO) algorithm. An electrolyzer produces hydrogen from surplus energy generated by the wind turbine and photovoltaic array of HGPS for later use by a fuel cell. The PSO algorithm is used to optimize membership functions of the FLC. The FLC inputs are (a) net power flow and (b) batteries state of charge (SOC) and FLC output determines the time for hydrogen production or consumption. Actual data for weekly residential load, wind speed, ambient temperature, and solar irradiation are used for performance simulation and analysis of the HGPS examined. The weekly operation and maintenance (O and M) costs and the loss of power supply probability (LPSP) are considered in the optimization procedure. It is determined that FLC optimization results in (a) reduced fluctuations in batteries SOC which translates into longer life for batteries and the average SOC is increased by 6.18% and (b) less working hours for fuel cell, when the load is met by wind and PV. It is found that the optimized FLC results in lower O and M costs and LPSP by 57% and 33%, respectively, as compared to its un-optimized counterpart. In addition, a reduction of 18% in investment cost is achievable by optimal sizing and reducing the capacity of HGPS equipment.

  20. Strategy Design of Hybrid Energy Storage System for Smoothing Wind Power Fluctuations

    Directory of Open Access Journals (Sweden)

    Jingyu Liu

    2016-11-01

    Full Text Available With the increasing contribution of wind power plants, the reliability and security of modern power systems have become a huge challenge due to the uncertainty and intermittency of wind energy sources. In this paper, a hybrid energy storage system (HESS consisting of battery and supercapacitor is built to smooth the power fluctuations of wind power. A power allocation strategy is proposed to give full play to the respective advantages of the two energy storage components. In the proposed strategy, the low-frequency and high-frequency components of wind power fluctuations are absorbed by battery groups and supercapacitor groups, respectively. By inhibiting the low-frequency components of supercapacitor current, the times of charging-discharging of battery groups can be significantly reduced. A DC/AC converter is applied to achieve the power exchange between the HESS and the grid. Adjustment rules for regulating state-of-charge (SOC of energy storage elements are designed to avoid overcharge and deep discharge considering the safety and the high efficiency of the energy storage elements. Experimental results on the test platform verify the effectiveness of the proposed power allocation strategy in DC/AC converter and battery SOC adjustment rules for regulating SOC levels.

  1. Fault Detection Variants of the CloudBus Protocol for IoT Distributed Embedded Systems

    Directory of Open Access Journals (Sweden)

    BARKALOV, A.

    2017-05-01

    Full Text Available Distributed embedded systems have become larger, more complex and complicated. More often, such systems operate accordingly to the IoT or Industry 4.0 concept. However, large number of end modules operating in the system leads to a significant load and consequently, to an overload of the communication interfaces. The CloudBus protocol is one of the methods which is used for data exchange and concurrent process synchronization in the distributed systems. It allows the significant savings in the amount of transmitted data between end modules, especially when compared with the other protocols used in the industry. Nevertheless, basic version of the protocol does not protect against the system failure in the event of failure of one of the nodes. This paper proposes four novel variants of the CloudBus protocol, which allow the fault detection. The comparison and performance analysis was executed for all proposed CloudBus variants. The verification and behavior analysis of the distributed systems were performed on SoC hardware research platform. Furthermore, a simple test application was proposed.

  2. Soc para la identificación de variaciones morfológicas del eritrocito

    Directory of Open Access Journals (Sweden)

    Danelia Matos Molina

    2011-03-01

    Full Text Available Normal 0 21 false false false MicrosoftInternetExplorer4 st1:*{behavior:url(#ieooui } /* Style Definitions */ table.MsoNormalTable {mso-style-name:"Tabla normal"; mso-tstyle-rowband-size:0; mso-tstyle-colband-size:0; mso-style-noshow:yes; mso-style-parent:""; mso-padding-alt:0cm 5.4pt 0cm 5.4pt; mso-para-margin:0cm; mso-para-margin-bottom:.0001pt; mso-pagination:widow-orphan; font-size:10.0pt; font-family:"Times New Roman"; mso-ansi-language:#0400; mso-fareast-language:#0400; mso-bidi-language:#0400;} En el presente se expone el diseño e implementación de un Sistema on Chip apropiado para el procesamiento de señales e imágenes. Este sistema puede representar parte importante en dispositivos médicos de apoyo en el diagnóstico de ciertas  enfermedades donde ocurran variaciones morfológicas en los eritrocitos, como es el caso de la Siklemia. El diseño está basado en un SoC de Plasma y un procesador MIPS Lite, se ha añadido además un núcleo FFT para el mejoramiento de los cálculos de la Transformada discreta de Fourier. Igualmente ha sido desarrollado un programa que calcula dada una imagen, la FFT de los bordes de los eritrocitos presentes.

  3. PLACE OF PRODUCTION COSTS SYSTEM ANALYSIS IN SYSTEM ANALYSIS

    Directory of Open Access Journals (Sweden)

    Mariia CHEREDNYCHENKO

    2016-12-01

    Full Text Available Current economic conditions require the development and implementation of an adequate system of production costs, which would ensure a steady profit growth and production volumes in a highly competitive, constantly increasing input prices and tariffs. This management system must be based on an integrated production costs system analysis (PCSA, which would provide all operating costs management subsystems necessary information to design and make better management decisions. It provides a systematic analysis of more opportunities in knowledge, creating conditions of integrity mechanism knowledge object consisting of elements that show intersystem connections, each of which has its own defined and limited objectives, relationship with the environment.

  4. State of Charge Estimation Using the Extended Kalman Filter for Battery Management Systems Based on the ARX Battery Model

    Directory of Open Access Journals (Sweden)

    Hongjie Wu

    2013-01-01

    Full Text Available State of charge (SOC is a critical factor to guarantee that a battery system is operating in a safe and reliable manner. Many uncertainties and noises, such as fluctuating current, sensor measurement accuracy and bias, temperature effects, calibration errors or even sensor failure, etc. pose a challenge to the accurate estimation of SOC in real applications. This paper adds two contributions to the existing literature. First, the auto regressive exogenous (ARX model is proposed here to simulate the battery nonlinear dynamics. Due to its discrete form and ease of implemention, this straightforward approach could be more suitable for real applications. Second, its order selection principle and parameter identification method is illustrated in detail in this paper. The hybrid pulse power characterization (HPPC cycles are implemented on the 60AH LiFePO4 battery module for the model identification and validation. Based on the proposed ARX model, SOC estimation is pursued using the extended Kalman filter. Evaluation of the adaptability of the battery models and robustness of the SOC estimation algorithm are also verified. The results indicate that the SOC estimation method using the Kalman filter based on the ARX model shows great performance. It increases the model output voltage accuracy, thereby having the potential to be used in real applications, such as EVs and HEVs.

  5. Hospital costs for treatment of acute heart failure: economic analysis of the REVIVE II study.

    Science.gov (United States)

    de Lissovoy, Greg; Fraeman, Kathy; Teerlink, John R; Mullahy, John; Salon, Jeff; Sterz, Raimund; Durtschi, Amy; Padley, Robert J

    2010-04-01

    Acute heart failure (AHF) is the leading cause of hospital admission among older Americans. The Randomized EValuation of Intravenous Levosimendan Efficacy (REVIVE II) trial compared patients randomly assigned to a single infusion of levosimendan (levo) or placebo (SOC), each in addition to local standard treatments for AHF. We report an economic analysis of REVIVE II from the hospital perspective. REVIVE II enrolled patients (N = 600) hospitalized for treatment of acute decompensated heart failure (ADHF) who remained dyspneic at rest despite treatment with intravenous diuretics. Case report forms documented index hospital treatment (drug administration, procedures, days of treatment by care unit), as well as subsequent hospital and emergency department admissions during follow-up ending 90 days from date of randomization. These data were used to impute cost of admission based on an econometric cost function derived from >100,000 ADHF hospital billing records selected per REVIVE II inclusion criteria. Index admission mean length of stay (LOS) was shorter for the levo group compared with standard of care (SOC) (7.03 vs 8.96 days, P = 0.008) although intensive care unit (ICU)/cardiac care unit (CCU) days were similar (levo 2.88, SOC 3.22, P = 0.63). Excluding cost for levo, predicted mean (median) cost for the index admission was levo US $13,590 (9,458), SOC $19,021 (10,692) with a difference of $5,431 (1,234) favoring levo (P = 0.04). During follow-up through end of study day 90, no significant differences were observed in numbers of hospital admissions (P = 0.67), inpatient days (P = 0.81) or emergency department visits (P = 0.41). Cost-effectiveness was performed with a REVIVE-II sub-set conforming to current labeling, which excluded patients with low baseline blood pressure. Assuming an average price for levo in countries where currently approved, there was better than 50% likelihood that levo was both cost-saving and improved survival. Likelihood that levo would

  6. Generation of embedded Hardware/Software from SystemC

    OpenAIRE

    Houzet , Dominique; Ouadjaout , Salim

    2006-01-01

    International audience; Designers increasingly rely on reusing intellectual property (IP) and on raising the level of abstraction to respect system-on-chip (SoC) market characteristics. However, most hardware and embedded software codes are recoded manually from system level. This recoding step often results in new coding errors that must be identified and debugged. Thus, shorter time-to-market requires automation of the system synthesis from high-level specifications. In this paper, we propo...

  7. Design, Operation, Control, and Economics of a Photovoltaic/Fuel Cell/Battery Hybrid Renewable Energy System for Automotive Applications

    Directory of Open Access Journals (Sweden)

    Zachary S. Whiteman

    2015-06-01

    Full Text Available Meeting rapidly growing global energy demand—without producing greenhouse gases or further diminishing the availability of non-renewable resources—requires the development of affordable low-emission renewable energy systems. Here, we develop a hybrid renewable energy system (HRES for automotive applications—specifically, a roof-installed photovoltaic (PV array combined with a PEM fuel cell/NiCd battery bus currently operating shuttle routes on the University of Delaware campus. The system’s overall operating objectives—meeting the total power demand of the bus and maintaining the desired state of charge (SOC of the NiCd battery—are achieved with appropriately designed controllers: a logic-based “algebraic controller” and a standard PI controller. The design, implementation, and performance of the hybrid system are demonstrated via simulation of real shuttle runs under various operating conditions. The results show that both control strategies perform equally well in enabling the HRES to meet its objectives under typical operating conditions, and under sudden cloud cover conditions; however, at consistently high bus speeds, battery SOC maintenance is better, and the system consumes less hydrogen, with PI control. An economic analysis of the PV investment necessary to realize the HRES design objectives indicates a return on investment of approximately 30% (a slight, but nonetheless positive, ~$550 profit over the bus lifetime in Newark, DE, establishing the economic viability of the proposed addition of a PV array to the existing University of Delaware fuel cell/battery bus.

  8. Long-term manure amendments and chemical fertilizers enhanced soil organic carbon sequestration in a wheat (Triticum aestivum L.)-maize (Zea mays L.) rotation system.

    Science.gov (United States)

    Zhang, Shuiqing; Huang, Shaomin; Li, Jianwei; Guo, Doudou; Lin, Shan; Lu, Guoan

    2017-06-01

    The carbon sequestration potential is affected by cropping system and management practices, but soil organic carbon (SOC) sequestration potential under fertilizations remains unclear in north China. This study examined SOC change, total C input to soil and, via integration of these estimates over years, carbon sequestration efficiency (CSE, the ratio of SOC change over C input) under no fertilization (control), chemical nitrogen fertilizer alone (N) or combined with phosphorus and potassium fertilizers (NP, NK, PK and NPK), or chemical fertilizers combined with low or high (1.5×) manure input (NPKM and 1.5NPKM). Results showed that, as compared with the initial condition, SOC content increased by 0.03, 0.06, 0.05, 0.09, 0.16, 0.26, 0.47 and 0.68 Mg C ha -1 year -1 under control, N, NK, PK, NP, NPK, NPKM and 1.5NPKM treatments respectively. Correspondingly, the C inputs of wheat and maize were 1.24, 1.34, 1.55, 1.33, 2.72, 2.96, 2.97 and 3.15 Mg ha -1 year -1 respectively. The long-term fertilization-induced CSE showed that about 11% of the gross C input was transformed into SOC pool. Overall, this study demonstrated that decade-long manure input combined with chemical fertilizers can maintain high crop yield and lead to SOC sequestration in north China. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  9. Assessing the value of mepolizumab for severe eosinophilic asthma: a cost-effectiveness analysis.

    Science.gov (United States)

    Whittington, Melanie D; McQueen, R Brett; Ollendorf, Daniel A; Tice, Jeffrey A; Chapman, Richard H; Pearson, Steven D; Campbell, Jonathan D

    2017-02-01

    Adding mepolizumab to standard treatment with inhaled corticosteroids and controller medications could decrease asthma exacerbations and use of long-term oral steroids in patients with severe disease and increased eosinophils; however, mepolizumab is costly and its cost effectiveness is unknown. To estimate the cost effectiveness of mepolizumab. A Markov model was used to determine the incremental cost per quality-adjusted life year (QALY) gained for mepolizumab plus standard of care (SoC) and for SoC alone. The population, adults with severe eosinophilic asthma, was modeled for a lifetime time horizon. A responder scenario analysis was conducted to determine the cost effectiveness for a cohort able to achieve and maintain asthma control. Over a lifetime treatment horizon, 23.96 exacerbations were averted per patient receiving mepolizumab plus SoC. Avoidance of exacerbations and decrease in long-term oral steroid use resulted in more than $18,000 in cost offsets among those receiving mepolizumab, but treatment costs increased by more than $600,000. Treatment with mepolizumab plus SoC vs SoC alone resulted in a cost-effectiveness estimate of $386,000 per QALY. To achieve cost effectiveness of approximately $150,000 per QALY, mepolizumab would require a more than 60% price discount. At current pricing, treating a responder cohort yielded cost-effectiveness estimates near $160,000 per QALY. The estimated cost effectiveness of mepolizumab exceeds value thresholds. Achieving these thresholds would require significant discounts from the current list price. Alternatively, treatment limited to responders improves the cost effectiveness toward, but remains still slightly above, these thresholds. Payers interested in improving the efficiency of health care resources should consider negotiations of the mepolizumab price and ways to predict and assess the response to mepolizumab. Copyright © 2016 American College of Allergy, Asthma & Immunology. Published by Elsevier Inc. All

  10. [Relationships between soil and rocky desertification in typical karst mountain area based on redundancy analysis].

    Science.gov (United States)

    Long, Jian; Liao, Hong-Kai; Li, Juan; Chen, Cai-Yun

    2012-06-01

    Redundancy analysis (RDA) was employed to reveal the relationships between soil and rocky desertification through vegetation investigation and analysis of soil samples collected in typical karst mountain area of southwest Guizhou Province. The results showed that except TP, TK and ACa, all other variables including SOC, TN, MBC, ROC, DOC, available nutrients and basal respiration showed significant downward trends during the rocky desertification process. RDA results showed significant correlations between different types of desertification and soil variables, described as non-degraded > potential desertification > light desertification > moderate desertification > severe desertification. Moreover, RDA showed that using SOC, TN, AN, and BD as soil indicators, 74.4% of the variance information on soil and rocky desertification could be explained. Furthermore, the results of correlation analysis showed that soil variables were significantly affected by surface vegetation. Considering the ecological function of the aboveground vegetation and the soil quality, Zanthoxylum would be a good choice for restoration of local vegetation in karst mountain area.

  11. System-Level Power Consumption Analysis of the Wearable Asthmatic Wheeze Quantification

    Directory of Open Access Journals (Sweden)

    Dinko Oletic

    2018-01-01

    Full Text Available Long-term quantification of asthmatic wheezing envisions an m-Health sensor system consisting of a smartphone and a body-worn wireless acoustic sensor. As both devices are power constrained, the main criterion guiding the system design comes down to minimization of power consumption, while retaining sufficient respiratory sound classification accuracy (i.e., wheeze detection. Crucial for assessment of the system-level power consumption is the understanding of trade-off between power cost of computationally intensive local processing and communication. Therefore, we analyze power requirements of signal acquisition, processing, and communication in three typical operating scenarios: (1 streaming of uncompressed respiratory signal to a smartphone for classification, (2 signal streaming utilizing compressive sensing (CS for reduction of data rate, and (3 respiratory sound classification onboard the wearable sensor. Study shows that the third scenario featuring the lowest communication cost enables the lowest total sensor system power consumption ranging from 328 to 428 μW. In such scenario, 32-bit ARM Cortex M3/M4 cores typically embedded within Bluetooth 4 SoC modules feature the optimal trade-off between onboard classification performance and consumption. On the other hand, study confirms that CS enables the most power-efficient design of the wearable sensor (216 to 357 μW in the compressed signal streaming, the second scenario. In such case, a single low-power ARM Cortex-A53 core is sufficient for simultaneous real-time CS reconstruction and classification on the smartphone, while keeping the total system power within budget for uncompressed streaming.

  12. Bandwidth Analysis of Functional Interconnects Used as Test Access Mechanism

    NARCIS (Netherlands)

    Van den Berg, A.; Ren, P.; Marinissen, E.J.; Gaydadjiev, G.; Goossens, K.

    2010-01-01

    Test data travels through a System on Chip (SOC) from the chip pins to the Core-Under-Test (CUT) and vice versa via a Test Access Mechanism (TAM). Conventionally, a TAM is implemented using dedicated communication infrastructure. However, also existing functional interconnect, such as a bus or

  13. Bandwidth analysis of functional interconnects used as test access mechanism

    NARCIS (Netherlands)

    Berg, van den Ardy; Ren, P.; Marinissen, Erik Jan; Gaydadjiev, G.N.; Goossens, K.G.W.

    2010-01-01

    Test data travels through a System on Chip (SOC) from the chip pins to the Core-Under-Test (CUT) and vice versa via a Test Access Mechanism (TAM). Conventionally, a TAM is implemented using dedicated communication infrastructure. However, also existing functional interconnect, such as a bus or

  14. Vortex solitons in two-dimensional spin-orbit coupled Bose-Einstein condensates: Effects of the Rashba-Dresselhaus coupling and Zeeman splitting.

    Science.gov (United States)

    Sakaguchi, Hidetsugu; Sherman, E Ya; Malomed, Boris A

    2016-09-01

    We present an analysis of two-dimensional (2D) matter-wave solitons, governed by the pseudospinor system of Gross-Pitaevskii equations with self- and cross attraction, which includes the spin-orbit coupling (SOC) in the general Rashba-Dresselhaus form, and, separately, the Rashba coupling and the Zeeman splitting. Families of semivortex (SV) and mixed-mode (MM) solitons are constructed, which exist and are stable in free space, as the SOC terms prevent the onset of the critical collapse and create the otherwise missing ground states in the form of the solitons. The Dresselhaus SOC produces a destructive effect on the vortex solitons, while the Zeeman term tends to convert the MM states into the SV ones, which eventually suffer delocalization. Existence domains and stability boundaries are identified for the soliton families. For physically relevant parameters of the SOC system, the number of atoms in the 2D solitons is limited by ∼1.5×10^{4}. The results are obtained by means of combined analytical and numerical methods.

  15. Quantified carbon input for maintaining existing soil organic carbon stocks in global wheat systems

    Science.gov (United States)

    Wang, G.

    2017-12-01

    Soil organic carbon (SOC) dynamics in croplands is a crucial component of global carbon (C) cycle. Depending on local environmental conditions and management practices, typical C input is generally required to reduce or reverse C loss in agricultural soils. No studies have quantified the critical C input for maintaining SOC at global scale with high resolution. Such information will provide a baseline map for assessing soil C dynamics under potential changes in management practices and climate, and thus enable development of management strategies to reduce C footprint from farm to regional scales. We used the soil C model RothC to simulate the critical C input rates needed to maintain existing soil C level at 0.1°× 0.1° resolution in global wheat systems. On average, the critical C input was estimated to be 2.0 Mg C ha-1 yr-1, with large spatial variability depending on local soil and climatic conditions. Higher C inputs are required in wheat system of central United States and western Europe, mainly due to the higher current soil C stocks present in these regions. The critical C input could be effectively estimated using a summary model driven by current SOC level, mean annual temperature, precipitation, and soil clay content.

  16. Critical carbon input to maintain current soil organic carbon stocks in global wheat systems.

    Science.gov (United States)

    Wang, Guocheng; Luo, Zhongkui; Han, Pengfei; Chen, Huansheng; Xu, Jingjing

    2016-01-13

    Soil organic carbon (SOC) dynamics in croplands is a crucial component of global carbon (C) cycle. Depending on local environmental conditions and management practices, typical C input is generally required to reduce or reverse C loss in agricultural soils. No studies have quantified the critical C input for maintaining SOC at global scale with high resolution. Such information will provide a baseline map for assessing soil C dynamics under potential changes in management practices and climate, and thus enable development of management strategies to reduce C footprint from farm to regional scales. We used the soil C model RothC to simulate the critical C input rates needed to maintain existing soil C level at 0.1° × 0.1° resolution in global wheat systems. On average, the critical C input was estimated to be 2.0 Mg C ha(-1) yr(-1), with large spatial variability depending on local soil and climatic conditions. Higher C inputs are required in wheat system of central United States and western Europe, mainly due to the higher current soil C stocks present in these regions. The critical C input could be effectively estimated using a summary model driven by current SOC level, mean annual temperature, precipitation, and soil clay content.

  17. ESP8266 WI-FI MODULE FOR MONITORING SYSTEM APPLICATION

    OpenAIRE

    Tae-Gue Oh; Chung-Hyuk Yim; Gyu-Sik Kim

    2017-01-01

    The ESP8266 Wi-Fi module is a self-contained system-on-chip (SOC) with integrated TCP/IP protocol stacks that can give any microcontroller access to a Wi-Fi network. In this paper, the interface between the ESP8266 Wi-Fi module and arduino MCU is studied for monitoring system application. Through some experimental studies, we believe that the ESP8266 Wi-Fi module is very useful for monitoring system application.

  18. An Efficient Connected Component Labeling Architecture for Embedded Systems

    Directory of Open Access Journals (Sweden)

    Fanny Spagnolo

    2018-03-01

    Full Text Available Connected component analysis is one of the most fundamental steps used in several image processing systems. This technique allows for distinguishing and detecting different objects in images by assigning a unique label to all pixels that refer to the same object. Most of the previous published algorithms have been designed for implementation by software. However, due to the large number of memory accesses and compare, lookup, and control operations when executed on a general-purpose processor, they do not satisfy the speed performance required by the next generation high performance computer vision systems. In this paper, we present the design of a new Connected Component Labeling hardware architecture suitable for high performance heterogeneous image processing of embedded designs. When implemented on a Zynq All Programmable-System on Chip (AP-SOC 7045 chip, the proposed design allows a throughput rate higher of 220 Mpixels/s to be reached using less than 18,000 LUTs and 5000 FFs, dissipating about 620 μJ.

  19. A model-based adaptive state of charge estimator for a lithium-ion battery using an improved adaptive particle filter

    International Nuclear Information System (INIS)

    Ye, Min; Guo, Hui; Cao, Binggang

    2017-01-01

    Highlights: • Propose an improved adaptive particle swarm filter method. • The SoC estimation method for the battery based on the adaptive particle swarm filter is presented. • The algorithm is validated by the case study of different aged extent batteries. • The effectiveness and applicability of the algorithm are validated by the LiPB batteries. - Abstract: Obtaining accurate parameters, state of charge (SoC) and capacity of a lithium-ion battery is crucial for a battery management system, and establishing a battery model online is complex. In addition, the errors and perturbations of the battery model dramatically increase throughout the battery lifetime, making it more challenging to model the battery online. To overcome these difficulties, this paper provides three contributions: (1) To improve the robustness of the adaptive particle filter algorithm, an error analysis method is added to the traditional adaptive particle swarm algorithm. (2) An online adaptive SoC estimator based on the improved adaptive particle filter is presented; this estimator can eliminate the estimation error due to battery degradation and initial SoC errors. (3) The effectiveness of the proposed method is verified using various initial states of lithium nickel manganese cobalt oxide (NMC) cells and lithium-ion polymer (LiPB) batteries. The experimental analysis shows that the maximum errors are less than 1% for both the voltage and SoC estimations and that the convergence time of the SoC estimation decreased to 120 s.

  20. MEMS Fabry-Perot sensor interrogated by optical system-on-a-chip for simultaneous pressure and temperature sensing.

    Science.gov (United States)

    Pang, Cheng; Bae, Hyungdae; Gupta, Ashwani; Bryden, Kenneth; Yu, Miao

    2013-09-23

    We present a micro-electro-mechanical systems (MEMS) based Fabry-Perot (FP) sensor along with an optical system-on-a-chip (SOC) interrogator for simultaneous pressure and temperature sensing. The sensor employs a simple structure with an air-backed silicon membrane cross-axially bonded to a 45° polished optical fiber. This structure renders two cascaded FP cavities, enabling simultaneous pressure and temperature sensing in close proximity along the optical axis. The optical SOC consists of a broadband source, a MEMS FP tunable filter, a photodetector, and the supporting circuitry, serving as a miniature spectrometer for retrieving the two FP cavity lengths. Within the measured pressure and temperature ranges, experimental results demonstrate that the sensor exhibits a good linear response to external pressure and temperature changes.

  1. Multidisciplinary System Reliability Analysis

    Science.gov (United States)

    Mahadevan, Sankaran; Han, Song; Chamis, Christos C. (Technical Monitor)

    2001-01-01

    The objective of this study is to develop a new methodology for estimating the reliability of engineering systems that encompass multiple disciplines. The methodology is formulated in the context of the NESSUS probabilistic structural analysis code, developed under the leadership of NASA Glenn Research Center. The NESSUS code has been successfully applied to the reliability estimation of a variety of structural engineering systems. This study examines whether the features of NESSUS could be used to investigate the reliability of systems in other disciplines such as heat transfer, fluid mechanics, electrical circuits etc., without considerable programming effort specific to each discipline. In this study, the mechanical equivalence between system behavior models in different disciplines are investigated to achieve this objective. A new methodology is presented for the analysis of heat transfer, fluid flow, and electrical circuit problems using the structural analysis routines within NESSUS, by utilizing the equivalence between the computational quantities in different disciplines. This technique is integrated with the fast probability integration and system reliability techniques within the NESSUS code, to successfully compute the system reliability of multidisciplinary systems. Traditional as well as progressive failure analysis methods for system reliability estimation are demonstrated, through a numerical example of a heat exchanger system involving failure modes in structural, heat transfer and fluid flow disciplines.

  2. Battery Management Systems: Accurate State-of-Charge Indication for Battery-Powered Applications

    NARCIS (Netherlands)

    Pop, V.; Bergveld, H.J.; Danilov, D.; Regtien, Paulus P.L.; Notten, P.H.L.

    2008-01-01

    Battery Management Systems – Universal State-of-Charge indication for portable applications describes the field of State-of-Charge (SoC) indication for rechargeable batteries. With the emergence of battery-powered devices with an increasing number of power-hungry features, accurately estimating the

  3. Insight and Evidence Motivating the Simplification of Dual-Analysis Hybrid Systems into Single-Analysis Hybrid Systems

    Science.gov (United States)

    Todling, Ricardo; Diniz, F. L. R.; Takacs, L. L.; Suarez, M. J.

    2018-01-01

    Many hybrid data assimilation systems currently used for NWP employ some form of dual-analysis system approach. Typically a hybrid variational analysis is responsible for creating initial conditions for high-resolution forecasts, and an ensemble analysis system is responsible for creating sample perturbations used to form the flow-dependent part of the background error covariance required in the hybrid analysis component. In many of these, the two analysis components employ different methodologies, e.g., variational and ensemble Kalman filter. In such cases, it is not uncommon to have observations treated rather differently between the two analyses components; recentering of the ensemble analysis around the hybrid analysis is used to compensated for such differences. Furthermore, in many cases, the hybrid variational high-resolution system implements some type of four-dimensional approach, whereas the underlying ensemble system relies on a three-dimensional approach, which again introduces discrepancies in the overall system. Connected to these is the expectation that one can reliably estimate observation impact on forecasts issued from hybrid analyses by using an ensemble approach based on the underlying ensemble strategy of dual-analysis systems. Just the realization that the ensemble analysis makes substantially different use of observations as compared to their hybrid counterpart should serve as enough evidence of the implausibility of such expectation. This presentation assembles numerous anecdotal evidence to illustrate the fact that hybrid dual-analysis systems must, at the very minimum, strive for consistent use of the observations in both analysis sub-components. Simpler than that, this work suggests that hybrid systems can reliably be constructed without the need to employ a dual-analysis approach. In practice, the idea of relying on a single analysis system is appealing from a cost-maintenance perspective. More generally, single-analysis systems avoid

  4. Avoiding Message-Dependent Deadlock in Network-Based Systems on Chip

    NARCIS (Netherlands)

    Hansson, A.; Goossens, K.; Rãdulescu, A.

    2007-01-01

    Networks on chip (NoCs) are an essential component of systems on chip (SoCs) and much research is devoted to deadlock avoidance in NoCs. Prior work focuses on the router network while protocol interactions between NoC and intellectual property (IP) modules are not considered. These interactions

  5. Health in elite sports from a salutogenetic perspective: athletes' sense of coherence.

    Directory of Open Access Journals (Sweden)

    Jochen Mayer

    Full Text Available OBJECTIVE: Considering the high number of stressors encountered in the context of elite sports, a high sense of coherence (SOC is crucial to allow athletes to maintain their health from both short- and long-term perspectives. The aim of this cross-sectional study was to investigate SOC in a population of elite athletes, focusing on identification of subsets of athletes with particularly high and low SOC scores, and any related predictors. The elite athletes' SOC scores were also evaluated for differences with those of the general population of Germany; whether a correlation between SOC and subjective health existed was additionally examined. METHOD: In total, 698 male and female elite athletes, drawn from Germany's highest-level national track and field squads, and first and second division handball teams, completed a survey that included the SOC-L9 Scale and measures of subjective health, sociodemographic information, and the number of injury lay-offs experienced during the athletes' careers to date. RESULTS: Classification tree analysis reveals six contrast groups with varying SOC scores. Several interacting factors determine the group to which an athlete belongs. Together with overuse injuries, additional factors are age, gender, and completed/not completed apprenticeship/degree. Female athletes aged between 19 and 25, who had already been subject to lay-offs due to overuse injuries, comprise the group with the lowest SOC scores. Overall, the SOC of elite athletes is slightly lower than in the general population. In accordance with other studies, a stronger SOC is also correlated significantly with better global subjective health. CONCLUSION: The identification of contrast groups with varying SOC scores contributes to the development of more targeted salutogenetic health promotion programs. Such programs would ideally include learning modules pertaining to coping with overuse injuries, as well as social support systems aiming to effectively

  6. Analysis of mass incident diffusion in Weibo based on self-organization theory

    Science.gov (United States)

    Pan, Jun; Shen, Huizhang

    2018-02-01

    This study introduces some theories and methods of self-organization system to the research of the diffusion mechanism of mass incidents in Weibo (Chinese Twitter). Based on the analysis on massive Weibo data from Songjiang battery factory incident happened in 2013 and Jiiangsu Qidong OJI PAPER incident happened in 2012, we find out that diffusion system of mass incident in Weibo satisfies Power Law, Zipf's Law, 1/f noise and Self-similarity. It means this system is the self-organization criticality system and dissemination bursts can be understood as one kind of Self-organization behavior. As the consequence, self-organized criticality (SOC) theory can be used to explain the evolution of mass incident diffusion and people may come up with the right strategy to control such kind of diffusion if they can handle the key ingredients of Self-organization well. Such a study is of practical importance which can offer opportunities for policy makers to have good management on these events.

  7. Two-Stage Battery Energy Storage System (BESS in AC Microgrids with Balanced State-of-Charge and Guaranteed Small-Signal Stability

    Directory of Open Access Journals (Sweden)

    Bing Xie

    2018-02-01

    Full Text Available In this paper, a two-stage battery energy storage system (BESS is implemented to enhance the operation condition of conventional battery storage systems in a microgrid. Particularly, the designed BESS is composed of two stages, i.e., Stage I: integration of dispersed energy storage units (ESUs using parallel DC/DC converters, and Stage II: aggregated ESUs in grid-connected operation. Different from a conventional BESS consisting of a battery management system (BMS and power conditioning system (PCS, the developed two-stage architecture enables additional operation and control flexibility in balancing the state-of-charge (SoC of each ESU and ensures the guaranteed small-signal stability, especially in extremely weak grid conditions. The above benefits are achieved by separating the control functions between the two stages. In Stage I, a localized power sharing scheme based on the SoC of each particular ESU is developed to manage the SoC and avoid over-charge or over-discharge issues; on the other hand, in Stage II, an additional virtual impedance loop is implemented in the grid-interactive DC/AC inverters to enhance the stability margin with multiple parallel-connected inverters integrating at the point of common coupling (PCC simultaneously. A simulation model based on MATLAB/Simulink is established, and simulation results verify the effectiveness of the proposed BESS architecture and the corresponding control diagram.

  8. Comparative Analysis of the Surface Ozone Regime Over Russia and Europe

    Science.gov (United States)

    Kuznetsov, G. I.; Tarasova, O. A.; Elansky, N. F.; Beloglazov, M. I.

    2004-05-01

    The data of the measurements of the surface ozone concentration (SOC) at several Russian cites, in TROICA expeditions, data of EMEP network as well as the results of LOTOS model application were used to compare the main characteristics of ozone spatial and temporal variability over Russia and Europe. To carry out this investigation the number of new methods of data analysis were developed and applied. Their complex application gave us possibility to separate clearly the contribution of photochemical processes having mainly periodical component (daily and seasonal). Hence more attention could be paid to the dynamical mechanism impacting SOC regime, their spatial and temporal variability including trends estimation. Spectral windowing application to the filtered database of EMEP network showed that among the different processes providing annual and shorter variability the main part (about 40% of dispersion) is governed by local and synoptical scale processes in the range of 2-7 days. At the same time the spatial distribution of these percentage contribution is non-uniform over Europe. One of the important mechanisms providing this type of variability as well as the longer ones is air transport. To study the impact of air transport the correlation fields were calculated for the transport indices using 2D NILU trajectories and SOC at EMEP network. They showed that at the Eastern border of Europe the growth of the westerlies provides not the decrease but the growth of observed SOC. This approach was use to study the features of the zonal and meridianal transport, its seasonal characteristics and annual variability. Moreover at Kislovodsk High Mountain Station the changes of the transport patters can partly explain even observed trend of SOC. Comparison of the regime at the different locations using TROICA data shows that in the most of Russian cities ozone destruction is observed. The generation of the surface ozone is only possible in the cases of combination of

  9. Integrated piping structural analysis system

    International Nuclear Information System (INIS)

    Motoi, Toshio; Yamadera, Masao; Horino, Satoshi; Idehata, Takamasa

    1979-01-01

    Structural analysis of the piping system for nuclear power plants has become larger in scale and in quantity. In addition, higher quality analysis is regarded as of major importance nowadays from the point of view of nuclear plant safety. In order to fulfill to the above requirements, an integrated piping structural analysis system (ISAP-II) has been developed. Basic philosophy of this system is as follows: 1. To apply the date base system. All information is concentrated. 2. To minimize the manual process in analysis, evaluation and documentation. Especially to apply the graphic system as much as possible. On the basis of the above philosophy four subsystems were made. 1. Data control subsystem. 2. Analysis subsystem. 3. Plotting subsystem. 4. Report subsystem. Function of the data control subsystem is to control all information of the data base. Piping structural analysis can be performed by using the analysis subsystem. Isometric piping drawing and mode shape, etc. can be plotted by using the plotting subsystem. Total analysis report can be made without the manual process through the reporting subsystem. (author)

  10. Effects of Extracurricular Participation on the Internalizing Problems and Intrapersonal Strengths of Youth in a System of Care.

    Science.gov (United States)

    Abraczinskas, Michelle; Kilmer, Ryan; Haber, Mason; Cook, James; Zarrett, Nicole

    2016-06-01

    Although extracurricular participation has been linked to positive youth outcomes in the general population, no research to date has examined benefits for youth diagnosed with mental health challenges. Youth in systems of care (SOCs) receive a variety of services and supports that could help them capitalize on this potential for positive development, such as access to flexible funding to support recreational interests. However, research has not examined the degree to which the increased community involvement (e.g., extracurricular participation) sought in SOCs contributes to improved outcomes. This study addresses these gaps by investigating the relationships between both average and increased extracurricular participation frequency and breadth and internalizing problems and intrapersonal strengths among SOC youth. Findings revealed that, on average, higher frequency of youth participation was associated with higher intrapersonal strengths and lower internalizing problems. Increases in participation frequency were also associated with increased strengths and decreased internalizing problems. These findings suggest that efforts to implement supports for increasing extracurricular participation of SOC youth could improve their psychosocial outcomes beyond the benefits yielded via formal services. Taken together, these results provide support for advocacy efforts to integrate youth with mental health challenges into existing extracurriculars and to create new extracurricular opportunities. © Society for Community Research and Action 2016.

  11. Degradation diagnosis of lithium-ion batteries with a LiNi0.5Co0.2Mn0.3O2 and LiMn2O4 blended cathode using dV/dQ curve analysis

    Science.gov (United States)

    Ando, Keisuke; Matsuda, Tomoyuki; Imamura, Daichi

    2018-06-01

    Understanding the degradation factors (cathode and anode degradation and solid electrolyte interface (SEI) formation) of lithium-ion batteries (LIBs) with a blended cathode is necessary to improve their durability because battery drive vehicles often use LIBs with a blended cathode due to advantages of power and cost. We developed a dV/dQ curve analysis adapted for through a dQ/dV curve analysis to elucidate the relations between cycle test conditions and degradation factors. To compare said factors, cycle tests were conducted under different conditions: one charge/discharge rate (C/3), two state-of-charge (SoC) ranges (100%-0% and 100%-70%), and three temperatures (0 °C, 25 °C, and 45 °C). We confirmed that there are clear differences in the degree of contribution of each degradation factor depending on conditions. For instance, at 0 °C, although the capacity reduction rate was almost the same regardless of the SoC range, the degradation mechanisms were different, i.e., the cathode degradation and the SEI formation occurred at the same time, resulting in the reduced capacity for the 100%-0% SoC range, while capacity reduction was mainly due to SEI formation for the 100%-70% SoC range.

  12. Sound extinction by fish schools: forward scattering theory and data analysis.

    Science.gov (United States)

    Raveau, M; Feuillade, C

    2015-02-01

    A model used previously to study collective back scattering from fish schools [Feuillade et al., J. Acoust. Soc. Am. 99(1), 196-208 (1996)], is used to analyze the forward scattering properties of these objects. There is an essential physical difference between back and forward scattering from fish schools. Strong frequency dependent interference effects, which affect the back scattered field amplitude, are absent in the forward scattering case. This is critically important for data analysis. There is interest in using back scattering and transmission data from fish schools to study their size, the species and abundance of fish, and fish behavior. Transmission data can be processed to determine the extinction of the field by a school. The extinction of sound depends on the forward scattering characteristics of the school, and data inversion to provide information about the fish should be based upon a forward scattering paradigm. Results are presented of an analysis of transmission data obtained in September 1995 during an experiment performed in the Gulf of Lion in the Mediterranean Sea [Diachok, J. Acoust. Soc. Am. 105(4), 2107-2128 (1999)]. The analysis shows that using forward scattering leads to significantly larger estimates of fish abundance than previous analysis based upon back scattering approaches.

  13. Wind energy analysis system

    OpenAIRE

    2014-01-01

    M.Ing. (Electrical & Electronic Engineering) One of the most important steps to be taken before a site is to be selected for the extraction of wind energy is the analysis of the energy within the wind on that particular site. No wind energy analysis system exists for the measurement and analysis of wind power. This dissertation documents the design and development of a Wind Energy Analysis System (WEAS). Using a micro-controller based design in conjunction with sensors, WEAS measure, calcu...

  14. Carbon Sequestration by Perennial Energy Crops: Is the Jury Still Out?

    Science.gov (United States)

    Agostini, Francesco; Gregory, Andrew S; Richter, Goetz M

    Soil organic carbon (SOC) changes associated with land conversion to energy crops are central to the debate on bioenergy and their potential carbon neutrality. Here, the experimental evidence on SOC under perennial energy crops (PECs) is synthesised to parameterise a whole systems model and to identify uncertainties and knowledge gaps determining PECs being a sink or source of greenhouse gas (GHG). For Miscanthus and willow ( Salix spp.) and their analogues (switchgrass, poplar), we examine carbon (C) allocation to above- and belowground residue inputs, turnover rates and retention in the soil. A meta-analysis showed that studies on dry matter partitioning and C inputs to soils are plentiful, whilst data on turnover are rare and rely on few isotopic C tracer studies. Comprehensive studies on SOC dynamics and GHG emissions under PECs are limited and subsoil processes and C losses through leaching remain unknown. Data showed dynamic changes of gross C inputs and SOC stocks depending on stand age. C inputs and turnover can now be specifically parameterised in whole PEC system models, whilst dependencies on soil texture, moisture and temperature remain empirical. In conclusion, the annual net SOC storage change exceeds the minimum mitigation requirement (0.25 Mg C ha -1 year -1 ) under herbaceous and woody perennials by far (1.14 to 1.88 and 0.63 to 0.72 Mg C ha -1 year -1 , respectively). However, long-term time series of field data are needed to verify sustainable SOC enrichment, as the physical and chemical stabilities of SOC pools remain uncertain, although they are essential in defining the sustainability of C sequestration (half-life >25 years).

  15. Systems analysis of a security alarm system

    International Nuclear Information System (INIS)

    Schiff, A.

    1975-01-01

    When the Lawrence Livermore Laboratory found that its security alarm system was causing more false alarms and maintenance costs than LLL felt was tolerable, a systems analysis was undertaken to determine what should be done about the situation. This report contains an analysis of security alarm systems in general and ends with a review of the existing Security Alarm Control Console (SACC) and recommendations for its improvement, growth and change. (U.S.)

  16. The Kepler Science Data Processing Pipeline Source Code Road Map

    Science.gov (United States)

    Wohler, Bill; Jenkins, Jon M.; Twicken, Joseph D.; Bryson, Stephen T.; Clarke, Bruce Donald; Middour, Christopher K.; Quintana, Elisa Victoria; Sanderfer, Jesse Thomas; Uddin, Akm Kamal; Sabale, Anima; hide

    2016-01-01

    We give an overview of the operational concepts and architecture of the Kepler Science Processing Pipeline. Designed, developed, operated, and maintained by the Kepler Science Operations Center (SOC) at NASA Ames Research Center, the Science Processing Pipeline is a central element of the Kepler Ground Data System. The SOC consists of an office at Ames Research Center, software development and operations departments, and a data center which hosts the computers required to perform data analysis. The SOC's charter is to analyze stellar photometric data from the Kepler spacecraft and report results to the Kepler Science Office for further analysis. We describe how this is accomplished via the Kepler Science Processing Pipeline, including, the software algorithms. We present the high-performance, parallel computing software modules of the pipeline that perform transit photometry, pixel-level calibration, systematic error correction, attitude determination, stellar target management, and instrument characterization.

  17. Characterization of System on a Chip (SoC) Single Event Upset (SEU) Responses Using SEU Data, Classical Reliability Models, and Space Environment Data

    Science.gov (United States)

    Berg, Melanie; Label, Kenneth; Campola, Michael; Xapsos, Michael

    2017-01-01

    We propose a method for the application of single event upset (SEU) data towards the analysis of complex systems using transformed reliability models (from the time domain to the particle fluence domain) and space environment data.

  18. Rearrangeable and exchangeable optical module with system-on-chip for wearable functional near-infrared spectroscopy system.

    Science.gov (United States)

    Funane, Tsukasa; Numata, Takashi; Sato, Hiroki; Hiraizumi, Shinsuke; Hasegawa, Yuichi; Kuwabara, Hidenobu; Hasegawa, Kiyoshi; Kiguchi, Masashi

    2018-01-01

    We developed a system-on-chip (SoC)-incorporated light-emitting diode (LED) and avalanche photodiode (APD) modules to improve the usability and flexibility of a fiberless wearable functional near-infrared spectroscopy (fNIRS) system. The SoC has a microprocessing unit and programmable circuits. The time division method and the lock-in method were used for separately detecting signals from different positions and signals of different wavelengths, respectively. Each module autonomously works for this time-divided-lock-in measurement with a high sensitivity for haired regions. By supplying [Formula: see text] of power and base and data clocks, the LED module emits both 730- and 855-nm wavelengths of light, amplitudes of which are modulated in each lock-in frequency generated from the base clock, and the APD module provides the lock-in detected signals synchronizing with the data clock. The SoC provided many functions, including automatic-power-control of the LED, automatic judgment of detected power level, and automatic-gain-control of the programmable gain amplifier. The number and the arrangement of modules can be adaptively changed by connecting this exchangeable modules in a daisy chain and setting the parameters dependent on the probing position. Therefore, users can configure a variety of arrangements (single- or multidistance combinations) of them with this module-based system.

  19. Real-Time Wavelet-Based Coordinated Control of Hybrid Energy Storage Systems for Denoising and Flattening Wind Power Output

    Directory of Open Access Journals (Sweden)

    Tran Thai Trung

    2014-10-01

    Full Text Available Since the penetration level of wind energy is continuously increasing, the negative impact caused by the fluctuation of wind power output needs to be carefully managed. This paper proposes a novel real-time coordinated control algorithm based on a wavelet transform to mitigate both short-term and long-term fluctuations by using a hybrid energy storage system (HESS. The short-term fluctuation is eliminated by using an electric double-layer capacitor (EDLC, while the wind-HESS system output is kept constant during each 10-min period by a Ni-MH battery (NB. State-of-charge (SOC control strategies for both EDLC and NB are proposed to maintain the SOC level of storage within safe operating limits. A ramp rate limitation (RRL requirement is also considered in the proposed algorithm. The effectiveness of the proposed algorithm has been tested by using real time simulation. The simulation model of the wind-HESS system is developed in the real-time digital simulator (RTDS/RSCAD environment. The proposed algorithm is also implemented as a user defined model of the RSCAD. The simulation results demonstrate that the HESS with the proposed control algorithm can indeed assist in dealing with the variation of wind power generation. Moreover, the proposed method shows better performance in smoothing out the fluctuation and managing the SOC of battery and EDLC than the simple moving average (SMA based method.

  20. Carbon sequestration potential for forage and pasture systems

    Science.gov (United States)

    Grassland soils represent a large reservoir of organic and inorganic carbon. Regionally, grasslands are annual CO2 sources or sinks depending on crop and soil management, current soil organic carbon (SOC) concentration and climate. Land management changes (LMC) impact SOC sequestration rate, the du...

  1. Systems analysis-independent analysis and verification

    Energy Technology Data Exchange (ETDEWEB)

    Badin, J.S.; DiPietro, J.P. [Energetics, Inc., Columbia, MD (United States)

    1995-09-01

    The DOE Hydrogen Program is supporting research, development, and demonstration activities to overcome the barriers to the integration of hydrogen into the Nation`s energy infrastructure. Much work is required to gain acceptance of hydrogen energy system concepts and to develop them for implementation. A systems analysis database has been created that includes a formal documentation of technology characterization profiles and cost and performance information. Through a systematic and quantitative approach, system developers can understand and address important issues and thereby assure effective and timely commercial implementation. This project builds upon and expands the previously developed and tested pathway model and provides the basis for a consistent and objective analysis of all hydrogen energy concepts considered by the DOE Hydrogen Program Manager. This project can greatly accelerate the development of a system by minimizing the risk of costly design evolutions, and by stimulating discussions, feedback, and coordination of key players and allows them to assess the analysis, evaluate the trade-offs, and to address any emerging problem areas. Specific analytical studies will result in the validation of the competitive feasibility of the proposed system and identify system development needs. Systems that are investigated include hydrogen bromine electrolysis, municipal solid waste gasification, electro-farming (biomass gasifier and PEM fuel cell), wind/hydrogen hybrid system for remote sites, home electrolysis and alternate infrastructure options, renewable-based electrolysis to fuel PEM fuel cell vehicle fleet, and geothermal energy used to produce hydrogen. These systems are compared to conventional and benchmark technologies. Interim results and findings are presented. Independent analyses emphasize quality, integrity, objectivity, a long-term perspective, corporate memory, and the merging of technical, economic, operational, and programmatic expertise.

  2. Coordinated Control of Wind Turbine and Energy Storage System for Reducing Wind Power Fluctuation

    Energy Technology Data Exchange (ETDEWEB)

    Muljadi, Eduard [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Kim, Chunghun [Hanyang University; Chung, Chung Choo [Hanyang University

    2017-11-13

    This paper proposes a coordinated control of wind turbine and energy storage system (ESS). Because wind power (WP) is highly dependent on variable wind speed and could induce a severe stability problem to power system especially when the WP has high penetration level. To solve this problem, many power generation corporations or grid operators recently use the ESS. It has very quick response and good performance for reducing the impact of WP fluctuation but has high cost for its installation. Therefore, it is very important to design the control algorithm considering both ESS capacity and grid reliability. Thus, we propose the control algorithm to mitigate the WP fluctuation by using the coordinated control between wind turbine and ESS considering ESS state of charge (SoC) and the WP fluctuation. From deloaded control according to WP fluctuation and ESS SoC management, we can expect the ESS lifespan expansion and improved grid reliability. The effectiveness of the proposed method is validated in MATLAB/Simulink considering power system including both wind turbine generator and conventional generators which react to system frequency deviation.

  3. The ALICE analysis train system

    CERN Document Server

    Zimmermann, Markus

    2015-01-01

    In the ALICE experiment hundreds of users are analyzing big datasets on a Grid system. High throughput and short turn-around times are achieved by a centralized system called the LEGO trains. This system combines analysis from different users in so-called analysis trains which are then executed within the same Grid jobs thereby reducing the number of times the data needs to be read from the storage systems. The centralized trains improve the performance, the usability for users and the bookkeeping in comparison to single user analysis. The train system builds upon the already existing ALICE tools, i.e. the analysis framework as well as the Grid submission and monitoring infrastructure. The entry point to the train system is a web interface which is used to configure the analysis and the desired datasets as well as to test and submit the train. Several measures have been implemented to reduce the time a train needs to finish and to increase the CPU efficiency.

  4. Full counting statistics in a serially coupled double quantum dot system with spin-orbit coupling

    Science.gov (United States)

    Wang, Qiang; Xue, Hai-Bin; Xie, Hai-Qing

    2018-04-01

    We study the full counting statistics of electron transport through a serially coupled double quantum dot (QD) system with spin-orbit coupling (SOC) weakly coupled to two electrodes. We demonstrate that the spin polarizations of the source and drain electrodes determine whether the shot noise maintains super-Poissonian distribution, and whether the sign transitions of the skewness from positive to negative values and of the kurtosis from negative to positive values take place. In particular, the interplay between the spin polarizations of the source and drain electrodes and the magnitude of the external magnetic field, can give rise to a gate-voltage-tunable strong negative differential conductance (NDC) and the shot noise in this NDC region is significantly enhanced. Importantly, for a given SOC parameter, the obvious variation of the high-order current cumulants as a function of the energy-level detuning in a certain range, especially the dip position of the Fano factor of the skewness can be used to qualitatively extract the information about the magnitude of the SOC.

  5. Comparison of comprehensive properties of Ni-MH (nickel-metal hydride) and Li-ion (lithium-ion) batteries in terms of energy efficiency

    International Nuclear Information System (INIS)

    Kang, Jianqiang; Yan, Fuwu; Zhang, Pei; Du, Changqing

    2014-01-01

    In this work, we successfully proposed a method to compare the comprehensive properties of different battery systems in terms of a parameter, energy efficiency. The quantitative relationship of OCV (open circuit voltage) and SOC (state of charge) for Ni-MH batteries is firstly established to calculate the energy efficiency. Then a comprehensive comparison of the energy efficiency for Ni-MH and Li-ion batteries is systemically analyzed under different operating conditions. The results suggest that the energy efficiency is larger for Li-ion batteries than for Ni-MH batteries under charge and charge–discharge cycles, but lesser under a large current rate discharge. The outcome indicates that Ni-MH batteries are more favorable in the case of large current rates discharge than Li-ion batteries. Under plus current rates, two factors, SOC and current rates are analyzed with respect to energy efficiency. For both the batteries, the energy efficiency is varied slightly with SOC, but declines greatly with increased current rates. - Highlights: • A method to compare the comprehensive property for different battery systems. • The relationship of OCV and SOC for Ni-MH batteries established. • An analysis of energy efficiency for Ni-MH and Li-ion batteries. • Ni-MH batteries are more favorable under large current rates discharge

  6. PWR systems transient analysis

    International Nuclear Information System (INIS)

    Kennedy, M.F.; Peeler, G.B.; Abramson, P.B.

    1985-01-01

    Analysis of transients in pressurized water reactor (PWR) systems involves the assessment of the response of the total plant, including primary and secondary coolant systems, steam piping and turbine (possibly including the complete feedwater train), and various control and safety systems. Transient analysis is performed as part of the plant safety analysis to insure the adequacy of the reactor design and operating procedures and to verify the applicable plant emergency guidelines. Event sequences which must be examined are developed by considering possible failures or maloperations of plant components. These vary in severity (and calculational difficulty) from a series of normal operational transients, such as minor load changes, reactor trips, valve and pump malfunctions, up to the double-ended guillotine rupture of a primary reactor coolant system pipe known as a Large Break Loss of Coolant Accident (LBLOCA). The focus of this paper is the analysis of all those transients and accidents except loss of coolant accidents

  7. Potential of reversible solid oxide cells as electricity storage system

    OpenAIRE

    Di Giorgio, Paolo; Desideri, Umberto

    2016-01-01

    Electrical energy storage (EES) systems allow shifting the time of electric power generation from that of consumption, and they are expected to play a major role in future electric grids where the share of intermittent renewable energy systems (RES), and especially solar and wind power plants, is planned to increase. No commercially available technology complies with all the required specifications for an efficient and reliable EES system. Reversible solid oxide cells (ReSOC) working in both ...

  8. Bio-patch design and implementation based on a low-power system-on-chip and paper-based inkjet printing technology.

    Science.gov (United States)

    Yang, Geng; Xie, Li; Mantysalo, Matti; Chen, Jian; Tenhunen, Hannu; Zheng, L R

    2012-11-01

    This paper presents the prototype implementation of a Bio-Patch using fully integrated low-power System-on-Chip (SoC) sensor and paper-based inkjet printing technology. The SoC sensor is featured with programmable gain and bandwidth to accommodate a variety of bio-signals. It is fabricated in a 0.18-ìm standard CMOS technology, with a total power consumption of 20 ìW from a 1.2 V supply. Both the electrodes and interconnections are implemented by printing conductive nano-particle inks on a flexible photo paper substrate using inkjet printing technology. A Bio-Patch prototype is developed by integrating the SoC sensor, a soft battery, printed electrodes and interconnections on a photo paper substrate. The Bio-Patch can work alone or operate along with other patches to establish a wired network for synchronous multiple-channel bio-signals recording. The measurement results show that electrocardiogram and electromyogram are successfully measured in in-vivo tests using the implemented Bio-Patch prototype.

  9. Carbon stock and turnover in riparian soils under lowland rainforest transformation systems on Sumatra, Indonesia

    Science.gov (United States)

    Hennings, Nina; Kuzyakov, Yakov

    2017-04-01

    In many tropical areas, rainforests are being cleared in order to exploit timber and other forest products as well as plant crops for food, feed and fuel use. The determinants of different patterns of deforestation and the roles of resulting transformation systems of tropical riparian rainforests for ecological functions have yet received little attention in scientific research. Especially C stocks in riparian zones are strongly affected by climate and land use changes that lead to changes in water regime and ground water level drops. We investigated the effects of land transformations in riparian ecosystems of Sumatra, on soil C content, stocks and decomposability at the landscape scale. We compare C losses in transformation systems and rainforests and estimate the contribution of soil erosion and organic matter mineralization. Further, these losses are related to changing water level and temperature increase along increasing distance to the stream. This approach is based on changing δ13C values of SOC in the topsoil as compared to those in subsoil. The shift of δ13C of SOC in the topsoil from the linear regression calculated by δ13C value with log(SOC) in the topsoil represents the modification of the C turnover rate in the top soil. Erosion is estimated by the shift of the δ13C value of SOC in the subsoil under plantations. Further, the δ13C and δ15N soil profiles and their comparison with litter of local vegetation, can be used to estimate the contribution of autochthonous and allochthonous organics to soil C stocks. Preliminary results show strong increase of erosive losses, increased decomposition with land-use transformation and decrease of C stocks with decreasing water table.

  10. A multi-chip data acquisition system based on a heterogeneous system-on-chip platform

    CERN Document Server

    Fiergolski, Adrian

    2017-01-01

    The Control and Readout Inner tracking BOard (CaRIBOu) is a versatile readout system targeting a multitude of detector prototypes. It profits from the heterogeneous platform of the Zynq System-on-Chip (SoC) and integrates in a monolithic device front-end FPGA resources with a back-end software running on a hard-core ARM-based processor. The user-friendly Linux terminal with the pre-installed DAQ software is combined with the efficiency and throughput of a system fully implemented in the FPGA fabric. The paper presents the design of the SoC-based DAQ system and its building blocks. It also shows examples of the achieved functionality for the CLICpix2 readout ASIC.

  11. Noise and vibration analysis system

    International Nuclear Information System (INIS)

    Johnsen, J.R.; Williams, R.L.

    1985-01-01

    The analysis of noise and vibration data from an operating nuclear plant can provide valuable information that can identify and characterize abnormal conditions. Existing plant monitoring equipment, such as loose parts monitoring systems (LPMS) and neutron flux detectors, may be capable of gathering noise data, but may lack the analytical capability to extract useful meanings hidden in the noise. By analyzing neutron noise signals, the structural motion and integrity of core components can be assessed. Computer analysis makes trending of frequency spectra within a fuel cycle and from one cycle to another a practical means of core internals monitoring. The Babcock and Wilcox Noise and Vibration Analysis System (NVAS) is a powerful, compact system that can automatically perform complex data analysis. The system can acquire, process, and store data, then produce report-quality plots of the important parameter. Software to perform neutron noise analysis and loose parts analysis operates on the same hardware package. Since the system is compact, inexpensive, and easy to operate, it allows utilities to perform more frequency analyses without incurring high costs and provides immediate results

  12. Thermal energy systems design and analysis

    CERN Document Server

    Penoncello, Steven G

    2015-01-01

    IntroductionThermal Energy Systems Design and AnalysisSoftwareThermal Energy System TopicsUnits and Unit SystemsThermophysical PropertiesEngineering DesignEngineering EconomicsIntroductionCommon Engineering Economics NomenclatureEconomic Analysis Tool: The Cash Flow DiagramTime Value of MoneyTime Value of Money ExamplesUsing Software to Calculate Interest FactorsEconomic Decision MakingDepreciation and TaxesProblemsAnalysis of Thermal Energy SystemsIntroductionNomenclatureThermophysical Properties of SubstancesSuggested Thermal Energy Systems Analysis ProcedureConserved and Balanced QuantitiesConservation of MassConservation of Energy (The First Law of Thermodynamics)Entropy Balance (The Second Law of Thermodynamics)Exergy Balance: The Combined LawEnergy and Exergy Analysis of Thermal Energy CyclesDetailed Analysis of Thermal Energy CyclesProblemsFluid Transport in Thermal Energy SystemsIntroductionPiping and Tubing StandardsFluid Flow FundamentalsValves and FittingsDesign and Analysis of Pipe NetworksEconomi...

  13. Backscatter data from the hydrosweep multibeam system: First results

    Digital Repository Service at National Institute of Oceanography (India)

    Hagen, R.A.; Chakraborty, B.; Schenke, H.W.

    stream_size 7 stream_content_type text/plain stream_name 9_Annual_Meet_German_Hydrogr_Soc_1994_7pp.pdf.txt stream_source_info 9_Annual_Meet_German_Hydrogr_Soc_1994_7pp.pdf.txt Content-Encoding ISO-8859-1 Content-Type text...

  14. HALO: a reconfigurable image enhancement and multisensor fusion system

    Science.gov (United States)

    Wu, F.; Hickman, D. L.; Parker, Steve J.

    2014-06-01

    Contemporary high definition (HD) cameras and affordable infrared (IR) imagers are set to dramatically improve the effectiveness of security, surveillance and military vision systems. However, the quality of imagery is often compromised by camera shake, or poor scene visibility due to inadequate illumination or bad atmospheric conditions. A versatile vision processing system called HALO™ is presented that can address these issues, by providing flexible image processing functionality on a low size, weight and power (SWaP) platform. Example processing functions include video distortion correction, stabilisation, multi-sensor fusion and image contrast enhancement (ICE). The system is based around an all-programmable system-on-a-chip (SoC), which combines the computational power of a field-programmable gate array (FPGA) with the flexibility of a CPU. The FPGA accelerates computationally intensive real-time processes, whereas the CPU provides management and decision making functions that can automatically reconfigure the platform based on user input and scene content. These capabilities enable a HALO™ equipped reconnaissance or surveillance system to operate in poor visibility, providing potentially critical operational advantages in visually complex and challenging usage scenarios. The choice of an FPGA based SoC is discussed, and the HALO™ architecture and its implementation are described. The capabilities of image distortion correction, stabilisation, fusion and ICE are illustrated using laboratory and trials data.

  15. Battery open-circuit voltage estimation by a method of statistical analysis

    NARCIS (Netherlands)

    Snihir, Iryna; Rey, William; Verbitskiy, Evgeny; Belfadhel-Ayeb, Afifa; Notten, Peter H.L.

    2006-01-01

    The basic task of a battery management system (BMS) is the optimal utilization of the stored energy and minimization of degradation effects. It is critical for a BMS that the state-of-charge (SoC) be accurately determined. Open-circuit voltage (OCV) is directly related to the state-of-charge of the

  16. Soil organic carbon sequestration and tillage systems in Mediterranean environments

    Science.gov (United States)

    Francaviglia, Rosa; Di Bene, Claudia; Marchetti, Alessandro; Farina, Roberta

    2016-04-01

    Soil carbon sequestration is of special interest in Mediterranean areas, where rainfed cropping systems are prevalent, inputs of organic matter to soils are low and mostly rely on crop residues, while losses are high due to climatic and anthropic factors such as intensive and non-conservative farming practices. The adoption of reduced or no tillage systems, characterized by a lower soil disturbance in comparison with conventional tillage, has proved to be positively effective on soil organic carbon (SOC) conservation and other physical and chemical processes, parameters or functions, e.g. erosion, compaction, ion retention and exchange, buffering capacity, water retention and aggregate stability. Moreover, soil biological and biochemical processes are usually improved by the reduction of tillage intensity. The work deals with some results available in the scientific literature, and related to field experiment on arable crops performed in Italy, Greece, Morocco and Spain. Data were organized in a dataset containing the main environmental parameters (altitude, temperature, rainfall), soil tillage system information (conventional, minimum and no-tillage), soil parameters (bulk density, pH, particle size distribution and texture), crop type, rotation, management and length of the experiment in years, initial SOCi and final SOCf stocks. Sampling sites are located between 33° 00' and 43° 32' latitude N, 2-860 m a.s.l., with mean annual temperature and rainfall in the range 10.9-19.6° C and 355-900 mm. SOC data, expressed in t C ha-1, have been evaluated both in terms of Carbon Sequestration Rate, given by [(SOCf-SOCi)/length in years], and as percentage change in comparison with the initial value [(SOCf-SOCi)/SOCi*100]. Data variability due to the different environmental, soil and crop management conditions that influence SOC sequestration and losses will be examined.

  17. Reconfigurable Computing Platforms and Target System Architectures for Automatic HW/SW Compilation

    OpenAIRE

    Lange, Holger

    2011-01-01

    Embedded systems found their way into all areas of technology and everyday life, from transport systems, facility management, health care, to hand-held computers and cell phones as well as television sets and electric cookers. Modern fabrication techniques enable the integration of such complex sophisticated systems on a single chip (System-on-Chip, SoC). In many cases, a high processing power is required at predetermined, often limited energy budgets. To adjust the processing power even more...

  18. Laboratory Evaluation of the Alere q Point-of-Care System for Early Infant HIV Diagnosis.

    Science.gov (United States)

    Hsiao, Nei-yuan; Dunning, Lorna; Kroon, Max; Myer, Landon

    2016-01-01

    Early infant diagnosis (EID) and prompt linkage to care are critical to minimise the high morbidity and mortality associated with infant HIV infection. Attrition in the "EID cascade" is common; however, point-of-care (POC) EID assays with same-day result could facilitate prompt linkage of HIV-infected infant to treatment. Despite a number of POC EID assays in development, few have been independently evaluated and data on new technologies are urgently needed to inform policy. We compared Alere q 1/2 Detect POC system laboratory test characteristics with the local standard of care (SOC), Roche CAP/CTM HIV-1 qualitative PCR in an independent laboratory-based evaluation in Cape Town, South Africa. Routinely EID samples collected between November 2013 and September 2014 were each tested by both SOC and POC systems. Repeat testing was done to troubleshoot any discrepancy between POC and SOC results. Overall, 1098 children with a median age of 47 days (IQR, 42-117) were included. Birth PCR (age laboratory. The high specificity and thus high positive predictive value would suggest a positive POC result may be adequate for immediate infant ART initiation. While POC testing for EID may have particular utility for birth testing at delivery facilities, the lower sensitivity and error rate requires further attention, as does field implementation of POC EID technologies in other clinical care settings.

  19. Dynamic Control Based Photovoltaic Illuminating System

    Directory of Open Access Journals (Sweden)

    Zhang Chengkai

    2016-01-01

    Full Text Available Smart LED illumination system can use the power from whether the photovoltaic cell or the power grid automatically based on the SOC (State Of Charge of the photovoltaic cell. This paper proposes a feedback control of the photovoltaic cells and a dynamic control strategy for the Energy system. The dynamic control strategy is used to determine the switching state of the photovoltaic cell based on the illumination load in the past one hour and the battery capacity. These controls are manifested by experimental prototype that the control scheme is correct and effective.

  20. Double-Quadrant State-of-Charge-Based Droop Control Method for Distributed Energy Storage Systems in Autonomous DC Microgrids

    DEFF Research Database (Denmark)

    Lu, Xiaonan; Sun, Kai; Guerrero, Josep M.

    2015-01-01

    more power, and the one with lower SoC delivers less power. Hence, SoC balancing and injected/output power equalization can be gradually realized. The exponent n of the SoC is employed in the control diagram to regulate the speed of SoC balancing. It is found that with larger exponent n, the balancing...... speed is higher. MATLAB/Simulink model comprised of three ESUs is implemented, and the simulation results are shown to verify the proposed approach....

  1. Systems analysis made simple computerbooks

    CERN Document Server

    Antill, Lyn

    1980-01-01

    Systems Analysis: Made Simple Computerbooks introduces the essential elements of information systems analysis and design and teaches basic technical skills required for the tasks involved. The book covers the aspects to the design of an information system; information systems and the organization, including the types of information processing activity and computer-based information systems; the role of the systems analyst; and the human activity system. The text also discusses information modeling, socio-technical design, man-machine interface, and the database design. Software specification

  2. Comment on "Relative variance of the mean squared pressure in multimode media: rehabilitating former approaches" [J. Acoust. Soc. Am. 136, 2621-2629 (2014)].

    Science.gov (United States)

    Davy, John L; Weaver, Richard L

    2015-03-01

    Models for the statistics of responses in finite reverberant structures, and in particular, for the variance of the mean square pressure in reverberation rooms, have been studied for decades. It is therefore surprising that a recent communication has claimed that the literature has gotten the simplest of such calculations very wrong. Monsef, Cozza, Rodrigues, Cellard, and Durocher [(2014). J. Acoust. Soc. Am. 136, 2621-2629] have derived a modal-based expression for the relative variance that differs significantly from expressions that have been accepted since 1969. This Comment points out that the Monsef formula is clearly incorrect, and then for the interested reader, points out the subtle place where they made their mistake.

  3. Changes in soil biological quality under legume- and maize-based farming systems in a humid savanna zone of Côte d’Ivoire

    Directory of Open Access Journals (Sweden)

    Tano Y.

    2008-01-01

    Full Text Available Studying the impact of farming systems on soil status is essential in determining the most relevant for a given agroecological zone. A trial was conducted in a West Africa humid savanna, aiming at assessing the short-term effects of farming systems on soil (0-10 cm organic carbon (SOC content and some soil microbiological properties. A randomized complete block experimental design with three replications, and the following treatments were used: Mucuna pruriens (Mucuna, Pueraria phaseoloides (Pueraria, Lablab purpureus (Lablab, a combination of these three legumes (Mixed-legumes, maize + urea (Maize-U, maize + triple super phosphate (Maize-Sp, maize + urea + triple super phosphate (Maize-USp, fertilizer-free maize continuous cropping (Maize-Tradi. Results indicated that SOC content was improved over time under legume-based systems. The relative increase was the highest with the legume association and Lablab, where SOC varied from 7.5 to 8.6 g.kg-1 (i.e. 14.7% and from 7.2 to 8.3 g.kg-1 (i.e. 15.3% respectively, between the start and the end of the trial. Besides, applying grass and maize residues as mulch on the ground, in association with inorganic fertilizers may be a way of improving SOC content in the short-term. Although legume-based systems exhibited highest values, microbial biomass carbon (MBC did not show any statistical significant differences between treatments. However, soil C mineralization and soil specific respiration were influenced by the farming systems, with higher mean values under legume-based systems (42 ± 7.6 mg C-CO2.g-1 Corg and 0.4 mg C-CO2.g-1 biomass C, respectively, compared to maize continuous cropping systems (33.1 ± 1.6 mg C-CO2.g-1 Corg and 0.3 mg C-CO2.g-1 biomass C, respectively. Thus, these parameters can be used as sensitive indicators of the early changes in soil organic matter quality. The integration of legumes cover crops in farming systems may contribute to improve soil quality that would lead to

  4. A comparative study of three model-based algorithms for estimating state-of-charge of lithium-ion batteries under a new combined dynamic loading profile

    International Nuclear Information System (INIS)

    Yang, Fangfang; Xing, Yinjiao; Wang, Dong; Tsui, Kwok-Leung

    2016-01-01

    Highlights: • Three different model-based filtering algorithms for SOC estimation are compared. • A combined dynamic loading profile is proposed to evaluate the three algorithms. • Robustness against uncertainty of initial states of SOC estimators are investigated. • Battery capacity degradation is considered in SOC estimation. - Abstract: Accurate state-of-charge (SOC) estimation is critical for the safety and reliability of battery management systems in electric vehicles. Because SOC cannot be directly measured and SOC estimation is affected by many factors, such as ambient temperature, battery aging, and current rate, a robust SOC estimation approach is necessary to be developed so as to deal with time-varying and nonlinear battery systems. In this paper, three popular model-based filtering algorithms, including extended Kalman filter, unscented Kalman filter, and particle filter, are respectively used to estimate SOC and their performances regarding to tracking accuracy, computation time, robustness against uncertainty of initial values of SOC, and battery degradation, are compared. To evaluate the performances of these algorithms, a new combined dynamic loading profile composed of the dynamic stress test, the federal urban driving schedule and the US06 is proposed. The comparison results showed that the unscented Kalman filter is the most robust to different initial values of SOC, while the particle filter owns the fastest convergence ability when an initial guess of SOC is far from a true initial SOC.

  5. Logical analysis of biological systems

    DEFF Research Database (Denmark)

    Mardare, Radu Iulian

    2005-01-01

    R. Mardare, Logical analysis of biological systems. Fundamenta Informaticae, N 64:271-285, 2005.......R. Mardare, Logical analysis of biological systems. Fundamenta Informaticae, N 64:271-285, 2005....

  6. Performance analysis of switching systems

    NARCIS (Netherlands)

    Berg, van den R.A.

    2008-01-01

    Performance analysis is an important aspect in the design of dynamic (control) systems. Without a proper analysis of the behavior of a system, it is impossible to guarantee that a certain design satisfies the system’s requirements. For linear time-invariant systems, accurate performance analyses are

  7. Firm Size, a Self-Organized Critical Phenomenon: Evidence from the Dynamical Systems Theory

    Science.gov (United States)

    Chandra, Akhilesh

    This research draws upon a recent innovation in the dynamical systems literature called the theory of self -organized criticality (SOC) (Bak, Tang, and Wiesenfeld 1988) to develop a computational model of a firm's size by relating its internal and the external sub-systems. As a holistic paradigm, the theory of SOC implies that a firm as a composite system of many degrees of freedom naturally evolves to a critical state in which a minor event starts a chain reaction that can affect either a part or the system as a whole. Thus, the global features of a firm cannot be understood by analyzing its individual parts separately. The causal framework builds upon a constant capital resource to support a volume of production at the existing level of efficiency. The critical size is defined as the production level at which the average product of a firm's factors of production attains its maximum value. The non -linearity is inferred by a change in the nature of relations at the border of criticality, between size and the two performance variables, viz., the operating efficiency and the financial efficiency. The effect of breaching the critical size is examined on the stock price reactions. Consistent with the theory of SOC, it is hypothesized that the temporal response of a firm breaching the level of critical size should behave as a flicker noise (1/f) process. The flicker noise is characterized by correlations extended over a wide range of time scales, indicating some sort of cooperative effect among a firm's degrees of freedom. It is further hypothesized that a firm's size evolves to a spatial structure with scale-invariant, self-similar (fractal) properties. The system is said to be self-organized inasmuch as it naturally evolves to the state of criticality without any detailed specifications of the initial conditions. In this respect, the critical state is an attractor of the firm's dynamics. Another set of hypotheses examines the relations between the size and the

  8. Organic Carbon Stocks, Dynamics and Restoration in Relation to Soils of Agroecosystems in Ethiopia: A Review

    Directory of Open Access Journals (Sweden)

    Getaneh Gebeyehu

    2017-02-01

    Full Text Available Soils represent the largest carbon pool and play important roles for carbon storage for prolonged periods in agroecosystems. A number of studies were conducted to quantify soil organic carbon (SOC worldwide. The objective of this review was to evaluate organic carbon stocks, dynamics and restoration in soils of agroecosystems in Ethiopia. Soil data from 32 different observations, representing four different agroecosystems, were analysed. The mean SOC stocks in the four agroecosystems varied and ranged from 25.66 (sub-humid agroecosystem to 113.17 (humid mid-highland agroecosystems Mg C ha-1 up to one meter depth. The trend of mean SOC followed (in descending order: humid mid-highland (113.17 Mg C ha-1 > per-humid highland (57.14 Mg C ha-1 > semi-arid (25.77 Mg C ha-1 > sub-humid (25.66 Mg C ha-1. Compared with soils of tropical countries, those in Ethiopian agroecosystems contained low SOC storage potential. This might be associated with differences in measurement and analysis methods as 53.1% of the studies employed the Walkley-Black Method, which is known to underestimate carbon stocks in addition to ecological and management effects. However, shifts of land management from rain-fed to irrigation farming systems exhibited progress in the improvement of mean SOC storage potential. The analyses showed that farming systems involving irrigation sequestered more carbon than rain-fed farm systems. The mean SOC in the various agricultural land uses followed the following trend (in descending order: agroforestry (153.57 Mg C ha-1 > grazing land (34.61 Mg C ha-1 > cereal cultivation (24.18 Mg C ha-1. Therefore, the possible solutions for improvement of organic carbon stocks would be implementation of appropriate restoration strategies based on agroecosystems.INTERNATIONAL JOURNAL OF ENVIRONMENT Volume-6, Issue-1, Dec-Feb 2016/17, page: 1-22 

  9. Economic evaluation of trimetazidine in the management of chronic stable angina in Greece.

    Science.gov (United States)

    Kourlaba, Georgia; Gourzoulidis, George; Andrikopoulos, George; Tsioufis, Konstantinos; Beletsi, Alexandra; Maniadakis, Nikos

    2016-09-27

    To evaluate the cost-effectiveness of trimetazidine (TMZ) as add-on therapy to standard-of-care (SoC) compared to SoC alone in patients with chronic stable angina who did not respond adequately to first line therapy with b-blockers, nitrates or calcium channel antagonists in Greece. A Markov model with 3-month cycles and 1-year time horizon was developed to assess the comparators. The analysis was conducted from a third-party payer perspective. The clinical inputs and utility values were extracted from the published literature. Resource consumption data were obtained from local experts, using a questionnaire developed for the purpose of the study and were combined with unit cost data (in €2016) obtained from official sources. Cost effectiveness was assessed by calculating the incremental cost effectiveness ratio (ICER). Probabilistic sensitivity analysis (PSA) was performed to account for uncertainty and variation in the input parameters of the model. The analysis showed that the cost of TMZ plus SoC was €1755.57 versus €1751.76 of SoC alone. In terms of health outcomes, TMZ plus SoC was associated with 0.6650 QALYs versus 0.6562 QALYs for SoC alone. The incremental analysis resulted in an ICER of €430.67 per QALY gained. PSA revealed that the probability of TMZ plus SoC being cost-effective over SoC was 89 %, at a threshold of €34,000 per QALY gained. The results indicate that TMZ as add -on treatment may be a highly cost-effective option for the symptomatic treatment of patients with chronic stable angina in Greece relative to SoC alone.

  10. Adaptive State of Charge Estimation for Li-Ion Batteries Based on an Unscented Kalman Filter with an Enhanced Battery Model

    Directory of Open Access Journals (Sweden)

    Yuanyuan Liu

    2013-08-01

    Full Text Available Accurate estimation of the state of charge (SOC of batteries is one of the key problems in a battery management system. This paper proposes an adaptive SOC estimation method based on unscented Kalman filter algorithms for lithium (Li-ion batteries. First, an enhanced battery model is proposed to include the impacts due to different discharge rates and temperatures. An adaptive joint estimation of the battery SOC and battery internal resistance is then presented to enhance system robustness with battery aging. The SOC estimation algorithm has been developed and verified through experiments on different types of Li-ion batteries. The results indicate that the proposed method provides an accurate SOC estimation and is computationally efficient, making it suitable for embedded system implementation.

  11. Supporting Space Systems Design via Systems Dependency Analysis Methodology

    Science.gov (United States)

    Guariniello, Cesare

    The increasing size and complexity of space systems and space missions pose severe challenges to space systems engineers. When complex systems and Systems-of-Systems are involved, the behavior of the whole entity is not only due to that of the individual systems involved but also to the interactions and dependencies between the systems. Dependencies can be varied and complex, and designers usually do not perform analysis of the impact of dependencies at the level of complex systems, or this analysis involves excessive computational cost, or occurs at a later stage of the design process, after designers have already set detailed requirements, following a bottom-up approach. While classical systems engineering attempts to integrate the perspectives involved across the variety of engineering disciplines and the objectives of multiple stakeholders, there is still a need for more effective tools and methods capable to identify, analyze and quantify properties of the complex system as a whole and to model explicitly the effect of some of the features that characterize complex systems. This research describes the development and usage of Systems Operational Dependency Analysis and Systems Developmental Dependency Analysis, two methods based on parametric models of the behavior of complex systems, one in the operational domain and one in the developmental domain. The parameters of the developed models have intuitive meaning, are usable with subjective and quantitative data alike, and give direct insight into the causes of observed, and possibly emergent, behavior. The approach proposed in this dissertation combines models of one-to-one dependencies among systems and between systems and capabilities, to analyze and evaluate the impact of failures or delays on the outcome of the whole complex system. The analysis accounts for cascading effects, partial operational failures, multiple failures or delays, and partial developmental dependencies. The user of these methods can

  12. Practical state of health estimation of power batteries based on Delphi method and grey relational grade analysis

    Science.gov (United States)

    Sun, Bingxiang; Jiang, Jiuchun; Zheng, Fangdan; Zhao, Wei; Liaw, Bor Yann; Ruan, Haijun; Han, Zhiqiang; Zhang, Weige

    2015-05-01

    The state of health (SOH) estimation is very critical to battery management system to ensure the safety and reliability of EV battery operation. Here, we used a unique hybrid approach to enable complex SOH estimations. The approach hybridizes the Delphi method known for its simplicity and effectiveness in applying weighting factors for complicated decision-making and the grey relational grade analysis (GRGA) for multi-factor optimization. Six critical factors were used in the consideration for SOH estimation: peak power at 30% state-of-charge (SOC), capacity, the voltage drop at 30% SOC with a C/3 pulse, the temperature rises at the end of discharge and charge at 1C; respectively, and the open circuit voltage at the end of charge after 1-h rest. The weighting of these factors for SOH estimation was scored by the 'experts' in the Delphi method, indicating the influencing power of each factor on SOH. The parameters for these factors expressing the battery state variations are optimized by GRGA. Eight battery cells were used to illustrate the principle and methodology to estimate the SOH by this hybrid approach, and the results were compared with those based on capacity and power capability. The contrast among different SOH estimations is discussed.

  13. Modeling and analysis of LiFePO4/Carbon battery considering two-phase transition during galvanostatic charging/discharging

    International Nuclear Information System (INIS)

    Li, Xueyan; Xiao, Meng; Choe, Song-Yul; Joe, Won Tae

    2015-01-01

    Highlights: • Reduced order model for LiFePO 4 particles considering two-phase transition • Model validation with experimental results of current and voltage • Analysis of two-phase transition and path dependence - Abstract: Batteries with lithium iron phosphate (LFP) cathode and carbon anode have shown various advantages over those with other chemistries, but the plateau and path dependence caused by the two-phase transition taking place during charging and discharging make it difficult to estimate the states of battery. Thus, based on electrochemical principles we propose a new reduced order model that has been validated against experimental data obtained during galvanostatic charging/discharging. The mechanism of the two-phase transition during lithiation and delithiation in LFP particles is approximated using a shrinking corewith a moving interface between the two phases and is described by modified diffusion equations that take into account multiple layers formed within LFP particles. The shrinking core model is integrated into a cell model developed previously, which is used to analyze the path dependence at different load profiles. The results show that the model is capable of representing the characteristics of the plateau and path dependence. Particularly, the available charge at a certain State of Charge (SOC) varies dependent upon paths to reach the SOC. When an initial SOC is reached by discharging, the cell can accept more charges during charging, while when an initial SOC is reached by charging, more charge will be available during discharging

  14. Multi-Disciplinary System Reliability Analysis

    Science.gov (United States)

    Mahadevan, Sankaran; Han, Song

    1997-01-01

    The objective of this study is to develop a new methodology for estimating the reliability of engineering systems that encompass multiple disciplines. The methodology is formulated in the context of the NESSUS probabilistic structural analysis code developed under the leadership of NASA Lewis Research Center. The NESSUS code has been successfully applied to the reliability estimation of a variety of structural engineering systems. This study examines whether the features of NESSUS could be used to investigate the reliability of systems in other disciplines such as heat transfer, fluid mechanics, electrical circuits etc., without considerable programming effort specific to each discipline. In this study, the mechanical equivalence between system behavior models in different disciplines are investigated to achieve this objective. A new methodology is presented for the analysis of heat transfer, fluid flow, and electrical circuit problems using the structural analysis routines within NESSUS, by utilizing the equivalence between the computational quantities in different disciplines. This technique is integrated with the fast probability integration and system reliability techniques within the NESSUS code, to successfully compute the system reliability of multi-disciplinary systems. Traditional as well as progressive failure analysis methods for system reliability estimation are demonstrated, through a numerical example of a heat exchanger system involving failure modes in structural, heat transfer and fluid flow disciplines.

  15. Carbon Storage in Soil Size Fractions Under Two Cacao Agroforestry Systems in Bahia, Brazil

    Science.gov (United States)

    Gama-Rodrigues, Emanuela F.; Ramachandran Nair, P. K.; Nair, Vimala D.; Gama-Rodrigues, Antonio C.; Baligar, Virupax C.; Machado, Regina C. R.

    2010-02-01

    Shaded perennial agroforestry systems contain relatively high quantities of soil carbon (C) resulting from continuous deposition of plant residues; however, the extent to which the C is sequestered in soil will depend on the extent of physical protection of soil organic C (SOC). The main objective of this study was to characterize SOC storage in relation to soil fraction-size classes in cacao ( Theobroma cacao L.) agroforestry systems (AFSs). Two shaded cacao systems and an adjacent natural forest in reddish-yellow Oxisols in Bahia, Brazil were selected. Soil samples were collected from four depth classes to 1 m depth and separated by wet-sieving into three fraction-size classes (>250 μm, 250-53 μm, and cacao AFSs, the C contained in the macroaggregate fraction might become stabilized in the soil. The study shows the role of cacao AFSs in mitigating greenhouse gas (GHG) emission through accumulation and retention of high amounts of organic C in the soils and suggests the potential benefit of this environmental service to the nearly 6 million cacao farmers worldwide.

  16. Spatial representation of organic carbon and active-layer thickness of high latitude soils in CMIP5 earth system models

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Umakant; Drewniak, Beth; Jastrow, Julie D.; Matamala, Roser M.; Vitharana, U. W. A.

    2017-08-01

    Soil properties such as soil organic carbon (SOC) stocks and active-layer thickness are used in earth system models (F.SMs) to predict anthropogenic and climatic impacts on soil carbon dynamics, future changes in atmospheric greenhouse gas concentrations, and associated climate changes in the permafrost regions. Accurate representation of spatial and vertical distribution of these soil properties in ESMs is a prerequisite for redudng existing uncertainty in predicting carbon-climate feedbacks. We compared the spatial representation of SOC stocks and active-layer thicknesses predicted by the coupled Modellntercomparison Project Phase 5 { CMIP5) ESMs with those predicted from geospatial predictions, based on observation data for the state of Alaska, USA. For the geospatial modeling. we used soil profile observations {585 for SOC stocks and 153 for active-layer thickness) and environmental variables (climate, topography, land cover, and surficial geology types) and generated fine-resolution (50-m spatial resolution) predictions of SOC stocks (to 1-m depth) and active-layer thickness across Alaska. We found large inter-quartile range (2.5-5.5 m) in predicted active-layer thickness of CMIP5 modeled results and small inter-quartile range (11.5-22 kg m-2) in predicted SOC stocks. The spatial coefficient of variability of active-layer thickness and SOC stocks were lower in CMIP5 predictions compared to our geospatial estimates when gridded at similar spatial resolutions (24.7 compared to 30% and 29 compared to 38%, respectively). However, prediction errors. when calculated for independent validation sites, were several times larger in ESM predictions compared to geospatial predictions. Primaly factors leading to observed differences were ( 1) lack of spatial heterogeneity in ESM predictions, (2) differences in assumptions concerning environmental controls, and (3) the absence of pedogenic processes in ESM model structures. Our results suggest that efforts to incorporate

  17. Dynamic Systems Analysis for Turbine Based Aero Propulsion Systems

    Science.gov (United States)

    Csank, Jeffrey T.

    2016-01-01

    The aircraft engine design process seeks to optimize the overall system-level performance, weight, and cost for a given concept. Steady-state simulations and data are used to identify trade-offs that should be balanced to optimize the system in a process known as systems analysis. These systems analysis simulations and data may not adequately capture the true performance trade-offs that exist during transient operation. Dynamic systems analysis provides the capability for assessing the dynamic tradeoffs at an earlier stage of the engine design process. The dynamic systems analysis concept, developed tools, and potential benefit are presented in this paper. To provide this capability, the Tool for Turbine Engine Closed-loop Transient Analysis (TTECTrA) was developed to provide the user with an estimate of the closed-loop performance (response time) and operability (high pressure compressor surge margin) for a given engine design and set of control design requirements. TTECTrA along with engine deterioration information, can be used to develop a more generic relationship between performance and operability that can impact the engine design constraints and potentially lead to a more efficient engine.

  18. Conservation tillage versus conventional tillage on carbon stock in a Mediterranean dehesa (southern Spain)

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz

    2014-05-01

    Understanding soil dynamics is essential for making appropriate land management decisions, as soils can affect the carbon content from the atmosphere, emitting large quantities of CO2 or storing carbon. This property is essential for climate change mitigation strategies as agriculture and forestry soil management can affect the carbon cycle. The dehesa is a Mediterranean silvopastoral system formed by grasslands with scattered oaks (Quercus ilex or Q. suber). The dehesa is a pasture where the herbaceous layer is comprised of either cultivated cereals such as oat, barley and wheat or native vegetation dominated by annual species, which are used as grazing resources. In addition, the dehesa is a practice dedicated to the combined production of Iberian swine, sheep, fuel wood, coal and cork, as well as hunting. The dehesa is characterized by the preservation of forest oaks. In this work, we compared two management practices such as organic farming (OF) and conventional tillage (CT) on soil organic carbon stocks (SOC-S) in Cambisols (CM) and Leptosols (LP), and we analyzed the quality of these soils based on stratification ratio (SR) in a Mediterranean dehesa. MATERIAL AND METHODS An analysis of 85 soil profiles was performed in 2009 in Los Pedroches Valley (Cordoba, southern Spain). Two soil management practices were selected: OF (isolated trees of variable densities —15-25— trees ha-1, mostly holm and cork oaks, and patches of shrubs — cistaceae, fabaceae and lamiaceae— with a herbaceous pasture layer mostly composed of therophytic species and livestock are introduced to provide organic fertilizer to the soil, without ploughing and animal manure from the farms may be incorporated) for 20 years and CT (similar to OF, with ploughing —annual passes with a disc harrow and/or cultivator— is aimed at growing grain for livestock or at clearing the encroaching shrubs) in CM and LP. The dehesas studied were silvopastoral systems without cropping. Soil properties

  19. Weld analysis and control system

    Science.gov (United States)

    Kennedy, Larry Z. (Inventor); Rodgers, Michael H. (Inventor); Powell, Bradley W. (Inventor); Burroughs, Ivan A. (Inventor); Goode, K. Wayne (Inventor)

    1994-01-01

    The invention is a Weld Analysis and Control System developed for active weld system control through real time weld data acquisition. Closed-loop control is based on analysis of weld system parameters and weld geometry. The system is adapted for use with automated welding apparatus having a weld controller which is capable of active electronic control of all aspects of a welding operation. Enhanced graphics and data displays are provided for post-weld analysis. The system provides parameter acquisition, including seam location which is acquired for active torch cross-seam positioning. Torch stand-off is also monitored for control. Weld bead and parent surface geometrical parameters are acquired as an indication of weld quality. These parameters include mismatch, peaking, undercut, underfill, crown height, weld width, puddle diameter, and other measurable information about the weld puddle regions, such as puddle symmetry, etc. These parameters provide a basis for active control as well as post-weld quality analysis and verification. Weld system parameters, such as voltage, current and wire feed rate, are also monitored and archived for correlation with quality parameters.

  20. Citrus stand ages regulate the fraction alteration of soil organic carbon under a citrus/Stropharua rugodo-annulata intercropping system in the Three Gorges Reservoir area, China.

    Science.gov (United States)

    Zhang, Yang; Ni, Jiupai; Yang, John; Zhang, Tong; Xie, Deti

    2017-08-01

    Soil carbon fractionation is a valuable indicator in assessing stabilization of soil organic matter and soil quality. However, limited studies have addressed how different vegetation stand ages under intercropping agroforestry systems, could affect organic carbon (OC) accumulation in bulk soil and its physical fractions. A field study thus investigated the impact of citrus plantation age (15-, 25-, and 45-year citrus) on the bulk soil organic carbon (SOC) and SOC fractions and yields of Stropharia rugoso-annulata (SRA) in the Three Gorges Reservoir area, Chongqing, China. Results indicated that the intercropping practice of SRA with citrus significantly increased the SOC by 57.4-61.6% in topsoil (0-10 cm) and by 24.8-39.9% in subsoil (10-30 cm). With a significantly higher enhancement under the 25-year citrus stand than the other two stands, all these citrus stands of three ages also resulted in a significant increase of free particulate OC (fPOC, 60.1-62.4% in topsoil and 34.8-46.7% in subsoil), intra-micro aggregate particulate OC (iPOC, 167.6-206.0% in topsoil and 2.77-61.09% in subsoil), and mineral-associated OC (MOC, 43.6-46.5% in topsoil and 26.0-51.5% in subsoil). However, there were no significant differences in yields of SRA under three citrus stands. Our results demonstrated that citrus stand ages did play an important role in soil carbon sequestration and fractionation under a citrus/SRA intercropping system, which could therefore provide a sustainable agroforestry system to enhance concurrently the SOC accumulation while mitigating farmland CO 2 emission.