WorldWideScience

Sample records for situ dry-etch monitoring

  1. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  2. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  3. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  4. High precision AlGaAsSb ridge-waveguide etching by in situ reflectance monitored ICP-RIE

    Science.gov (United States)

    Tran, N. T.; Breivik, Magnus; Patra, S. K.; Fimland, Bjørn-Ove

    2014-05-01

    GaSb-based semiconductor diode lasers are promising candidates for light sources working in the mid-infrared wavelength region of 2-5 μm. Using edge emitting lasers with ridge-waveguide structure, light emission with good beam quality can be achieved. Fabrication of the ridge waveguide requires precise etch stop control for optimal laser performance. Simulation results are presented that show the effect of increased confinement in the waveguide when the etch depth is well-defined. In situ reflectance monitoring with a 675 nm-wavelength laser was used to determine the etch stop with high accuracy. Based on the simulations of laser reflectance from a proposed sample, the etching process can be controlled to provide an endpoint depth precision within +/- 10 nm.

  5. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  6. Precise in situ etch depth control of multilayered III−V semiconductor samples with reflectance anisotropy spectroscopy (RAS equipment

    Directory of Open Access Journals (Sweden)

    Ann-Kathrin Kleinschmidt

    2016-11-01

    Full Text Available Reflectance anisotropy spectroscopy (RAS equipment is applied to monitor dry-etch processes (here specifically reactive ion etching (RIE of monocrystalline multilayered III–V semiconductors in situ. The related accuracy of etch depth control is better than 16 nm. Comparison with results of secondary ion mass spectrometry (SIMS reveals a deviation of only about 4 nm in optimal cases. To illustrate the applicability of the reported method in every day settings for the first time the highly etch depth sensitive lithographic process to form a film lens on the waveguide ridge of a broad area laser (BAL is presented. This example elucidates the benefits of the method in semiconductor device fabrication and also suggests how to fulfill design requirements for the sample in order to make RAS control possible.

  7. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  8. Probing the phase composition of silicon films in situ by etch product detection

    International Nuclear Information System (INIS)

    Dingemans, G.; Donker, M. N. van den; Gordijn, A.; Kessels, W. M. M.; Sanden, M. C. M. van de

    2007-01-01

    Exploiting the higher etch probability for amorphous silicon relative to crystalline silicon, the transiently evolving phase composition of silicon films in the microcrystalline growth regime was probed in situ by monitoring the etch product (SiH 4 ) gas density during a short H 2 plasma treatment step. Etch product detection took place by the easy-to-implement techniques of optical emission spectroscopy and infrared absorption spectroscopy. The phase composition of the films was probed as a function of the SiH 4 concentration during deposition and as a function of the film thickness. The in situ results were corroborated by Raman spectroscopy and solar cell analysis

  9. In-situ TEM imaging of the anisotropic etching of graphene by metal nanoparticles.

    Science.gov (United States)

    Wei, Jiake; Xu, Zhi; Wang, Hao; Tian, Xuezeng; Yang, Shize; Wang, Lifen; Wang, Wenlong; Bai, Xuedong

    2014-11-21

    Few-layer graphene was successfully tailored with smooth edges along crystallographic directions by Joule heating-driven tungsten nanoparticles inside a transmission electron microscope. The dynamic process was monitored in real time at the atomic resolution level. These high-resolution in-situ observations show that the neighboring graphene layers joined together to form closed edges, which is in contrast to the supposed open edges formed with hydrogen passivation. The tungsten nanoparticles transformed to W₂C in the intermediate stage of etching and to WC after etching, suggesting that carbon dissolution helped the continuous action of the metal nanoparticles in the catalytic anisotropic etching reaction.

  10. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    Science.gov (United States)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  11. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  12. Overview Of Dry-Etch Techniques

    Science.gov (United States)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  13. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    The effect of deposition and thermal annealing temperatures on the dry etch rate of a-C:H films was investigated to increase our fundamental understanding of the relationship between thermal annealing and dry etch rate and to obtain a low dry etch rate hard mask. The hydrocarbon contents and hydrogen concentration were decreased with increasing deposition and annealing temperatures. The I(D)/I(G) intensity ratio and extinction coefficient of the a-C:H films were increased with increasing deposition and annealing temperatures because of the increase of sp 2 bonds in the a-C:H films. There was no relationship between the density of the unpaired electrons and the deposition temperature, or between the density of the unpaired electrons and the annealing temperature. However, the thermally annealed a-C:H films had fewer unpaired electrons compared with the as-deposited ones. Transmission electron microscopy analysis showed the absence of any crystallographic change after thermal annealing. The density of the as-deposited films was increased with increasing deposition temperature. The density of the 600 °C annealed a-C:H films deposited under 450 °C was decreased but at 550 °C was increased, and the density of all 800 °C annealed films was increased. The dry etch rate of the as-deposited a-C:H films was negatively correlated with the deposition temperature. The dry etch rate of the 600 °C annealed a-C:H films deposited at 350 °C and 450 °C was faster than that of the as-deposited film and that of the 800 °C annealed a-C:H films deposited at 350 °C and 450 °C was 17% faster than that of the as-deposited film. However, the dry etch rate of the 550 °C deposited a-C:H film was decreased after annealing at 600 °C and 800 °C. The dry etch rate of the as-deposited films was decreased with increasing density but that of the annealed a-C:H films was not. These results indicated that the dry etch rate of a-C:H films for dry etch hard masks can be further decreased by

  14. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  15. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  16. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  17. Polymer degradation in reactive ion etching and its possible application to all dry processes

    International Nuclear Information System (INIS)

    Hiraoka, H.; Welsh, L.W. Jr.

    1981-01-01

    Dry etching processes involving CF 4 -plasma and reactive ion etching become increasingly important for microcircuit fabrication techniques. In these techniques polymer degradation and etch resistance against reactive species like F atoms and CF 3 + ions are the key factors in the processes. It is well-known that classical electron beam resists like poly(methyl methacrylate) and poly(1-butene sulfone) are not suitable for dry etching processes because they degrade rapidly under these etching conditions. In order to find a correlation of etching rate and polymer structures the thickness loss of polymer films have been measured for a variety of polymer films in reactive ion etching conditions, where CF 3 + ions are the major reactive species with an accelerating potential of 500 volts. Because of its high CF 4 -plasma and reactive ion etch resistance, and because of its high electron beam sensitivity, poly(methacrylonitrile) provides a positive working electron beam resist uniquely suited for all dry processes. (author)

  18. Hydrogen iodide-based dry etching of GaAs, InP, and related compounds

    International Nuclear Information System (INIS)

    Pearton, S.J.; Chakrabarti, U.K.; Hobson, W.S.; Abernathy, C.R.; Katz, A.; Ren, F.; Fullowan, T.R.; Perley, A.P.

    1992-01-01

    In this paper HI/H 2 /Ar discharges are shown to be universal etchants for III-V semiconductors, giving rise to highly anisotropic features with smooth surface morphologies. At low dc Self bias (-V) and low pressure (1 mTorr), etch rates for all III-V materials of >2000 Angstrom · min -1 are possible for high HI percentages in the discharges, whereas rates greater than 1 μm · min -1 are obtained at higher pressures and dc biases. These etch rates are approximately an order of magnitude faster than for CH 4 /H 2 Ar mixtures under the same conditions and there is no polymer deposition on the mask or within the reactor chamber with HI/H 2 /Ar. Auger electron spectroscopy reveals residue-free, stoichiometric surfaces after dry etching in this mixture. As a result, photoluminescent intensities from dry etched samples remain high with little apparent damage introduction. Changes in the near-surface carrier concentration due to hydrogen passivation effects are also negligible with HI-based mixtures in comparison to CH 4 -based dry etching

  19. A Study of Parameters Related to the Etch Rate for a Dry Etch Process Using NF3/O2 and SF6/O2

    Directory of Open Access Journals (Sweden)

    Seon-Geun Oh

    2014-01-01

    Full Text Available The characteristics of the dry etching of SiNx:H thin films for display devices using SF6/O2 and NF3/O2 were investigated using a dual-frequency capacitively coupled plasma reactive ion etching (CCP-RIE system. The investigation was carried out by varying the RF power ratio (13.56 MHz/2 MHz, pressure, and gas flow ratio. For the SiNx:H film, the etch rates obtained using NF3/O2 were higher than those obtained using SF6/O2 under various process conditions. The relationships between the etch rates and the usual monitoring parameters—the optical emission spectroscopy (OES intensity of atomic fluorine (685.1 nm and 702.89 nm and the voltages VH and VL—were investigated. The OES intensity data indicated a correlation between the bulk plasma density and the atomic fluorine density. The etch rate was proportional to the product of the OES intensity of atomic fluorine (I(F and the square root of the voltages (Vh+Vl on the assumption that the velocity of the reactive fluorine was proportional to the square root of the voltages.

  20. Continuous Process for the Etching, Rinsing and Drying of MEMS Using Supercritical Carbon Dioxide

    Energy Technology Data Exchange (ETDEWEB)

    Min, Seon Ki; Han, Gap Su; You, Seong-sik [Korea University of Technology and Education, Cheonan (Korea, Republic of)

    2015-10-15

    The previous etching, rinsing and drying processes of wafers for MEMS (microelectromechanical system) using SC-CO{sub 2} (supercritical-CO{sub 2}) consists of two steps. Firstly, MEMS-wafers are etched by organic solvent in a separate etching equipment from the high pressure dryer and then moved to the high pressure dryer to rinse and dry them using SC-CO{sub 2}. We found that the previous two step process could be applied to etch and dry wafers for MEMS but could not confirm the reproducibility through several experiments. We thought the cause of that was the stiction of structures occurring due to vaporization of the etching solvent during moving MEMS wafer to high pressure dryer after etching it outside. In order to improve the structure stiction problem, we designed a continuous process for etching, rinsing and drying MEMS-wafers using SC-CO{sub 2} without moving them. And we also wanted to know relations of states of carbon dioxide (gas, liquid, supercritical fluid) to the structure stiction problem. In the case of using gas carbon dioxide (3 MPa, 25 .deg. C) as an etching solvent, we could obtain well-treated MEMS-wafers without stiction and confirm the reproducibility of experimental results. The quantity of rinsing solvent used could be also reduced compared with the previous technology. In the case of using liquid carbon dioxide (3 MPa, 5 .deg. C), we could not obtain well-treated MEMS-wafers without stiction due to the phase separation of between liquid carbon dioxide and etching co-solvent(acetone). In the case of using SC-CO{sub 2} (7.5 Mpa, 40 .deg. C), we had as good results as those of the case using gas-CO{sub 2}. Besides the processing time was shortened compared with that of the case of using gas-CO{sub 2}.

  1. Dry etching of ITO by magnetic pole enhanced inductively coupled plasma for display and biosensing devices

    Energy Technology Data Exchange (ETDEWEB)

    Meziani, T. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Vatican City State, Holy See,) (Italy)]. E-mail: tarik.meziani@jrc.it; Colpo, P. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)]. E-mail: pascal.colpo@jrc.it; Lambertini, V. [Centro Ricerche Fiat, Strada Torino 50, 10043 Orbassano (TO) (Italy); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy); Rossi, F. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)

    2006-03-15

    The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H{sub 2} mixtures and at constant bias voltage (-100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH{sub 4}/H{sub 2} mixtures, the etch rate goes through a maximum for 10% CH{sub 4} indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH{sub 4}/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH{sub 4} in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.

  2. In situ reflectivity investigations of solid/liquid interface during laser backside etching

    International Nuclear Information System (INIS)

    Boehme, R.; Otto, T.; Zimmer, K.

    2006-01-01

    In situ reflectivity measurements of the solid/liquid interface with a pump-probe setup were performed during laser-induced backside wet etching (LIBWE) of fused silica with KrF excimer laser using toluene as absorbing liquid. The intensity, the temporal shape, and the duration of the reflected light measured in dependence on the laser fluence are discussed referring to the surface modification and the bubble formation. The vaporisation of the superheated liquid at the solid interface causes a considerable increase of the reflectivity and gives information about the bubble lifetime. The alterations of the reflectivity after bubbles collapse can be explained with the changed optical properties due to surface modifications of the solid surface. Comparative studies of the reflectivity at different times and the etch rate behaviour in dependence on the laser fluence show that the in situ measured surface modification begins just at the etch threshold fluence and correlates further with etch rate behaviour and the etched surface appearance. The already observed surface modification at LIBWE due to a carbon deposition and structural changes of the near surface region are approved by the changes of the interface reflectivity and emphasizes the importance of the modified surface region in the laser-induced backside wet etching process

  3. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  4. Alignment and Use of Self-Assembled Peptide Nanotubes as Dry-Etching Mask

    DEFF Research Database (Denmark)

    Andersen, Karsten Brandt; Castillo, Jaime; Bakmand, Tanya

    2012-01-01

    candidate for controlled nanofabrication without organic solvents. The present work demonstrates how this unique structure can be aligned, manipulated and used as both an etching mask in a dry etching procedure and as a lift-off material. As a further demonstration of the potential of this technique...

  5. High rate dry etching of InGaZnO by BCl3/O2 plasma

    Science.gov (United States)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  6. Dry etching characteristics of GaN for blue/green light-emitting diode fabrication

    International Nuclear Information System (INIS)

    Baik, K.H.; Pearton, S.J.

    2009-01-01

    The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl 2 -based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl 2 /Ar plasma chemistry and SiO 2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry

  7. Selective laser-induced photochemical dry etching of semiconductors controlled by ion-bombardment-induced damage

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1987-01-01

    When a photochemical dry etching process requires direct participation of photogenerated carriers in the chemical reaction, it is sensitive to the electronic properties of the semiconductor. For such solid-excitation-based dry etching processes, the balance between reaction and carrier recombination rates determines the practical utility of a particular reaction for device fabrication. The distance from the surface at which the photocarriers are generated by light adsorption is determined by the absorption coefficient. In the absence of an external bias potential, only those carriers formed within a diffusion length of the surface space-charge region will have an opportunity to drive the dry etching reaction. When the absorption coefficient is high, most of the photons generate carriers within a diffusion length from the surface space-charge region, and the etching rate is largely determined by the balance between the rate of the carrier-driven reaction and the surface recombination velocity. When the recombination rate of free carriers in the bulk of the semiconductor is high, the effective diffusion length is reduced and fewer of the carriers generated in the subsurface region ever reach the surface. An important effect of ion bombardment is the creation of many lattice defects that increase the rate of recombination of electrons and holes. When a sufficient number of defects, which act as recombination sites, are formed during ion implantation, the recombination of photogenerated carriers at these defects in the subsurface region can greatly reduce the number of carriers which can reach the surface and drive a photochemical etching reaction

  8. Effect of different air-drying time on the microleakage of single-step self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Horieh Moosavi

    2013-05-01

    Full Text Available Objectives This study evaluated the effect of three different air-drying times on microleakage of three self-etch adhesive systems. Materials and Methods Class I cavities were prepared for 108 extracted sound human premolars. The teeth were divided into three main groups based on three different adhesives: Opti Bond All in One (OBAO, Clearfil S3 Bond (CSB, Bond Force (BF. Each main group divided into three subgroups regarding the air-drying time: without application of air stream, following the manufacturer's instruction, for 10 sec more than manufacturer's instruction. After completion of restorations, specimens were thermocycled and then connected to a fluid filtration system to evaluate microleakage. The data were statistically analyzed using two-way ANOVA and Tukey-test (α = 0.05. Results The microleakage of all adhesives decreased when the air-drying time increased from 0 sec to manufacturer's instruction (p < 0.001. The microleakage of BF reached its lowest values after increasing the drying time to 10 sec more than the manufacturer's instruction (p < 0.001. Microleakage of OBAO and CSB was significantly lower compared to BF in all three drying time (p < 0.001. Conclusions Increasing in air-drying time of adhesive layer in one-step self-etch adhesives caused reduction of microleakage, but the amount of this reduction may be dependent on the adhesive components of self-etch adhesives.

  9. Response of murine bone marrow-derived mesenchymal stromal cells to dry-etched porous silicon scaffolds.

    Science.gov (United States)

    Hajj-Hassan, Mohamad; Khayyat-Kholghi, Maedeh; Wang, Huifen; Chodavarapu, Vamsy; Henderson, Janet E

    2011-11-01

    Porous silicon shows great promise as a bio-interface material due to its large surface to volume ratio, its stability in aqueous solutions and to the ability to precisely regulate its pore characteristics. In the current study, porous silicon scaffolds were fabricated from single crystalline silicon wafers by a novel xenon difluoride dry etching technique. This simplified dry etch fabrication process allows selective formation of porous silicon using a standard photoresist as mask material and eliminates the post-formation drying step typically required for the wet etching techniques, thereby reducing the risk of damaging the newly formed porous silicon. The porous silicon scaffolds supported the growth of primary cultures of bone marrow derived mesenchymal stromal cells (MSC) plated at high density for up to 21 days in culture with no significant loss of viability, assessed using Alamar Blue. Scanning electron micrographs confirmed a dense lawn of cells at 9 days of culture and the presence of MSC within the pores of the porous silicon scaffolds. Copyright © 2011 Wiley Periodicals, Inc.

  10. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data

    International Nuclear Information System (INIS)

    Kim, Boomsoo; Hong, Sangjeen

    2014-01-01

    As a useful tool for process control in a high volume semiconductor manufacturing environment, virtual metrology for the etch rate in a plasma etch process is investigated using optical emission spectroscopy (OES) data. Virtual metrology is a surrogate measurement taken from the process instead of from direct measurement, and it can provide in-situ metrology of a wafer's geometry from a predictive model. A statistical regression model that correlates the selected wavelengths of the optical emission spectra to the etch rate is established using the OES data collected over 20 experimental runs. In addition, an argon actinometry study is employed to quantify the OES data, and it provides valuable insight into the analysis of the OES data. The established virtual metrology model is further verified with an additional 20 runs of data. As a result, the virtual metrology model with both process recipe tool data and in-situ data shows higher prediction accuracy by as much as 56% compared with either the process recipe tool data or the in-situ data alone.

  11. Fabrication of Ni stamp with high aspect ratio, two-leveled, cylindrical microstructures using dry etching and electroplating

    DEFF Research Database (Denmark)

    Petersen, Ritika Singh; Keller, Stephan Sylvest; Hansen, Ole

    2015-01-01

    obtained by defining a reservoir and a separating trench with different depths of 85 and 125 μm, respectively, in a single embossing step. The fabrication of the required two leveled stamp is done using a modified DEEMO (dry etching, electroplating and molding) process. Dry etching using the Bosch process...... and electroplating are optimized to obtain a stamp with smooth stamp surfaces and a positive sidewall profile. Using this stamp, hot embossing is performed successfully with excellent yield and high replication fidelity....

  12. In-situ monitoring of etching of bovine serum albumin using low-temperature atmospheric plasma jet

    Science.gov (United States)

    Kousal, J.; Shelemin, A.; Kylián, O.; Slavínská, D.; Biederman, H.

    2017-01-01

    Bio-decontamination of surfaces by means of atmospheric pressure plasma is nowadays extensively studied as it represents promising alternative to commonly used sterilization/decontamination techniques. The non-equilibrium atmospheric pressure plasmas were already reported to be highly effective in removal of a wide range of biological residual from surfaces. Nevertheless the kinetics of removal of biological contamination from surfaces is still not well understood as the majority of performed studies were based on ex-situ evaluation of etching rates, which did not allow investigating details of plasma action on biomolecules. This study therefore presents a real-time, in-situ ellipsometric characterization of removal of bovine serum albumin (BSA) from surfaces by low-temperature atmospheric plasma jet operated in argon. Non-linear and at shorter distances between treated samples and nozzle of the plasma jet also non-monotonic dependence of the removal rate on the treatment duration was observed. According to additional measurements focused on the determination of chemical changes of treated BSA as well as temperature measurements, the observed behavior is most likely connected with two opposing effects: the formation of a thin layer on the top of BSA deposit enriched in inorganic compounds, whose presence causes a gradual decrease of removal efficiency, and slight heating of BSA that facilitates its degradation and volatilization induced by chemically active radicals produced by the plasma.

  13. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    Science.gov (United States)

    Held, J.; Gaspar, J.; Ruther, P.; Hagner, M.; Cismak, A.; Heilmann, A.; Paul, O.

    2010-02-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  14. Design of experiment characterization of microneedle fabrication processes based on dry silicon etching

    International Nuclear Information System (INIS)

    Held, J; Gaspar, J; Ruther, P; Paul, O; Hagner, M; Cismak, A; Heilmann, A

    2010-01-01

    This paper reports on the characterization of dry etching-based processes for the fabrication of silicon microneedles using a design of experiment (DoE) approach. The possibility of using such microneedles as protruding microelectrodes able to electroporate adherently growing cells and record intracellular potentials motivates the systematic analysis of the influence of etching parameters on the needle shape. Two processes are characterized: a fully isotropic etch process and a three-step etching approach. In the first case, the shape of the microneedles is defined by a single etch step. For the stepped method, the structures are realized using the following sequence: a first, isotropic step defines the tip; this is followed by anisotropic etching that increases the height of the needle; a final isotropic procedure thins the microneedle and sharpens its tip. From the various process parameters tested, it is concluded that the isotropic fabrication is influenced mostly by four process parameters, whereas six parameters dominantly govern the outcome of the stepped etching technique. The dependence of the needle shape on the etch mask diameter is also investigated. Microneedles with diameters down to the sub-micrometer range and heights below 10 µm are obtained. The experimental design is performed using the D-optimal method. The resulting geometry, i.e. heights, diameters and radii of curvature measured at different positions, is extracted from scanning electron micrographs of needle cross-sections obtained from cuts by focused ion beam. The process parameters are used as inputs and the geometry features of the microneedles as outputs for the analysis of the process.

  15. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  16. Composite shear bond strength to dry and wet enamel with three self-etch adhesives

    Directory of Open Access Journals (Sweden)

    Shafiee F

    2006-01-01

    Full Text Available Background and Aim: The bonding mechanisms of self etching primers, based upon the simultaneous etching and priming of dentin, simplifies the bonding technique, but the efficiency of these systems is still controversial. This study compared the shear bond strength of three self etch adhesive systems in dry and wet conditions. Materials and Method: In this experimental study, 77 intact bovine lower incisors with flat 600 grit sanded enamel surface were fixed in acrylic molds and divided into 7 groups, of 11 teeth. The enamel surfaces were treated according to a special procedure as follows: Group 1: Prompt L-Pop (PLP in dry condition, Group 2: Prompt L-Pop in wet condition, Group 3: Clearfield SE Bond (CSEB in dry condition, Group 4: Clearfield SE Bond in wet condition, Group 5: iBond (iB in dry condition, Group 6: iBond in wet condition, Group 7: Margin Bond (Control in dry condition. Surfaces were air dried for ten seconds, or blot dried in wet condition. Composite resin was bonded on the enamel and built up by applying a cylindric teflon split mold (4 mm height 2mm diameter. After 24 hours storage in dionized water at room temperature, all specimens were thermocycled and shear bond test was employed by a universal testing machine (Instron with a cross-head speed of 1mm/min. The shear bond strength was recorded in MPa and data were analyzed with ANOVA and Scheffe statistical tests. P<0.05 was considered as statistically significant. The mode of failure was examined under a stereomicroscope. Results: 1- Shear bond strength of CSEB in dry condition (21.5 ± 4.8 MPa was significantly higher than PLP and iB groups (p<0.0001. 2- Shear bond strength of iB and PLP groups in dry condition (9.60 ± 2.2, 9.49 ± 3 MPa were significantly lower than CSEB and control (2.99 ± 5.1 MPa (P<0.0001. 3- There was no significant difference between PLP and iB groups in dry condition (P=1. 4- Shear bond strength of CSEB in wet condition (21.8 ± 3 MPa was

  17. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  18. Effects of 3D microlens transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching

    Energy Technology Data Exchange (ETDEWEB)

    Grigaliūnas, Viktoras, E-mail: Viktoras.Grigaliunas@ktu.lt [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Jucius, Dalius; Lazauskas, Algirdas; Andrulevičius, Mindaugas; Sakaliūnienė, Jolita; Abakevičienė, Brigita; Kopustinskas, Vitoldas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Smetona, Saulius [Qorvo, 7628 Thorndike Road Greensboro, NC 27409 United States (United States); Tamulevičius, Sigitas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania)

    2017-01-30

    Highlights: • The etching rate of PMMA is dependent on the plasma etching time. • The etching rate ratio between PMMA and fused silica vary during plasma treatment. • The etching rate ratio variation must be assessed during the microlens design phase. - Abstract: Nowadays, 3D microoptical elements find a variety of applications from light emitting diodes and household appliances to precise medical endoscopes. Such elements, fabricated in a fused silica substrate by combining 3D e-beam patterning and dry etching, can be used as a mold for the high throughput replication in polymeric materials by UV nanoimprint technique. Flexible and precise control of 3D shape in the resist layer can be achieved by e-beam patterning, but it is also very important to know peculiarities of 3D pattern transfer from resist layer into the fused silica substrate. This paper reports on the effects of PMMA 3D microlens pattern transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching. It is demonstrated that etching rate ratio between PMMA and fused silica changes during plasma treatment. Thus, the resulting shape of transferred 3D profile is different from the shape in PMMA and this variation must be assessed during the design phase.

  19. Effect of Cl2- and HBr-based inductively coupled plasma etching on InP surface composition analyzed using in situ x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Bouchoule, S.; Vallier, L.; Patriarche, G.; Chevolleau, T.; Cardinaud, C.

    2012-01-01

    A Cl 2 -HBr-O 2 /Ar inductively coupled plasma (ICP) etching process has been adapted for the processing of InP-based heterostructures in a 300-mm diameter CMOS etching tool. Smooth and anisotropic InP etching is obtained at moderate etch rate (∼600 nm/min). Ex situ x-ray energy dispersive analysis of the etched sidewalls shows that the etching anisotropy is obtained through a SiO x passivation mechanism. The stoichiometry of the etched surface is analyzed in situ using angle-resolved x-ray photoelectron spectroscopy. It is observed that Cl 2 -based ICP etching results in a significantly P-rich surface. The phosphorous layer identified on the top surface is estimated to be ∼1-1.3-nm thick. On the other hand InP etching in HBr/Ar plasma results in a more stoichiometric surface. In contrast to the etched sidewalls, the etched surface is free from oxides with negligible traces of silicon. Exposure to ambient air of the samples submitted to Cl 2 -based chemistry results in the complete oxidation of the P-rich top layer. It is concluded that a post-etch treatment or a pure HBr plasma step may be necessary after Cl 2 -based ICP etching for the recovery of the InP material.

  20. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  1. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  2. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  3. Fabrication of ultrahigh density metal-cell-metal crossbar memory devices with only two cycles of lithography and dry-etch procedures

    KAUST Repository

    Zong, Baoyu

    2013-05-20

    A novel approach to the fabrication of metal-cell-metal trilayer memory devices was demonstrated by using only two cycles of lithography and dry-etch procedures. The fabricated ultrahigh density crossbar devices can be scaled down to ≤70 nm in half-pitch without alignment issues. Depending on the different dry-etch mechanisms in transferring high and low density nanopatterns, suitable dry-etch angles and methods are studied for the transfer of high density nanopatterns. Some novel process methods have also been developed to eliminate the sidewall and other conversion obstacles for obtaining high density of uniform metallic nanopatterns. With these methods, ultrahigh density trilayer crossbar devices (∼2 × 1010 bit cm-2-kilobit electronic memory), which are composed of built-in practical magnetoresistive nanocells, have been achieved. This scalable process that we have developed provides the relevant industries with a cheap means to commercially fabricate three-dimensional high density metal-cell-metal nanodevices. © 2013 IOP Publishing Ltd.

  4. Direct fabrication of nano-gap electrodes by focused ion beam etching

    International Nuclear Information System (INIS)

    Nagase, Takashi; Gamo, Kenji; Kubota, Tohru; Mashiko, Shinro

    2006-01-01

    A simple approach to increase the reliability of nano-gap electrode fabrication techniques is presented. The method is based on maskless sputter etching of Au electrodes using a focused ion beam (FIB) and in-situ monitoring of the etching steps by measuring a current fed to the Au electrodes. The in-situ monitoring is crucial to form nano-gaps much narrower than a FIB spot size. By using this approach, gaps of ∼3-6 nm are fabricated with the high yield of ∼90%, and most of the fabricated nano-gap electrodes showed high resistances of 10 GΩ-1 TΩ. The controllability of the fabrication steps is significantly improved by using triple-layered films consisting of top Ti, Au, and bottom adhesion Ti layers. The applicability of the fabricated nano-gap electrodes to electron transport studies of nano-sized objects is demonstrated by electrical measurement of Au colloidal nano-particles

  5. The memory characteristics of submicron feature-size PZT capacitors with PtOx top electrode by using dry-etching

    International Nuclear Information System (INIS)

    Huang, C.-K.; Wang, C.-C.; Wu, T.-B.

    2007-01-01

    Dry etching and its effect on the characteristics of submicron feature-size PbZr 1-x Ti x O 3 (PZT) capacitors with PtO x top electrode were investigated. The photoresist (PR)-masked PtO x films were etched by an Ar/(20%)Cl 2 /O 2 helicon wave plasma. A fence-free pattern with a significantly high etch rate and sidewall slope was obtained by the addition of O 2 into the etching gas mixture, due to the chemical instability of PtO x and the formation of a PtO 2 passivation layer to suppress redeposition of the etch by-products on the etched surface. The patterned PtO x electrode can be further used as a hard mask for etching the PZT film, subsequently, with the gas mixture of Ar, CF 4 and O 2 . A high etching rate of PZT and a good etching selectivity to PtO x can be obtained at 30% O 2 addition into the Ar/(50%)CF 4 plasma. The etched capacitors have a steep, 72 0 , sidewall angle with a clean surface. Moreover, the addition of O 2 into the etching gas can well preserve the properties and the fatigue endurance of PtO x /PZT capacitors

  6. Teste de microtração em esmalte de um sistema adesivo universal pela técnica etch-and-rinse e etch-and-dry

    OpenAIRE

    Peneque, Carolina Martins Santos

    2014-01-01

    Tese de mestrado, Medicina dentária, Universidade de Lisboa, Faculdade de Medicina Dentária, 2014 Objetivo: Recorrendo ao teste de microtração, avaliar e comparar as forças adesivas em esmalte cortado de um sistema adesivo universal (Scotchbond Universal Adhesive, 3M ESPE, St. Paul, MN, USA) usado segundo as instruções do fabricante, nos modos etch-and-rinse e etch-and-dry. A hipótese nula testada é a de que não existem diferenças estatisticamente significativas nas forças de adesão ao esm...

  7. In Situ Raman Analysis of CO₂-Assisted Drying of Fruit-Slices.

    Science.gov (United States)

    Braeuer, Andreas Siegfried; Schuster, Julian Jonathan; Gebrekidan, Medhanie Tesfay; Bahr, Leo; Michelino, Filippo; Zambon, Alessandro; Spilimbergo, Sara

    2017-05-15

    This work explores the feasibility of applying in situ Raman spectroscopy for the online monitoring of the supercritical carbon dioxide (SC-CO₂) drying of fruits. Specifically, we investigate two types of fruits: mango and persimmon. The drying experiments were carried out inside an optical accessible vessel at 10 MPa and 313 K. The Raman spectra reveal: (i) the reduction of the water from the fruit slice and (ii) the change of the fruit matrix structure during the drying process. Two different Raman excitation wavelengths were compared: 532 nm and 785 nm. With respect to the quality of the obtained spectra, the 532 nm excitation wavelength was superior due to a higher signal-to-noise ratio and due to a resonant excitation scheme of the carotenoid molecules. It was found that the absorption of CO₂ into the fruit matrix enhances the extraction of water, which was expressed by the obtained drying kinetic curve.

  8. Fabrication of Light Extraction Efficiency of Organic Light-Emitting Diodes with 3D Aspherical Microlens by Using Dry Etching Process

    Directory of Open Access Journals (Sweden)

    Y. C. Chen

    2013-01-01

    Full Text Available organic light-emitting diode (OLED can enable a greater artificial contrast ratio and viewing angle compared to liquid crystal display (LCD because OLED pixels directly emit light. There is a shortcoming that the internal quantum efficiency can reach values close to 100%, but about 80% light disperses because of the difference among the refractive indices of the substrate, anode, indium tin oxide (ITO film, and air. In this paper, three dimensions aspherical microlens arrays (3D A-MLAs with substrate modifications are developed to simulate the optical luminous field by using FRED software. This study modified parameters of 3D A-MLAs such as the diameter, fill-factor, aspect ratio, dry etching parameters, and electroforming rates of microlens to improve the extraction efficiency of the OLED. In dry etching, not only the aspect ratio with better extraction rate can be obtained by reactive ion etching (RIE dry etching, but also an undercutting phenomenon can be avoided. The dimensions of 3D A-MLAs can be accurately controlled in the electroforming process used to make a nickel-cobalt (Ni-Co metal mold to achieve the designed dimensions. According to the measured results, the average luminance efficacy of the OLEDs with 3D A-MLAs can be enhanced.

  9. Dry eye after laser in-situ keratomileusis.

    Science.gov (United States)

    Raoof, Duna; Pineda, Roberto

    2014-01-01

    Laser-assisted in-situ keratomileusis (LASIK) is one of the most commonly performed refractive procedures with excellent visual outcomes. Dry eye syndrome is one of the most frequently seen complications after LASIK, with most patients developing at least some mild dry eye symptoms postoperatively. To achieve improved visual outcomes and greater patient satisfaction, it is essential to identify patients prone to dry eyes preoperatively, and initiate treatment early in the course. Enhanced understanding of the pathophysiology of post-LASIK dry eye will help advance our approach to its management.

  10. Effect of different air-drying time on the microleakage of single-step self-etch adhesives

    OpenAIRE

    Moosavi, Horieh; Forghani, Maryam; Managhebi, Esmatsadat

    2013-01-01

    Objectives This study evaluated the effect of three different air-drying times on microleakage of three self-etch adhesive systems. Materials and Methods Class I cavities were prepared for 108 extracted sound human premolars. The teeth were divided into three main groups based on three different adhesives: Opti Bond All in One (OBAO), Clearfil S3 Bond (CSB), Bond Force (BF). Each main group divided into three subgroups regarding the air-drying time: without application of air stream...

  11. Effect of moisture and drying time on the bond strength of the one-step self-etching adhesive system

    Directory of Open Access Journals (Sweden)

    Yoon Lee

    2012-08-01

    Full Text Available Objectives To investigate the effect of dentin moisture degree and air-drying time on dentin-bond strength of two different one-step self-etching adhesive systems. Materials and Methods Twenty-four human third molars were used for microtensile bond strength testing of G-Bond and Clearfil S3 Bond. The dentin surface was either blot-dried or air-dried before applying these adhesive agents. After application of the adhesive agent, three different air drying times were evaluated: 1, 5, and 10 sec. Composite resin was build up to 4 mm thickness and light cured for 40 sec with 2 separate layers. Then the tooth was sectioned and trimmed to measure the microtensile bond strength using a universal testing machine. The measured bond strengths were analyzed with three-way ANOVA and regression analysis was done (p = 0.05. Results All three factors, materials, dentin wetness and air drying time, showed significant effect on the microtensile bond strength. Clearfil S3 Bond, dry dentin surface and 10 sec air drying time showed higher bond strength. Conclusions Within the limitation of this experiment, air drying time after the application of the one-step self-etching adhesive agent was the most significant factor affecting the bond strength, followed by the material difference and dentin moisture before applying the adhesive agent.

  12. Dry etching of new phase-change material Al1.3Sb3Te in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Zhang Xu; Rao Feng; Liu Bo; Peng Cheng; Zhou Xilin; Yao Dongning; Guo Xiaohui; Song Sannian; Wang Liangyong; Cheng Yan; Wu Liangcai; Song Zhitang; Feng Songlin

    2012-01-01

    The dry etching characteristic of Al 1.3 Sb 3 Te film was investigated by using a CF 4 /Ar gas mixture. The experimental control parameters were gas flow rate into the chamber, CF 4 /Ar ratio, the O 2 addition, the chamber background pressure, and the incident RF power applied to the lower electrode. The total flow rate was 50 sccm and the behavior of etch rate of Al 1.3 Sb 3 Te thin films was investigated as a function of the CF 4 /Ar ratio, the O 2 addition, the chamber background pressure, and the incident RF power. Then the parameters were optimized. The fast etch rate was up to 70.8 nm/min and a smooth surface was achieved using optimized etching parameters of CF 4 concentration of 4%, power of 300 W and pressure of 80 mTorr.

  13. Comparison of damage introduced into GaN/AlGaN/GaN heterostructures using selective dry etch recipes

    International Nuclear Information System (INIS)

    Green, R T; Luxmoore, I J; Houston, P A; Ranalli, F; Wang, T; Parbrook, P J; Uren, M J; Wallis, D J; Martin, T

    2009-01-01

    A SiCl 4 /SF 6 dry etch plasma recipe is presented giving a selectivity of 14:1 between GaN and AlGaN. Using a leakage test structure, which enables bulk and surface leakage components to be identified independently, the optimized recipe is compared to an un-etched sample and devices recessed using a Cl 2 /Ar/O 2 -based plasma chemistry. Devices etched using the SiCl 4 /SF 6 recipe demonstrated reduced bulk and surface leakage currents when operated over a wide range of temperatures. Consequently the SiCl 4 /SF 6 recipe is identified as most suitable for the fabrication of gate recessed AlGaN/GaN HEMTs

  14. Effect of air-drying time of single-application self-etch adhesives on dentin bond strength.

    Science.gov (United States)

    Chiba, Yasushi; Yamaguchi, Kanako; Miyazaki, Masashi; Tsubota, Keishi; Takamizawa, Toshiki; Moore, B Keith

    2006-01-01

    This study examined the effect of air-drying time of adhesives on the dentin bond strength of several single-application self-etch adhesive systems. The adhesive/resin composite combinations used were: Adper Prompt L-Pop/Filtek Z250 (AP), Clearfil Tri-S Bond/Clearfil AP-X (CT), Fluoro Bond Shake One/Beautifil (FB), G-Bond/Gradia Direct (GB) and One-Up Bond F Plus/Palfique Estelite (OF). Bovine mandibular incisors were mounted in self-curing resin and wet ground with #600 SiC to expose labial dentin. Adhesives were applied according to each manufacturer's instructions followed by air-drying time for 0 (without air-drying), 5 and 10 seconds. After light irradiation of the adhesives, the resin composites were condensed into a mold (phi4x2 mm) and polymerized. Ten samples per test group were stored in 37 degrees C distilled water for 24 hours; they were then shear tested at a crosshead speed of 1.0 mm/minute. One-way ANOVA followed by Tukey's HSD tests (alpha = 0.05) were done. FE-SEM observations of the resin/dentin interface were also conducted. Dentin bond strength varied with the different air drying times and ranged from 5.8 +/- 2.4 to 13.9 +/- 2.8 MPa for AP, 4.9 +/- 1.5 to 17.1 +/- 2.3 MPa for CT, 7.9 +/- 2.8 to 13.8 +/- 2.4 MPa for FB, 3.7 +/- 1.4 to 13.4 +/- 1.2 MPa for GB and 4.6 +/- 2.1 to 13.7 +/- 2.6 MPa for OF. With longer air drying of adhesives, no significant changes in bond strengths were found for the systems used except for OF. Significantly lower bond strengths were obtained for the 10-second air-drying group for OF. From FE-SEM observations, gaps between the cured adhesive and resin composites were observed for the specimens without the air drying of adhesives except for OF. The data suggests that, with four of the single-application self-etch adhesive systems, air drying is essential to obtain adequate dentin bond strengths, but increased drying time does not significantly influence bond strength. For the other system studied, the bond strength

  15. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  16. In Situ Raman Analysis of CO2—Assisted Drying of Fruit-Slices

    Directory of Open Access Journals (Sweden)

    Andreas Siegfried Braeuer

    2017-05-01

    Full Text Available This work explores the feasibility of applying in situ Raman spectroscopy for the online monitoring of the supercritical carbon dioxide (SC-CO2 drying of fruits. Specifically, we investigate two types of fruits: mango and persimmon. The drying experiments were carried out inside an optical accessible vessel at 10 MPa and 313 K. The Raman spectra reveal: (i the reduction of the water from the fruit slice and (ii the change of the fruit matrix structure during the drying process. Two different Raman excitation wavelengths were compared: 532 nm and 785 nm. With respect to the quality of the obtained spectra, the 532 nm excitation wavelength was superior due to a higher signal-to-noise ratio and due to a resonant excitation scheme of the carotenoid molecules. It was found that the absorption of CO2 into the fruit matrix enhances the extraction of water, which was expressed by the obtained drying kinetic curve.

  17. In Situ Raman Analysis of CO2—Assisted Drying of Fruit-Slices

    Science.gov (United States)

    Braeuer, Andreas Siegfried; Schuster, Julian Jonathan; Gebrekidan, Medhanie Tesfay; Bahr, Leo; Michelino, Filippo; Zambon, Alessandro; Spilimbergo, Sara

    2017-01-01

    This work explores the feasibility of applying in situ Raman spectroscopy for the online monitoring of the supercritical carbon dioxide (SC-CO2) drying of fruits. Specifically, we investigate two types of fruits: mango and persimmon. The drying experiments were carried out inside an optical accessible vessel at 10 MPa and 313 K. The Raman spectra reveal: (i) the reduction of the water from the fruit slice and (ii) the change of the fruit matrix structure during the drying process. Two different Raman excitation wavelengths were compared: 532 nm and 785 nm. With respect to the quality of the obtained spectra, the 532 nm excitation wavelength was superior due to a higher signal-to-noise ratio and due to a resonant excitation scheme of the carotenoid molecules. It was found that the absorption of CO2 into the fruit matrix enhances the extraction of water, which was expressed by the obtained drying kinetic curve. PMID:28505120

  18. Fiscal 2000 achievement report on the investigation of alternative gas system and process technologies for dry etching in electronic device manufacturing; 2000 nendo denshi device seizo process de shiyosuru etching gas no daitai gas system oyobi daitai process no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Efforts are made to develop technologies for saving PFC (perfluoro-compound) and conserving energy in semiconductor manufacturing processes, in particular, in the layer insulation film (SiO{sub 2}) dry etching process. Activities are conducted in the five fields of (1) research and development of technologies for reducing the amount of etching gas consumption, (2) development of a dry etching technology using alternative gas, (3) development of a dry etching technology using a low dielectric constant layer insulation film, (4) research and development of novel wiring structures and a method for fabricating the same, and (5) re-entrusted studies. Conducted in field (5) are studies of novel alternative gas - solid sources to substitute PFC, theory design technologies for low dielectric constant organic macromolecules, low dielectric constant material film fabrication by CVD (chemical vapor deposition), and technology for optical wiring inside chips. In field (2), studies are conducted of low GWP (global warming potential) alternative PFC gas aided etching and decomposition prevention technologies for reduction in PFC emissions, and it is made clear that C{sub 4}F{sub 6} performs excellently as an etchant. (NEDO)

  19. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  20. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  1. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  2. FTIR analysis of flue gases - combined in-situ and dry extractive gas sampling; Kombination av in-situ och kallextraktiv roekgasmaetning med FTIR

    Energy Technology Data Exchange (ETDEWEB)

    Andersson, Christer; Soederbom, J [Vattenfall Utveckling AB, Aelvkarleby (Sweden)

    1996-10-01

    Fourier Transform Infra Red (FTIR) spectroscopy is a promising and versatile technique for gas analysis which lately has moved from the laboratory to industrial applications such as emission monitoring of combustion plants. This has been made possible by recent developments of spectrometers and software. The single most important advantage of the FTIR is its capability to simultaneously analyse virtually all gas species of interest in flue gas applications. The project has studied the feasibility of using the technique as a multi-component emission monitoring system. A specific aim was to evaluate different implementations of the technique to flue gas analysis: in-situ, hot/dry and cold extraction or combinations of these. The goal was to demonstrate a system in which gas components that normally require hot extraction (NH{sub 3}, HCl, H{sub 2}O) could instead be measured in-situ. In this way potential sampling artefacts e.g. for ammonia monitoring, can be avoided. The remaining gas components are measured using cold extraction and thereby minimizing interference from water. The latter advantage can be crucial for the accuracy of e.g. NO{sub x} measurements. Prior to the project start in-situ monitoring using FTIR was, a to a large extent, an untried method. The fact that broad band IR radiation can not be guided through optical fibres, presented a major technical obstacle. An `in-situ probe` was developed to serve the purpose. The probe is equipped with a gold plated mirror at the end and is mounted on the support structure of the FTIR-spectrometer. The arrangement proved to be a robust solution without being unnecessary complex or cumbersome to use. 10 refs, 45 figs, 10 tabs

  3. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  4. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive, inexpensive monitor for radon detection and dosimetry is described in detail. It consists of a Makrofoil track etch detector inside a diffusion chamber which is sealed by a fibreglass filter through which radon may diffuse while radon daughters and aerosols are retained on the surface of the filter. The α-particle tracks are revealed by etching the Makrofoil in KOH. The lower detection limit of the radon dosimeter is equivalent to a mean dose in the lung of 130 mrem. After an exposure period of 3 months, a mean radon concentration of 0.3 pCi/l can be detected. The instrument is intended for use in a study to measure the long-term radon exposure in buildings in West Germany. (UK)

  5. Improved photoluminescence efficiency in UV nanopillar light emitting diode structures by recovery of dry etching damage.

    Science.gov (United States)

    Jeon, Dae-Woo; Jang, Lee-Woon; Jeon, Ju-Won; Park, Jae-Woo; Song, Young Ho; Jeon, Seong-Ran; Ju, Jin-Woo; Baek, Jong Hyeob; Lee, In-Hwan

    2013-05-01

    In this study, we have fabricated 375-nm-wavelength InGaN/AlInGaN nanopillar light emitting diodes (LED) structures on c-plane sapphire. A uniform and highly vertical nanopillar structure was fabricated using self-organized Ni/SiO2 nano-size mask by dry etching method. To minimize the dry etching damage, the samples were subjected to high temperature annealing with subsequent chemical passivation in KOH solution. Prior to annealing and passivation the UV nanopillar LEDs showed the photoluminescence (PL) efficiency about 2.5 times higher than conventional UV LED structures which is attributed to better light extraction efficiency and possibly some improvement of internal quantum efficiency due to partially relieved strain. Annealing alone further increased the PL efficiency by about 4.5 times compared to the conventional UV LEDs, while KOH passivation led to the overall PL efficiency improvement by more than 7 times. Combined results of Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) suggest that annealing decreases the number of lattice defects and relieves the strain in the surface region of the nanopillars whereas KOH treatment removes the surface oxide from nanopillar surface.

  6. ICP dry etching ITO to improve the performance of GaN-based LEDs

    International Nuclear Information System (INIS)

    Meng Lili; Chen Yixin; Ma Li; Liu Zike; Shen Guangdi

    2011-01-01

    In order to improve the light efficiency of the conventional GaN-based light-emitting diodes (LEDs), the indium tin oxide (ITO) film is introduced as the current spreading layer and the light anti-reflecting layer on the p-GaN surface. There is a big problem with the ITO thin film's corrosion during the electrode preparation. In this paper, at least, the edge of the ITO film was lateral corroded 3.5 μm width, i.e. 6.43%-1/3 of ITO film's area. An optimized simple process, i.e. inductively couple plasma (ICP), was introduced to solve this problem. The ICP process not only prevented the ITO film from lateral corrosion, but also improved the LED's light intensity and device performance. The edge of the ITO film by ICP dry etching is steep, and the areas of ITO film are whole. Compared with the chip by wet etching, the areas of light emission increase by 6.43% at least and the chip's lop values increase by 45.9% at most. (semiconductor devices)

  7. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  8. Intercalation-etching of graphene on Pt(111) in H2 and O2 observed by in-situ low energy electron microscopy

    Institute of Scientific and Technical Information of China (English)

    Wei; Wei; Caixia; Meng; Qiang; Fu; Xinhe; Bao

    2017-01-01

    Graphene layers are often exposed to gaseous environments in their synthesis and application processes, and interactions of graphene surfaces with molecules particularly H2 and O2 are of great importance in their physico-chemical properties. In this work, etching of graphene overlayers on Pt(111) in H2 and O2 atmospheres were investigated by in-situ low energy electron microscopy. Significant graphene etching was observed in 10-5 Torr H2 above 1023 K, which occurs simultaneously at graphene island edges and interiors with a determined reaction barrier at 5.7 eV. The similar etching phenomena were found in 10.7 Torr O2 above 973 K, while only island edges were reacted between 823 and 923 K. We suggest that etching of graphene edges is facilitated by Pt-aided hydrogenation or oxidation of edge carbon atoms while intercalation-etching is attributed to etching at the interiors at high temperatures. The different findings with etching in O2 and H2 depend on competitive adsorption, desorption, and diffusion processes of O and H atoms on Pt surface, as well as intercalation at the graphene/Pt interface.

  9. Low surface damage dry etched black silicon

    Science.gov (United States)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt; Lindhard, Jonas Michael; Hirsch, Jens; Lausch, Dominik; Schmidt, Michael Stenbæk; Stamate, Eugen; Hansen, Ole

    2017-10-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface damage that causes significant recombination. Here, we present a process optimization strategy for bSi, where surface damage is reduced and surface passivation is improved while excellent light trapping and low reflectance are maintained. We demonstrate that reduction of the capacitively coupled plasma power, during reactive ion etching at non-cryogenic temperature (-20 °C), preserves the reflectivity below 1% and improves the effective minority carrier lifetime due to reduced ion energy. We investigate the effect of the etching process on the surface morphology, light trapping, reflectance, transmittance, and effective lifetime of bSi. Additional surface passivation using atomic layer deposition of Al2O3 significantly improves the effective lifetime. For n-type wafers, the lifetime reaches 12 ms for polished and 7.5 ms for bSi surfaces. For p-type wafers, the lifetime reaches 800 μs for both polished and bSi surfaces.

  10. Recent advances in laser in situ keratomileusis-associated dry eye.

    Science.gov (United States)

    Xie, Wenjia

    2016-03-01

    Dry eye is the most common complication after laser in situ keratomileusis (LASIK). The major cause of LASIK-associated dry eye is corneal nerve damage. Early identification and treatment of post-operative dry eye are essential to prevent further ocular surface damage. This article reviews the recent studies of LASIK-associated dry eye, including clinical features, aetiology, risk factors, evaluations and treatment. The applications of novel technologies in LASIK-associated dry eye evaluation like anterior segment spectral-domain optical coherence tomography (SD-OCT) and corneal confocal microscopy are also introduced in this review. © 2016 Optometry Australia.

  11. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  12. In situ microscopy for online monitoring of cell concentration in Pichia pastoris cultivations.

    Science.gov (United States)

    Marquard, D; Enders, A; Roth, G; Rinas, U; Scheper, T; Lindner, P

    2016-09-20

    In situ Microscopy (ISM) is an optical non-invasive technique to monitor cells in bioprocesses in real-time. Pichia pastoris is one of the most promising protein expression systems. This yeast combines fast growth on simple media and important eukaryotic features such as glycosylation. In this work, the ISM technology was applied to Pichia pastoris cultivations for online monitoring of the cell concentration during cultivation. Different ISM settings were tested. The acquired images were analyzed with two image processing algorithms. In seven cultivations the cell concentration was monitored by the applied algorithms and offline samples were taken to determine optical density (OD) and dry cell mass (DCM). Cell concentrations up to 74g/L dry cell mass could be analyzed via the ISM. Depending on the algorithm and the ISM settings, an accuracy between 0.3 % and 12 % was achieved. The overall results show that for a robust measurement a combination of the two described algorithms is required. Copyright © 2016 Elsevier B.V. All rights reserved.

  13. Fabrication of ultrahigh density metal-cell-metal crossbar memory devices with only two cycles of lithography and dry-etch procedures

    KAUST Repository

    Zong, Baoyu; Goh, J. Y.; Guo, Zaibing; Luo, Ping; Wang, Chenchen; Qiu, Jinjun; Ho, Pin; Chen, Yunjie; Zhang, Mingsheng; Han, Guchang

    2013-01-01

    A novel approach to the fabrication of metal-cell-metal trilayer memory devices was demonstrated by using only two cycles of lithography and dry-etch procedures. The fabricated ultrahigh density crossbar devices can be scaled down to ≤70 nm in half

  14. In situ ion etching in a scanning electron microscope

    International Nuclear Information System (INIS)

    Dhariwal, R.S.; Fitch, R.K.

    1977-01-01

    A facility for ion etching in a scanning electron microscope is described which incorporates a new type of electrostatic ion source and viewing of the specimen is possible within about 30 sec after terminating the ion bombardment. Artefacts produced during etching have been studied and cone formation has been followed during its growth. The instrument has provided useful structural information on metals, alloys, and sinters. However, although insulating materials, such as plastics, glass and resins, have been successfully etched, interpretation of the resultant micrographs is more difficult. Ion etching of soft biological tissues, such as the rat duodenum was found to be of considerable interest. The observed structural features arise from the selective intake of the heavy fixation elements by different parts of the tissue. Hard biological materials, such as dental tissues and restorative materials, have also been studied and the prismatic structure of the enamel and the form and distribution of the dentinal tubules have been revealed. (author)

  15. Monitoring system in reactor dry well

    International Nuclear Information System (INIS)

    Horie, Akira; Suzuki, Shun-ichi; Yamamoto, Shinji; Kubokawa, Toshihiko; Takagi, Sakae; Yokosawa, Makoto.

    1991-01-01

    A failed portion of a dry well in a BWR type reactor is monitored and identified from a remote place by a simple structure. That is, laser beams are irradiated under scanning to a portion to be monitored. Then, the reflection light is monitored by a light receiving and monitoring system, and abnormalities such as defects or leaks of monitored portion are optically detected by a remote viewing equipment. With such a constitution, the portion to be monitored in poor operation circumstances of the reactor dry well can always be monitored efficiently from a remote place. The device of the present invention does not undergo the effect of radiation noises, etc. and it is excellent in heat resistance and radiation resistance. (I.S.)

  16. Comparison between in situ dry matter degradation and in vitro gas ...

    African Journals Online (AJOL)

    Dry matter (DM) degradation of Glycrrhiza glabra L, Arbutus andrachne, Juniperus communis, and Pistica lentiscus was determined using two different techniques: (i) the in vitro gas production and (ii) the in situ nylon bag degradability technique. Samples were incubated in situ and in vitro for 3, 6, 12, 24, 48, 72 and 96 h.

  17. Cradle-to-gate life cycle assessment of the dry etching step in the manufacturing of photovoltaic cells

    Directory of Open Access Journals (Sweden)

    Otto Andersen

    2014-11-01

    Full Text Available A new photovoltaic silicon crystalline solar cell dry chemical etching process (DCEP is developed. It is an alternative to the current State-of-the-Art (SoA wet chemical etching process (WCEP, associated with relatively large environmental loadings in the form of high water consumption and emissions of greenhouse gases with high Global Warming Potential (GWP. In order to compare the environmental impacts of DCEP to the corresponding impacts from WCEP, a comparative attributional life cycle assessment (LCA is conducted. From the LCA it can be concluded that the DCEP will lead to 86% reduction in water consumption compared to WCEP (acidic, and 89% reduction compared to WCEP (alkaline. The emissions of greenhouse gases, as expressed by the GWP100 indicator of the etching step, are also reduced with 63% and 20% respectively, when compared with current SoA acidic and alkaline WCEP. The toxicity impacts are also assessed to be lower for the DCEP compared to WCEP technologies, although the uncertainty is relatively high for the applied toxicity indicators. All in all, DCEP can reduce the CO2eq emissions of solar photovoltaic systems production by 5-10%.

  18. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    Science.gov (United States)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  19. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  20. A passive monitor for radon using electrochemical track etch detector

    International Nuclear Information System (INIS)

    Massera, G.E.; Hassib, G.M.; Piesch, E.

    1980-01-01

    A passive monitor for radon and its decay products based on the electrochemical etching (ECE) of α-particle tracks on Makrofol is described. The monitor has been constructed in such a way that radon and radon daughters attached to aerosols can easily pass through a chamber while dust, heavy particles and water droplets are collected outside. The decay products are accumulated on the bottom of the chamber and a Makrofol detector foil is fixed on the top to register alpha particles. The ECE condition was maintained to detect alpha particles coming mainly from radon daughters trapped on the bottom of the chamber. The response of the monitor was determined at different exposure conditions and compared with those of some active techniques such as working level meters. The merits of this system are low cost, good sensitivity, portability and reliable, unattended operation. (author)

  1. Low surface damage dry etched black silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym M.; Gaudig, Maria; Davidsen, Rasmus Schmidt

    2017-01-01

    Black silicon (bSi) is promising for integration into silicon solar cell fabrication flow due to its excellent light trapping and low reflectance, and a continuously improving passivation. However, intensive ion bombardment during the reactive ion etching used to fabricate bSi induces surface dam...

  2. Simple and robust near-infrared spectroscopic monitoring of indium-tin-oxide (ITO) etching solution using Teflon tubing

    International Nuclear Information System (INIS)

    Nah, Sanghee; Ryu, Kyungtag; Cho, Soohwa; Chung, Hoeil; Namkung, Hankyu

    2006-01-01

    The ability to monitor etching solutions using a spectroscopy directly through existing Teflon lines in electronic industries is highly beneficial and offers many advantages. A monitoring method was developed using near-infrared (NIR) measurements with Teflon tubing as a sample container for the quantification of components in the indium-tin-oxide (ITO) etching solution composed of hydrochloric acid (HCl), acetic acid (CH 3 COOH) and water. Measurements were reproducible and it was possible to use the same calibration model for different Teflon tubings. Even though partial least squares (PLS) calibration performance was slightly degraded for Teflon cells when compared to quartz cells of the similar pathlength, the calibration data correlated well with reference data. The robustness of Teflon-based NIR measurement was evaluated by predicting the spectra of 10 independent samples that were collected using five different Teflon tubes. Although, two Teflon tubes were visually less transparent than the other three, there was no significant variation in the standard error of predictions (SEPs) among the five Teflon tubes. Calibration accuracy was successfully maintained and highly repeatable prediction results were achieved. This study verifies that a Teflon-based NIR measurement is reliable for the monitoring of etching solutions and it can be successfully integrated into on-line process monitoring

  3. Efficacy monitoring of in situ fuel bioremediation

    International Nuclear Information System (INIS)

    Mueller, J.; Borchert, S.; Heard, C.

    1996-01-01

    The wide-scale, multiple-purpose use of fossil fuels throughout the industrialized world has resulted in the inadvertent contamination of myriad environments. Given the scope and magnitude of these environmental contamination problems, bioremediation often represents the only practical and economically feasible solution. This is especially true when depth of contamination, magnitude of the problem, and nature of contaminated material preclude other remedial actions, short of the no-response alternative. From the perspective, the effective, safe and scientifically valid use of in situ bioremediation technologies requires cost-efficient and effective implementation strategies in combination with unequivocal approaches for monitoring efficacy of performance. Accordingly, with support from the SERDP program, the authors are field-testing advanced in situ bioremediation strategies and new approaches in efficacy monitoring that employ techniques instable carbon and nitrogen isotope biogeochemistry. One field demonstration has been initiated at the NEX site in Port Hueneme, CA (US Navy's National Test Site). The objectives are: (1) to use stable isotopes as a biogeochemical monitoring tool for in situ bioremediation of refined petroleum (i.e., BTEX), and (2) to use vertical groundwater circulation technology to effect in situ chemical containment and enhanced in situ bioremediation

  4. Open circuit potential monitored digital photocorrosion of GaAs/AlGaAs quantum well microstructures

    Science.gov (United States)

    Aithal, Srivatsa; Dubowski, Jan J.

    2018-04-01

    Nanostructuring of semiconductor wafers with an atomic level depth resolution is a challenging task, primarily due to the limited availability of instruments for in situ monitoring of such processes. Conventional digital etching relies on calibration procedures and cumbersome diagnostics applied between or at the end of etching cycles. We have developed a photoluminescence (PL) based process for monitoring in situ digital photocorrosion (DPC) of GaAs/AlGaAs microstructures at rates below 0.2 nm per cycle. In this communication, we demonstrate that DPC of GaAs/AlGaAs microstructures could be monitored with open circuit potential (OCP) measured between the photocorroding surface of a microstructure and an Ag/AgCl reference electrode installed in the sample chamber. The excellent correlation between the position of both PL and OCP maxima indicates that the DPC process could be monitored in situ for materials that do not necessarily exhibit measurable PL emission.

  5. Large-aperture focusing of x rays with micropore optics using dry etching of silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Moriyama, Teppei; Ogawa, Tomohiro; Kakiuchi, Takuya; Mitsuishi, Ikuyuki; Mitsuda, Kazuhisa; Aoki, Tatsuhiko; Morishita, Kohei; Nakajima, Kazuo

    2012-03-01

    Large-aperture focusing of Al K(α) 1.49 keV x-ray photons using micropore optics made from a dry-etched 4 in. (100 mm) silicon wafer is demonstrated. Sidewalls of the micropores are smoothed with high-temperature annealing to work as x-ray mirrors. The wafer is bent to a spherical shape to collect parallel x rays into a focus. Our result supports that this new type of optics allows for the manufacturing of ultralight-weight and high-performance x-ray imaging optics with large apertures at low cost. © 2012 Optical Society of America

  6. Personal neutron monitoring using TLD albedo combined with etched tracks detector

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, N.; Momose, T. [Japan Nuclear Cycle Development Institute, Ibarakiken (Japan)

    2002-07-01

    The albedo dosimetry has been carried out in personal neutron monitoring in the MOX fuel plant of JNC Tokai Works, however, it has shortcomings mainly due to the inherently poor energy response. This paper describes our efforts to overcome these difficulties in practical use of albedo dosemeters. The following four subjects are presented: (1) the neutron energy response functions of albedo TLD obtained from the mono-energetic neutron irradiation experiments and the Monte-Carlo calculations, (2) the location- dependent correction factors calculated from the response functions and neutron energy spectra measured in the workplaces, (3) the results of the international personal neutron dosimetry intercomparison program, and (4) the operational comparison program of TLD albedo and etched tracks detector worn by workers engaged in the fabrication process of the MOX fuel plant. Finally, the characteristics of the combination neutron dosemeter using TLD albedo and solid state etched track detector are summarized.

  7. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  8. Dry Eye Post-Laser-Assisted In Situ Keratomileusis: Major Review and Latest Updates

    Science.gov (United States)

    Spierer, Oriel

    2018-01-01

    Dry eye is one of the most common complications occurring after laser-assisted in situ keratomileusis (LASIK), with virtually all patients experiencing some degree of postoperative dry eye symptoms. Enhanced understanding of the pathophysiology and mechanism of dry eye development in addition to preoperative screening of patients who are prone to dry eye is essential for better patient satisfaction and for improving short-term visual outcome postoperatively. This article reviews the latest studies published on LASIK-associated dry eye, including epidemiology, pathophysiology, risk factors, preoperative assessment, and management. PMID:29619255

  9. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  10. High-density plasma-induced etch damage of wafer-bonded AlGaInP/mirror/Si light-emitting diodes

    CERN Document Server

    Wuu, D S; Huang, S H; Chung, C R

    2002-01-01

    Dry etch of wafer-bonded AlGaInP/mirror/Si light-emitting diodes (LEDs) with planar electrodes was performed by high-density plasma using an inductively coupled plasma (ICP) etcher. The etching characteristics were investigated by varying process parameters such as Cl sub 2 /N sub 2 gas combination, chamber pressure, ICP power and substrate-bias power. The corresponding plasma properties (ion flux and dc bias), in situ measured by a Langmuir probe, show a strong relationship to the etch results. With a moderate etch rate of 1.3 mu m/min, a near vertical and smooth sidewall profile can be achieved under a Cl sub 2 /(Cl sub 2 +N sub 2) gas mixture of 0.5, ICP power of 800 W, substrate-bias power of 100 W, and chamber pressure of 0.67 Pa. Quantitative analysis of the plasma-induced damage was attempted to provide a means to study the mechanism of leakage current and brightness with various dc bias voltages (-110 to -328 V) and plasma duration (3-5 min) on the wafer-bonded LEDs. It is found that the reverse leaka...

  11. Monitoring of PVD, PECVD and etching plasmas using Fourier components of RF voltage

    International Nuclear Information System (INIS)

    Dvorak, P; Vasina, P; Bursikova, V; Zemlicka, R

    2010-01-01

    Fourier components of discharge voltages were measured in two different reactive plasmas and their response to the creation or destruction of a thin film was studied. In reactive magnetron sputtering the effect of transition from the metallic to the compound mode accompanied by the creation of a compound film on the sputtered target was observed. Further, deposition and etching of a diamond-like carbon film and their effects on amplitudes of Fourier components of the discharge voltage were studied. It was shown that the Fourier components, including higher harmonic frequencies, sensitively react to the presence of a film. Therefore, they can be used as a powerful tool for the monitoring of deposition and etching processes. It was demonstrated that the behaviour of the Fourier components was caused in both experiments by the presence of the film. It was not caused by changes in the chemical composition of the gas phase induced by material etched from the film or decrease in gettering rate. Further, the observed behaviour was not affected by the film conductivity. The behaviour of the Fourier components can be explained by the difference between the coefficients of secondary electron emission of the film and its underlying material.

  12. Normal-incidence spectroscopic ellipsometry for critical dimension monitoring

    International Nuclear Information System (INIS)

    Huang, Hsu-Ting; Kong, Wei; Terry, Fred Lewis

    2001-01-01

    In this letter, we show that normal-incidence spectroscopic ellipsometry can be used for high-accuracy topography measurements on surface relief gratings. We present both experimental and theoretical results which show that spectroscopic ellipsometry or reflectance-difference spectroscopy at near-normal incidence coupled with vector diffraction theory for data analysis is capable of high-accuracy critical dimension (CD), feature height, and sidewall angle measurements in the extreme submicron regime. Quantitative comparisons of optical and cross-sectional scanning electron microscopy (SEM) topography measurements from a number of 350 nm line/space reactive-ion-etched Si gratings demonstrate the strong potential for in situ etching monitoring. This technique can be used for both ex situ and in situ applications and has the potential to replace the use of CD-SEM measurements in some applications. [copyright] 2001 American Institute of Physics

  13. Electronic transport in helium-ion-beam etched encapsulated graphene nanoribbons

    NARCIS (Netherlands)

    Nanda, G.; Hlawacek, Gregor; Goswami, S.; Watanabe, Kenji; Taniguchi, Takashi; Alkemade, P.F.A.

    2017-01-01

    We report the etching of and electronic transport in nanoribbons of graphene sandwiched between atomically flat hexagonal boron nitride (h-BN). The etching of ribbons of varying width was achieved with a focused beam of 30 keV He+ ions. Using in-situ electrical measurements, we

  14. Monitoring of electrokinetic in-situ-decontamination

    Energy Technology Data Exchange (ETDEWEB)

    Goldmann, T. [INTUS Inst. fuer Technologie und Umweltschutz e.V., Berlin (Germany)

    2001-07-01

    The need for a monitoring system for in-situ soil decontamination is two-fold: Firstly, to ensure that remediation is attained and secondly to minimize costs and treatment time. A further reason is the potential risk of unexpected mobilization or chemical generation of hazardous compounds which could result in an extension of the contamination into other regions of soil, the ground water or the atmosphere. Electrokinetic in-situ decontamination is based on transport processes in the ground that proceed with relatively low velocity. This results in treatment times of several months. Since the transport processes can be described by a mathematical model, monitoring should always be combined with qualified mathematical processing. This makes it possible to estimate treatment time and costs to be expected. The challenge of in-situ monitoring is to identify relevant parameters describing the state of the ground. These parameters must be independent from influences like weather but they must be sensitive to changes of soil characteristics. In the case of electrokinetic soil remediation, probes and sensors must be resistant to influences of electric fields. The function of sensors or measuring systems can be disturbed or even damaged or destroyed by electric fields (for example by electro-corrosion). (orig.)

  15. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  16. Influence of warm air-drying on enamel bond strength and surface free-energy of self-etch adhesives.

    Science.gov (United States)

    Shiratsuchi, Koji; Tsujimoto, Akimasa; Takamizawa, Toshiki; Furuichi, Tetsuya; Tsubota, Keishi; Kurokawa, Hiroyasu; Miyazaki, Masashi

    2013-08-01

    We examined the effect of warm air-drying on the enamel bond strengths and the surface free-energy of three single-step self-etch adhesives. Bovine mandibular incisors were mounted in self-curing resin and then wet ground with #600 silicon carbide (SiC) paper. The adhesives were applied according to the instructions of the respective manufacturers and then dried in a stream of normal (23°C) or warm (37°C) air for 5, 10, and 20 s. After visible-light irradiation of the adhesives, resin composites were condensed into a mold and polymerized. Ten samples per test group were stored in distilled water at 37°C for 24 h and then the bond strengths were measured. The surface free-energies were determined by measuring the contact angles of three test liquids placed on the cured adhesives. The enamel bond strengths varied according to the air-drying time and ranged from 15.8 to 19.1 MPa. The trends for the bond strengths were different among the materials. The value of the γS⁺ component increased slightly when drying was performed with a stream of warm air, whereas that of the γS⁻ component decreased significantly. These data suggest that warm air-drying is essential to obtain adequate enamel bond strengths, although increasing the drying time did not significantly influence the bond strength. © 2013 Eur J Oral Sci.

  17. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  18. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  19. Comparison of in situ dry matter degradation parameters with in vitro ...

    African Journals Online (AJOL)

    Adem Kamalak

    The rate and extent of fermentation of dry matter (DM) in the rumen are very important determinants ... kinetics of forages obtained by the in situ nylon bag technique and the in vitro gas production technique. (Blummel & Ørskov ..... not find any correlation between these parameters in barley and wheat straw. Beuvinik et al.

  20. A novel deep reactive ion etched (DRIE) glass micro-model for two-phase flow experiments.

    Science.gov (United States)

    Karadimitriou, N K; Joekar-Niasar, V; Hassanizadeh, S M; Kleingeld, P J; Pyrak-Nolte, L J

    2012-09-21

    In the last few decades, micro-models have become popular experimental tools for two-phase flow studies. In this work, the design and fabrication of an innovative, elongated, glass-etched micro-model with dimensions of 5 × 35 mm(2) and constant depth of 43 microns is described. This is the first time that a micro-model with such depth and dimensions has been etched in glass by using a dry etching technique. The micro-model was visualized by a novel setup that allowed us to monitor and record the distribution of fluids throughout the length of the micro-model continuously. Quasi-static drainage experiments were conducted in order to obtain equilibrium data points that relate capillary pressure to phase saturation. By measuring the flow rate of water through the flow network for known pressure gradients, the intrinsic permeability of the micro-model's flow network was also calculated. The experimental results were used to calibrate a pore-network model and test its validity. Finally, we show that glass-etched micro-models can be valuable tools in single and/or multi-phase flow studies and their applications.

  1. Dry Etching of Copper Phthalocyanine Thin Films: Effects on Morphology and Surface Stoichiometry

    Directory of Open Access Journals (Sweden)

    Michael J. Brett

    2012-08-01

    Full Text Available We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  2. Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Fukuda, Seiichi; Ohtake, Hiroto; Samukawa, Seiji

    2010-01-01

    UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface is not clearly understood because of the difficulty in monitoring photons during plasma processing. For this purpose, we have previously proposed an on-wafer monitoring technique for UV photons. For this study, using the combination of this on-wafer monitoring technique and a neural network, we established a relationship between the data obtained from the on-wafer monitoring technique and UV spectra. Also, we obtained absolute intensities of UV radiation by calibrating arbitrary units of UV intensity with a 126 nm excimer lamp. As a result, UV spectra and their absolute intensities could be predicted with the on-wafer monitoring. Furthermore, we developed a prediction system with the on-wafer monitoring technique to simulate UV-radiation damage in dielectric films during plasma etching. UV-induced damage in SiOC films was predicted in this study. Our prediction results of damage in SiOC films shows that UV spectra and their absolute intensities are the key cause of damage in SiOC films. In addition, UV-radiation damage in SiOC films strongly depends on the geometry of the etching structure. The on-wafer monitoring technique should be useful in understanding the interaction of UV radiation with surface and in optimizing plasma processing by controlling UV radiation.

  3. Hydroxyapatite induces spontaneous polymerization of model self-etch dental adhesives.

    Science.gov (United States)

    Zhang, Ying; Wu, Ningjing; Bai, Xinyan; Xu, Changqi; Liu, Yi; Wang, Yong

    2013-10-01

    The objective of this study is to report for the first time the spontaneous polymerization phenomenon of self-etch dental adhesives induced by hydroxylapatite (HAp). Model self-etch adhesives were prepared by using a monomer mixture of bis[2-(methacryloyloxy)ethyl] phosphate (2MP) with 2-hydroxyethyl methacrylate (HEMA). The initiator system consisted of camphorquinone (CQ, 0.022 mmol/g) and ethyl 4-dimethylaminobenzoate (4E, 0.022-0.088 mmol/g). HAp (2-8 wt.%) was added to the neat model adhesive. In a dark environment, the polymerization was monitored in-situ using ATR/FT-IR, and the mechanical properties of the polymerized adhesives were evaluated using nanoindentation technique. Results indicated that spontaneous polymerization was not observed in the absence of HAp. However, as different amounts of HAp were incorporated into the adhesives, spontaneous polymerization was induced. Higher HAp content led to higher degree of conversion (DC), higher rate of polymerization (RP) and shorter induction period (IP). In addition, higher 4E content also elevated DC and RP and reduced IP of the adhesives. Nanoindentation result suggested that the Young's modulus of the polymerized adhesives showed similar dependence on HAp and 4E contents. In summary, interaction with HAp could induce spontaneous polymerization of the model self-etch adhesives. This result provides important information for understanding the initiation mechanism of the self-etch adhesives, and may be of clinical significance to strengthen the adhesive/dentin interface based on the finding. Copyright © 2013 Elsevier B.V. All rights reserved.

  4. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  5. Dry etching of LaNiO3 thin films using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il; Lee, Cheol-In; Kim, Tae-Hyung

    2006-01-01

    The etching characteristics of LaNiO 3 (LNO) thin films and SiO 2 in Cl 2 /Ar plasma were investigated. LNO etch rates decreased with increasing Cl 2 fraction in Ar plasma and the working pressure. Langmuir probe measurement showed a noticeable influence of Cl 2 /Ar mixing ratio on electron temperature, electron density, and ion current density. The modeling of volume kinetics for charged particles and OES measurements for neutral atoms indicated monotonous changes of both densities and fluxes of active species such as chlorine atoms and positive ions. The LNO etch rate behavior may be explained by physical mechanisms

  6. Monitoring of degradation of porous silicon photonic crystals using digital photography

    Science.gov (United States)

    2014-01-01

    We report the monitoring of porous silicon (pSi) degradation in aqueous solutions using a consumer-grade digital camera. To facilitate optical monitoring, the pSi samples were prepared as one-dimensional photonic crystals (rugate filters) by electrochemical etching of highly doped p-type Si wafers using a periodic etch waveform. Two pSi formulations, representing chemistries relevant for self-reporting drug delivery applications, were tested: freshly etched pSi (fpSi) and fpSi coated with the biodegradable polymer chitosan (pSi-ch). Accelerated degradation of the samples in an ethanol-containing pH 10 aqueous basic buffer was monitored in situ by digital imaging with a consumer-grade digital camera with simultaneous optical reflectance spectrophotometric point measurements. As the nanostructured porous silicon matrix dissolved, a hypsochromic shift in the wavelength of the rugate reflectance peak resulted in visible color changes from red to green. While the H coordinate in the hue, saturation, and value (HSV) color space calculated using the as-acquired photographs was a good monitor of degradation at short times (t  pSi-ch. PMID:25242902

  7. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  8. Vapor-phase etching of InP using anhydrous HCl and PH/sub 3/ gas

    International Nuclear Information System (INIS)

    Pak, K.; Koide, Y.; Imai, K.; Yoshida, A.; Nakamura, T.; Yasuda, Y.; Nishinaga, T.

    1986-01-01

    In situ etching of the substrate surface for vapor-phase epitaxy is a useful technique for obtaining a smooth and damage-free surface prior to the growth. Previous work showed that the incorporation of in situ etching of InP substrate with anhydrous HCl gas resulted in a significant improvement in the surface morphologies for MOVPE-grown InGaAs/InP and InP epitaxial layers. However, the experiment on the HCl etching of the InP substrate for a wide temperature range has not been performed as yet. In this note, the authors describe the effect of the substrate temperature on the etching morphology of InP substrate by using the anhydrous HCl and PH/sub 3/ gases. In the experiment, they used a standard MOVPE horizontal system. A quartz reactor tube in a 60 mm ID, 60 cm long, was employed

  9. In vivo effect of a self-etching primer on dentin.

    Science.gov (United States)

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  10. Regrowth of InP by MOVPE on dry-etched heterostructures of InP-GaInAsP

    Energy Technology Data Exchange (ETDEWEB)

    Catana, A. (IBM Research Div., Zurich Research Lab., Rueschlikon (Switzerland)); Broom, R.F. (IBM Research Div., Zurich Research Lab., Rueschlikon (Switzerland)); Germann, R. (IBM Research Div., Zurich Research Lab., Rueschlikon (Switzerland)); Roentgen, P. (IBM Research Div., Zurich Research Lab., Rueschlikon (Switzerland))

    1993-04-01

    The MOVPE growth behavior of InP on masked and dry-etched ridges in InP/InGaAsP heterostructures grown on (001)-oriented InP substrates has been studied by scanning electron and transmission electron microscopy. It is found that the orientation of the ridges is critical for obtaining good planarization. For ridges oriented along the 100 direction, the growth is uniform and defect-free, leasing to a plane surface. In the orthogonal 110 direction 60 twins are nucleated adjacent to the walls of the ridge. The resultant high density of (111)/(001) facets enhances the growth rate in these regions, leading to projecting walls at the sides of the ridge. (orig.)

  11. Dry Cask Storage Inspection and Monitoring. Interim Report.

    Energy Technology Data Exchange (ETDEWEB)

    Bakhtiari, Susan [Argonne National Lab. (ANL), Argonne, IL (United States); Elmer, Thomas W. [Argonne National Lab. (ANL), Argonne, IL (United States); Koehl, Eugene R. [Argonne National Lab. (ANL), Argonne, IL (United States); Wang, Ke [Argonne National Lab. (ANL), Argonne, IL (United States); Raptis, Apostolos C. [Argonne National Lab. (ANL), Argonne, IL (United States); Kunerth, Dennis C. [Idaho National Lab. (INL), Idaho Falls, ID (United States); Birk, Sandra M. [Idaho National Lab. (INL), Idaho Falls, ID (United States)

    2014-03-04

    Recently, the U.S. Nuclear Regulatory Commission (NRC) issued the guidance on the aging management of dry storage facilities that indicates the necessity to monitor the conditions of dry cask storage systems (DCSSs) over extended periods of time.1 Part of the justification of the aging management plans is the requirement for inspection and monitoring to verify whether continued monitoring, inspection or mitigation are necessary. To meet this challenge Argonne National Laboratory (ANL) in collaboration with Idaho National Laboratory (INL) is conducting scoping studies on current and emerging nondestructive evaluation/examination (NDE) and online monitoring (OLM) technologies for DCSS integrity assessments. The scope of work plan includes identification and verification of technologies for long-term online monitoring of DCSSs’ crucial physical parameters such as temperature, pressure, leakage and structural integrity in general. Modifications have been made to the current technologies to accommodate field inspections and monitoring. A summary of the scoping studies and experimental efforts conducted to date as well as plans for future activities is provided below.

  12. Dry technologies for the production of crystalline silicon solar cells; Trockentechnologien zur Herstellung von kristallinen Siliziumsolarzellen

    Energy Technology Data Exchange (ETDEWEB)

    Rentsch, J.

    2005-04-15

    Within this work, dynamic plasma etching technologies for the industrial production of crystalline silicon solar cells has been investigated. The research activity can be separated into three major steps: the characterisation of the etching behaviour of a newly developed dynamic plasma etching system, the development and analysis of dry etching processes for solar cell production and the determination of the ecological and economical impacts of such a new technology compared to standard up to date technologies. The characterisation of the etching behaviour has been carried out for two different etching sources, a low frequency (110 kHz) and a microwave (2.45 GHz) plasma source. The parameter of interest was the delivered ion energy of each source mainly determining the reachable etch rate. The etch rate turned out to be the main most critical parameter concerning the reachable wafer throughput per hour. Other points of interest in characterisation of the etching system were the material of the transport carriers, the silicon load as well as the process temperatures. The development of different dry etching processes targets the design of a complete dry production process for crystalline silicon solar cells. Therefore etching processes for saw damage removal, texturing, edge isolation as well as etching of dielectric layers have been developed and optimised. The major benefits of a complete dry production process would be the reduction of handling steps in between process steps and therefore offers a large cost reduction potential. For multicrystalline silicon solar cells a cost reduction potential of 5 % compared to a standard wet chemical based reference process could be realized only including the dry etching of a phosphorus silicate glass layer after diffusion. Further reduction potential offers the implementation of a dry texturing process due to a significant efficiency increase. (orig.)

  13. Dry etching of ferroelectric Bi4-xEuxTi3O12 (BET) thin films

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    Bi 4-x Eu x Ti 3 O 12 (BET) thin films were etched by using a inductively coupled Cl 2 /Ar plasma. We obtained a maximum etch rate of 69 nm/min at a gas mixing ratio of Cl 2 (20 %)/Ar (80 %). This result suggests that an effective method for BET etching is chemically assisted physical etching. With increasing coil RF power, the plasma density increases so that the increased reactive free radicals and ions enhance the etch rates of BET, Pt, and SiO 2 . As the dc-bias voltage is increased, the increased ion energy leads to an increased etch rate of BET films. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O, and the Ti-O peaks change with increasing Cl 2 concentration. For a pure Ar plasma, the peak associated with the oxygen-metal (O-M: TiO 2 , Bi 2 O 3 , Eu 2 O 3 ) bond seems to disappear while the pure oxygen peak does not appear. After the BET thin films is etched by using a Cl 2 /Ar plasma, the peak associated with the O-M bond increases slowly, but more quickly than the peak associated with pure oxygen atoms, due to a decrease in the Ar-ion bombardment. These results seem to indicate that Bi and Eu react little with Cl atoms and are removed predominantly by argon-ion bombardment. Also, Ti reacts little with Cl radicals and is mainly removed by chemically assisted physical etching.

  14. In situ monitoring of the electrochemical dissolution of tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Krebsz, Melinda [Christian Doppler Laboratory for Combinatorial Oxide Chemistry at ICTAS, Johannes Kepler University Linz (Austria); Kollender, Jan Philipp [Institute for Chemical Technology of Inorganic Materials (ICTAS), Johannes Kepler University Linz (Austria); Hassel, Achim Walter [Christian Doppler Laboratory for Combinatorial Oxide Chemistry at ICTAS, Johannes Kepler University Linz (Austria); Institute for Chemical Technology of Inorganic Materials (ICTAS), Johannes Kepler University Linz (Austria)

    2017-09-15

    In the present work, which is aimed to monitor in situ the electrochemical dissolution of tungsten by using a Flow-Type Scanning Droplet Cell Microscope (FT-SDCM) and Inductively Coupled Plasma Mass Spectrometry (ICP-MS), novel results are reported. The anodic oxide growth and its dissolution on the surface of W have been monitored in situ. The results of this current study show the importance of coupling electrochemical experiments to ICP-MS. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Optimized autonomous space in-situ sensor web for volcano monitoring

    Science.gov (United States)

    Song, W.-Z.; Shirazi, B.; Huang, R.; Xu, M.; Peterson, N.; LaHusen, R.; Pallister, J.; Dzurisin, D.; Moran, S.; Lisowski, M.; Kedar, S.; Chien, S.; Webb, F.; Kiely, A.; Doubleday, J.; Davies, A.; Pieri, D.

    2010-01-01

    In response to NASA's announced requirement for Earth hazard monitoring sensor-web technology, a multidisciplinary team involving sensor-network experts (Washington State University), space scientists (JPL), and Earth scientists (USGS Cascade Volcano Observatory (CVO)), have developed a prototype of dynamic and scalable hazard monitoring sensor-web and applied it to volcano monitoring. The combined Optimized Autonomous Space In-situ Sensor-web (OASIS) has two-way communication capability between ground and space assets, uses both space and ground data for optimal allocation of limited bandwidth resources on the ground, and uses smart management of competing demands for limited space assets. It also enables scalability and seamless infusion of future space and in-situ assets into the sensor-web. The space and in-situ control components of the system are integrated such that each element is capable of autonomously tasking the other. The ground in-situ was deployed into the craters and around the flanks of Mount St. Helens in July 2009, and linked to the command and control of the Earth Observing One (EO-1) satellite. ?? 2010 IEEE.

  16. Study of Gallium Arsenide Etching in a DC Discharge in Low-Pressure HCl-Containing Mixtures

    Science.gov (United States)

    Dunaev, A. V.; Murin, D. B.

    2018-04-01

    Halogen-containing plasmas are often used to form topological structures on semiconductor surfaces; therefore, spectral monitoring of the etching process is an important diagnostic tool in modern electronics. In this work, the emission spectra of gas discharges in mixtures of hydrogen chloride with argon, chlorine, and hydrogen in the presence of a semiconducting gallium arsenide plate were studied. Spectral lines and bands of the GaAs etching products appropriate for monitoring the etching rate were determined. It is shown that the emission intensity of the etching products is proportional to the GaAs etching rate in plasmas of HCl mixtures with Ar and Cl2, which makes it possible to monitor the etching process in real time by means of spectral methods.

  17. Multiplexed FBG and etched fiber sensors for process and health monitoring of 2-&3-D RTM components

    OpenAIRE

    Keulen, Casey J.; Yıldız, Mehmet; Yildiz, Mehmet; Suleman, Afzal

    2011-01-01

    This paper presents research being conducted on the use of a combination of fiber optic sensors for process and health monitoring of resin transfer molded (RTM) composite structures. A laboratory scale RTM apparatus has been designed and built with the capability of visually monitoring the resin filling process and embedding fiber optic sensors into the composite. Fiber Bragg gratings (FBG) and etched fiber sensors (EFS) have been multiplexed and embedded in quasi-2-D panels and 3-D hollow se...

  18. Dry release of all-polymer structures

    DEFF Research Database (Denmark)

    Haefliger, D.; Nordstrøm, M.; Rasmussen, Peter Andreas

    2005-01-01

    We present a simple dry release technique which uses a thin fluorocarbon film for efficient removal of plastic microdevices from a mould or a handling substrate by reducing the adhesion between the two. This fluorocarbon film is deposited on the substrate in an advanced Si dry etch device utilisi...... 100% were demonstrated on wafer-scale. The fluorocarbon film showed excellent compatibility with metal etch processes and polymer baking and curing steps. It further facilitates demoulding of polydimethylsiloxane stamps suitable for soft-lithography....

  19. Monitoring of dry sliding wear using fractal analysis

    NARCIS (Netherlands)

    Zhang, Jindang; Regtien, Paulus P.L.; Korsten, Maarten J.

    2005-01-01

    Reliable online monitoring of wear remains a challenge to tribology research as well as to the industry. This paper presents a new method for monitoring of dry sliding wear using digital imaging and fractal analysis. Fractal values, namely fractal dimension and intercept, computed from the power

  20. Shear Bond Strength of Saliva Contaminated and Re-etched All-in-One Adhesive to Enamel

    Directory of Open Access Journals (Sweden)

    M. Khoroushi

    2008-12-01

    Full Text Available Objective: The aim of this study was to investigate the effect of phosphoric acid re-etching of an enamel surface treated via a one-bottle adhesive system on shear bond strength between resin composite and the enamelsurface in different stages of adhesive application.Materials and Methods: Extracted intact premolars (n=84 were divided into sevengroups (n=12. In the control group 1, the adhesive i-Bond was used according to the manufacturer's instructions, with nocontamination. In groups 2 to 4, the conditioned and saliva, contaminated enamel was blot dried only, rinsed,and blot dried, rinsed blot dried and re-etched, respectively. In groups 5, 6and 7 cured adhesive was contaminated with saliva and then rinsed and blot-dried, blot dried only and rinsed, blot-dried and re-etched respectively. In groups 3, 4, 6 and 7 the adhesive was reapplied. Afterward, Z100 compos-ite cylinders were bonded to the enamel surfaces. The samples were thermocycled (5°C and 55°C, 30 s, dwelling time: 10 s, 500 cycles. Finally, the samples were sheared using Dartec testing machine and shear bond strength data were subjected to one-way ANOVA analysis and Tukey's HSD test.Results: There were statistically significant differences among groups 1 and 5-7. The samples in groups 1 and 4 demonstrated higher bond strengths than those in the other groups.Conclusion: Using phosphoric acid etching may be effective, only where contamination occurs prior to curing of the adhesive. After curing of the adhesive, none of the methods in this study would be preferred.

  1. GaN MOSFET with Boron Trichloride-Based Dry Recess Process

    International Nuclear Information System (INIS)

    Jiang, Y; Wang, Q P; Tamai, K; Ao, J P; Ohno, Y; Miyashita, T; Motoyama, S; Wang, D J

    2013-01-01

    The dry recessed-gate GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure using boron trichloride (BCl 3 ) as etching gas were fabricated and characterized. Etching with different etching power was conducted. Devices with silicon tetrachloride (SiCl 4 ) etching gas were also prepared for comparison. Field-effect mobility and interface state density were extracted from current-voltage (I-V) characteristics. GaN MOSFETs on AlGaN/GaN heterostructure with BCl 3 based dry recess achieved a high maximum electron mobility of 141.5 cm 2 V −1 s −1 and a low interface state density.

  2. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  3. Comparison of different PADC materials and etching conditions for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Assenmacher, F.; Boschung, M.; Hohmann, E.; Mayer, S.

    2016-01-01

    Etched-track polyallyl diglycol carbonate (PADC) dosemeters have been in use at the Paul Scherrer Institute since 1998 in neutron dosimetry for individual monitoring. In the last years, the availability of PADC materials from different manufacturers has grown, and different etching conditions were proposed, with the intention to improve the quality and overall performance of PADC in individual neutron monitoring. The goal of the present study was to compare the performance of different PADC materials and to investigate the influence of different etching conditions on sensitivity to fast neutrons and lower detection limit. The comparison covers six different PADC materials and eight different etching conditions. (authors)

  4. Studies of the confinement at laser-induced backside dry etching using infrared nanosecond laser pulses

    Science.gov (United States)

    Ehrhardt, M.; Lorenz, P.; Bayer, L.; Han, B.; Zimmer, K.

    2018-01-01

    In the present study, laser-induced backside etching of SiO2 at an interface to an organic material using laser pulses with a wavelength of λ = 1064 nm and a pulse length of τ = 7 ns have been performed in order to investigate selected processes involved in etching of the SiO2 at confined ablation conditions with wavelengths well below the band gap of SiO2. Therefore, in between the utilized metallic absorber layer and the SiO2 surface, a polymer interlayer with a thickness between 20 nm to 150 nm was placed with the aim, to separate the laser absorption process in the metallic absorber layer from the etching process of the SiO2 surface due to the provided organic interlayer. The influence of the confinement of the backside etching process was analyzed by the deposition of different thick polymer layers on top of the metallic absorber layer. In particular, it was found that the SiO2 etching depth decreases with higher polymer interlayer thickness. However, the etching depth increases with increasing the confinement layer thickness. SEM images of the laser processed areas show that the absorber and confinement layers are ruptured from the sample surface without showing melting, and suggesting a lift off process of these films. The driving force for the layers lift off and the etching of the SiO2 is probably the generated laser-induce plasma from the confined ablation that provides the pressure for lift off, the high temperatures and reactive organic species that can chemically attack the SiO2 surface at these conditions.

  5. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure

    Science.gov (United States)

    Liu, Y.; Bai, X.; Liu, Y.W.; Wang, Y.

    2015-01-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. PMID:26635279

  6. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure.

    Science.gov (United States)

    Liu, Y; Bai, X; Liu, Y W; Wang, Y

    2016-03-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. © International & American Associations for Dental Research 2015.

  7. Development of in-situ monitoring system

    International Nuclear Information System (INIS)

    Lee, Bong Soo; Cho, Dong Hyun; Yoo, Wook Jae; Heo, Ji Yeon

    2010-03-01

    Development of in-situ monitoring system using an optical fiber to measure the real time temperature variation of subsurface water for the evaluation of flow characteristics. We describe the feasibility of developing a fiber-optic temperature sensor using a thermochromic material. A sensor-tip is fabricated by mixing of a thermochromic material powder. The relationships between the temperatures and the output voltages of detectors are determined to measure the temperature of water. It is expected that the fiber-optic temperature monitoring sensor using thermochromic material can be used to measure the real time temperature variation of subsurface water

  8. Effect of a Cooling Step Treatment on a High-Voltage GaN LED During ICP Dry Etching

    Science.gov (United States)

    Lin, Yen-Sheng; Hsiao, Sheng-Yu; Tseng, Chun-Lung; Shen, Ching-Hsing; Chiang, Jung-Sheng

    2017-02-01

    In this study, a lower dislocation density for a GaN surface and a reduced current path are observed at the interface of a SiO2 isolation sidewall, using high-resolution transmission electron microscopy. This is grown using a 3-min cooling step treatment during inductivity coupled plasma dry etching. The lower forward voltage is measured, the leakage current decreases from 53nA to 32nA, and the maximum output power increases from 354.8 W to 357.2 W for an input current of 30 mA. The microstructure and the optoelectronic properties of high-voltage light-emitting-diodes is proven to be affected by the cooling step treatment, which allows enough time to release the thermal energy of the SiO2 isolation well.

  9. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  10. Suitability of N2 plasma for the RIE etching of thin Ag layers

    International Nuclear Information System (INIS)

    Hrkut, P.; Matay, L.; Kostic, I.; Bencurova, A.; Konecnikova, A.; Nemec, P.; Andok, R.; Hacsik, S.

    2013-01-01

    Silver layers of 48 nm thickness were evaporated using EB PVD on Si wafers. The masking resist layers were spin-coated and patterned by the EBDW lithography on the ZBA 21 (20 keV) (Carl-Zeiss, Jena; currently Vistec, Ltd.) variable shaped e-beam pattern generator in II SAS. In order to check the etching process in N 2 , we covered a part of the samples containing Ag with a layer of various resists. The samples were dried on a hot-plate and RIE etched in SCM 600 (1 Pa; 20 sccm; 500 W). After 8 minutes the non-masked Ag layer was completely etched away, what testified suitability of N 2 as an etching gas. Also the etch time of 4 minutes showed to be sufficient for etching through the Ag layer. In order to optimize the etching process it was necessary to estimate the etch-rate (E.R.) of suitable resist layers and of the silver layer. The (authors)

  11. WO3 and W Thermal Atomic Layer Etching Using "Conversion-Fluorination" and "Oxidation-Conversion-Fluorination" Mechanisms.

    Science.gov (United States)

    Johnson, Nicholas R; George, Steven M

    2017-10-04

    The thermal atomic layer etching (ALE) of WO 3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO 3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl 3 ) and hydrogen fluoride (HF). BCl 3 converts the WO 3 surface to a B 2 O 3 layer while forming volatile WO x Cl y products. Subsequently, HF spontaneously etches the B 2 O 3 layer producing volatile BF 3 and H 2 O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl 3 and HF reactions were self-limiting versus exposure. The WO 3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl 3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO 3 layer using O 2 /O 3 . Subsequently, the WO 3 layer is etched with BCl 3 and HF. SE could simultaneously monitor the W and WO 3 thicknesses and conversion of W to WO 3 . SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl 3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

  12. Optimized Autonomous Space In-situ Sensor-Web for volcano monitoring

    Science.gov (United States)

    Song, W.-Z.; Shirazi, B.; Kedar, S.; Chien, S.; Webb, F.; Tran, D.; Davis, A.; Pieri, D.; LaHusen, R.; Pallister, J.; Dzurisin, D.; Moran, S.; Lisowski, M.

    2008-01-01

    In response to NASA's announced requirement for Earth hazard monitoring sensor-web technology, a multidisciplinary team involving sensor-network experts (Washington State University), space scientists (JPL), and Earth scientists (USGS Cascade Volcano Observatory (CVO)), is developing a prototype dynamic and scaleable hazard monitoring sensor-web and applying it to volcano monitoring. The combined Optimized Autonomous Space -In-situ Sensor-web (OASIS) will have two-way communication capability between ground and space assets, use both space and ground data for optimal allocation of limited power and bandwidth resources on the ground, and use smart management of competing demands for limited space assets. It will also enable scalability and seamless infusion of future space and in-situ assets into the sensor-web. The prototype will be focused on volcano hazard monitoring at Mount St. Helens, which has been active since October 2004. The system is designed to be flexible and easily configurable for many other applications as well. The primary goals of the project are: 1) integrating complementary space (i.e., Earth Observing One (EO-1) satellite) and in-situ (ground-based) elements into an interactive, autonomous sensor-web; 2) advancing sensor-web power and communication resource management technology; and 3) enabling scalability for seamless infusion of future space and in-situ assets into the sensor-web. To meet these goals, we are developing: 1) a test-bed in-situ array with smart sensor nodes capable of making autonomous data acquisition decisions; 2) efficient self-organization algorithm of sensor-web topology to support efficient data communication and command control; 3) smart bandwidth allocation algorithms in which sensor nodes autonomously determine packet priorities based on mission needs and local bandwidth information in real-time; and 4) remote network management and reprogramming tools. The space and in-situ control components of the system will be

  13. Etching Effect of an Atmospheric DC Arc Plasmatron

    International Nuclear Information System (INIS)

    Chun, Se Min; Kim, Ji Hun; Kang, In Je; Lee, Heon Ju

    2010-01-01

    Thermal plasmas (especially arc plasma) were extensively industrialized, principally by aeronautic sector. Cold plasma technologies have been developed in the microelectronics but their vacuum equipment limits their implantation. Plasmas used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in very costly due to the use of vacuum equipment and vacuum components. Use of DC arc plasmatrons in welding, soldering, and cutting of metals is well known. A DC-arc plasmatron with high durability was reported to be a suitable device for etching silicon and photo-resist surfaces

  14. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  15. Performance improvements of binary diffractive structures via optimization of the photolithography and dry etch processes

    Science.gov (United States)

    Welch, Kevin; Leonard, Jerry; Jones, Richard D.

    2010-08-01

    Increasingly stringent requirements on the performance of diffractive optical elements (DOEs) used in wafer scanner illumination systems are driving continuous improvements in their associated manufacturing processes. Specifically, these processes are designed to improve the output pattern uniformity of off-axis illumination systems to minimize degradation in the ultimate imaging performance of a lithographic tool. In this paper, we discuss performance improvements in both photolithographic patterning and RIE etching of fused silica diffractive optical structures. In summary, optimized photolithographic processes were developed to increase critical dimension uniformity and featuresize linearity across the substrate. The photoresist film thickness was also optimized for integration with an improved etch process. This etch process was itself optimized for pattern transfer fidelity, sidewall profile (wall angle, trench bottom flatness), and across-wafer etch depth uniformity. Improvements observed with these processes on idealized test structures (for ease of analysis) led to their implementation in product flows, with comparable increases in performance and yield on customer designs.

  16. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  17. A study on decontamination of TRU, Co, and Mo using plasma surface etching technique

    International Nuclear Information System (INIS)

    Seo, Y.D.; Kim, Y.S.; Paek, S.H.; Lee, K.H.; Jung, C.H.; Oh, W.Z.

    2001-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability and the effectiveness of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds and metallic Co and Mo are selected because they are the principal contaminants in the spent nuclear components such as valves and pipes made of stainless steel or INCONEL. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 to CF 4 /O 2 mixture gas is 20 %, regardless of temperature and r.f. power. (author)

  18. Influence of asymmetric etching on ion track shapes in polycarbonate

    International Nuclear Information System (INIS)

    Clochard, M.-C.; Wade, T.L.; Wegrowe, J.-E.; Balanzat, E.

    2007-01-01

    By combining low-energy ion irradiation with asymmetric etching, conical nanopores of controlled geometry can be etched in polycarbonate (PC). Cone bases vary from 0.5 to 1 μm. Top diameters down to 17 nm are reached. When etching from one side, the pH on the other side (bathed in neutral or acidic buffer) was monitored. Etching temperature ranged from 65 deg. C to 80 deg. C. Pore shape characterization was achieved by electro replication combined with SEM observation. The tip shape depended on whether an acidic buffer was used or not on the stopped side

  19. Monitoring the dry deposition of SO2 in the Netherlands

    NARCIS (Netherlands)

    Erisman JW; Versluis AH; Verplanke TAJW; Haan D de; Anink D; Elzakker BG van; Aalst RM van

    1989-01-01

    A micrometeorological gradient method has been applied to monitor SO2 dry deposition fluxes on a routine basis. Results of a feasibility study during 1987 to 1989 at a rural site in the Netherlands demonstrated that this method can be used to measure dry deposition flux and deposition velocity

  20. Infrared interference patterns for new capabilities in laser end point detection

    International Nuclear Information System (INIS)

    Heason, D J; Spencer, A G

    2003-01-01

    Standard laser interferometry is used in dry etch fabrication of semiconductor and MEMS devices to measure etch depth, rate and to detect the process end point. However, many wafer materials, such as silicon are absorbing at probing wavelengths in the visible, severely limiting the amount of information that can be obtained using this technique. At infrared (IR) wavelengths around 1500 nm and above, silicon is highly transparent. In this paper we describe an instrument that can be used to monitor etch depth throughout a thru-wafer etch. The provision of this information could eliminate the requirement of an 'etch stop' layer and improve the performance of fabricated devices. We have added a further new capability by using tuneable lasers to scan through wavelengths in the near IR to generate an interference pattern. Fitting a theoretical curve to this interference pattern gives in situ measurement of film thickness. Whereas conventional interferometry would only allow etch depth to be monitored in real time, we can use a pre-etch thickness measurement to terminate the etch on a remaining thickness of film material. This paper discusses the capabilities of, and the opportunities offered by, this new technique and gives examples of applications in MEMS and waveguides

  1. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  2. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  3. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  4. Fabrication of sub-15 nm aluminum wires by controlled etching

    International Nuclear Information System (INIS)

    Morgan-Wall, T.; Hughes, H. J.; Hartman, N.; Marković, N.; McQueen, T. M.

    2014-01-01

    We describe a method for the fabrication of uniform aluminum nanowires with diameters below 15 nm. Electron beam lithography is used to define narrow wires, which are then etched using a sodium bicarbonate solution, while their resistance is simultaneously measured in-situ. The etching process can be stopped when the desired resistance is reached, and can be restarted at a later time. The resulting nanowires show a superconducting transition as a function of temperature and magnetic field that is consistent with their smaller diameter. The width of the transition is similar to that of the lithographically defined wires, indicating that the etching process is uniform and that the wires are undamaged. This technique allows for precise control over the normal state resistance and can be used to create a variety of aluminum nanodevices

  5. Optimization of KOH etching parameters for quantitative defect recognition in n- and p-type doped SiC

    Science.gov (United States)

    Sakwe, S. A.; Müller, R.; Wellmann, P. J.

    2006-04-01

    We have developed a KOH-based defect etching procedure for silicon carbide (SiC), which comprises in situ temperature measurement and control of melt composition. As benefit for the first time reproducible etching conditions were established (calibration plot, etching rate versus temperature and time); the etching procedure is time independent, i.e. no altering in KOH melt composition takes place, and absolute melt temperature values can be set. The paper describes this advanced KOH etching furnace, including the development of a new temperature sensor resistant to molten KOH. We present updated, absolute KOH etching parameters of n-type SiC and new absolute KOH etching parameters for low and highly p-type doped SiC, which are used for quantitative defect analysis. As best defect etching recipes we found T=530 °C/5 min (activation energy: 16.4 kcal/mol) and T=500 °C/5 min (activation energy: 13.5 kcal/mol) for n-type and p-type SiC, respectively.

  6. Ground Monitoring Neotropical Dry Forests: A Sensor Network for Forest and Microclimate Dynamics in Semi-Arid Environments (Enviro-Net°)

    Science.gov (United States)

    Rankine, C. J.; Sánchez-Azofeifa, G.

    2011-12-01

    In the face of unprecedented global change driven by anthropogenic pressure on natural systems it has become imperative to monitor and better understand potential shifts in ecosystem functioning and services from local to global scales. The utilization of automated sensors technologies offers numerous advantages over traditional on-site ecosystem surveying techniques and, as a result, sensor networks are becoming a powerful tool in environmental monitoring programs. Tropical forests, renowned for their biodiversity, are important regulators of land-atmosphere fluxes yet the seasonally dry tropical forests, which account for 40% of forested ecosystems in the American tropics, have been severely degraded over the past several decades and not much is known of their capacity to recover. With less than 1% of these forests protected, our ability to monitor the dynamics and quantify changes in the remaining primary and recovering secondary tropical dry forests is vital to understanding mechanisms of ecosystem stress responses and climate feedback with respect to annual productivity and desertification processes in the tropics. The remote sensing component of the Tropi-Dry: Human and Biophysical Dimensions of Tropical Dry Forests in the Americas research network supports a network of long-term tropical ecosystem monitoring platforms which focus on the dynamics of seasonally dry tropical forests in the Americas. With over 25 sensor station deployments operating across a latitudinal gradient in Mexico, Costa Rica, Brazil, and Argentina continuously collecting hyper-temporal sensory input based on standardized deployment parameters, this monitoring system is unique among tropical environments. Technologies used in the network include optical canopy phenology towers, understory wireless sensing networks, above and below ground microclimate stations, and digital cameras. Sensory data streams are uploaded to a cyber-infrastructure initiative, denominated Enviro-Net°, for data

  7. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  8. Signal processing methods for in-situ creep specimen monitoring

    Science.gov (United States)

    Guers, Manton J.; Tittmann, Bernhard R.

    2018-04-01

    Previous work investigated using guided waves for monitoring creep deformation during accelerated life testing. The basic objective was to relate observed changes in the time-of-flight to changes in the environmental temperature and specimen gage length. The work presented in this paper investigated several signal processing strategies for possible application in the in-situ monitoring system. Signal processing methods for both group velocity (wave-packet envelope) and phase velocity (peak tracking) time-of-flight were considered. Although the Analytic Envelope found via the Hilbert transform is commonly applied for group velocity measurements, erratic behavior in the indicated time-of-flight was observed when this technique was applied to the in-situ data. The peak tracking strategies tested had generally linear trends, and tracking local minima in the raw waveform ultimately showed the most consistent results.

  9. Iridium-coated micropore x-ray optics using dry etching of a silicon wafer and atomic layer deposition.

    Science.gov (United States)

    Ogawa, Tomohiro; Ezoe, Yuichiro; Moriyama, Teppei; Mitsuishi, Ikuyuki; Kakiuchi, Takuya; Ohashi, Takaya; Mitsuda, Kazuhisa; Putkonen, Matti

    2013-08-20

    To enhance x-ray reflectivity of silicon micropore optics using dry etching of silicon (111) wafers, iridium coating is tested by use of atomic layer deposition. An iridium layer is successfully formed on sidewalls of tiny micropores with a pore width of 20 μm and depth of 300 μm. The film thickness is ∼20  nm. An enhanced x-ray reflectivity compared to that of silicon is confirmed at Ti Kα 4.51 keV, for what we believe to be the first time, with this type of optics. Some discrepancies from a theoretical reflectivity curve of iridium-coated silicon are noticed at small incident angles <1.3°. When a geometrical shadowing effect due to occultation by a ridge existing on the sidewalls is taken into account, the observed reflectivity becomes well represented by the modified theoretical curve. An estimated surface micro roughness of ∼1  nm rms is consistent with atomic force microscope measurements of the sidewalls.

  10. In-Situ TEM Study of a Nanoporous Ni–Co Catalyst Used for the Dry Reforming of Methane

    Directory of Open Access Journals (Sweden)

    Takeshi Fujita

    2017-10-01

    Full Text Available We performed in-situ transmission electron microscopy (TEM on a dealloyed nanoporous NiCo catalyst used for the dry reforming of methane (DRM to investigate the origin of the catalytic activity and structural durability. The in-situ observations and local chemical analysis indicated that the DRM induced chemical demixing of Ni and Co accompanied by grain refinement, implying possible “synergic effects” in a general bimetallic NiCo catalyst when used for the DRM.

  11. Atomic Layer Etching : What can we learn from Atomic Layer Deposition?

    NARCIS (Netherlands)

    Faraz, T.; Roozeboom, F.; Knoops, H.C.M.; Kessels, W.M.M.

    2015-01-01

    Current trends in semiconductor device manufacturing impose extremely stringent requirements on nanoscale processing techniques, both in terms of accurately controlling material properties and in terms of precisely controlling nanometer dimensions. To take nanostructuring by dry etching to the next

  12. Atomic layer etching : what can we learn from atomic layer deposition?

    NARCIS (Netherlands)

    Faraz, T.; Roozeboom, F.; Knoops, H.C.M.; Kessels, W.M.M.

    2015-01-01

    Current trends in semiconductor device manufacturing impose extremely stringent requirements on nanoscale processing techniques, both in terms of accurately controlling material properties and in terms of precisely controlling nanometer dimensions. To take nanostructuring by dry etching to the next

  13. Uniformly thinned optical fibers produced via HF etching with spectral and microscopic verification.

    Science.gov (United States)

    Bal, Harpreet K; Brodzeli, Zourab; Dragomir, Nicoleta M; Collins, Stephen F; Sidiroglou, Fotios

    2012-05-01

    A method for producing uniformly thinned (etched) optical fibers is described, which can also be employed to etch optical fibers containing a Bragg grating (FBG) uniformly for evanescent-field-based sensing and other applications. Through a simple modification of this method, the fabrication of phase-shifted FBGs based on uneven etching is also shown. The critical role of how a fiber is secured is shown, and the success of the method is illustrated, by differential interference contrast microscopy images of uniformly etched FBGs. An etched FBG sensor for the monitoring of the refractive index of different glycerin solutions is demonstrated.

  14. Comparing MODIS and near-surface vegetation indexes for monitoring tropical dry forest phenology along a successional gradient using optical phenology towers

    Science.gov (United States)

    Rankine, C.; Sánchez-Azofeifa, G. A.; Guzmán, J. Antonio; Espirito-Santo, M. M.; Sharp, Iain

    2017-10-01

    Tropical dry forests (TDFs) present strong seasonal greenness signals ideal for tracking phenology and primary productivity using remote sensing techniques. The tightly synchronized relationship these ecosystems have with water availability offer a valuable natural experiment for observing the complex interactions between the atmosphere and the biosphere in the tropics. To investigate how well the MODIS vegetation indices (normalized difference vegetation index (NDVI) and the enhanced vegetation index (EVI)) represented the phenology of different successional stages of naturally regenerating TDFs, within a widely conserved forest fragment in the semi-arid southeast of Brazil, we installed several canopy towers with radiometric sensors to produce high temporal resolution near-surface vegetation greenness indices. Direct comparison of several years of ground measurements with a combined Aqua/Terra 8 day satellite product showed similar broad temporal trends, but MODIS often suffered from cloud contamination during the onset of the growing season and occasionally during the peak growing season. The strength of the in-situ and MODIS linear relationship was greater for NDVI than for EVI across sites but varied with forest stand age. Furthermore, we describe the onset dates and duration of canopy development phases for three years of in-situ monitoring. A seasonality analysis revealed significant discrepancies between tower and MODIS phenology transitions dates, with up to five weeks differences in growing season length estimation. Our results indicate that 8 and 16 day MODIS satellite vegetation monitoring products are suitable for tracking general patterns of tropical dry forest phenology in this region but are not temporally sufficient to characterize inter-annual differences in phenology phase onset dates or changes in productivity due to mid-season droughts. Such rapid transitions in canopy greenness are important indicators of climate change sensitivity of these

  15. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  16. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  17. In situ Raman spectroscopy for growth monitoring of vertically aligned multiwall carbon nanotubes in plasma reactor

    Energy Technology Data Exchange (ETDEWEB)

    Labbaye, T.; Gaillard, M.; Lecas, T.; Kovacevic, E.; Boulmer-Leborgne, Ch.; Guimbretière, G. [GREMI, Université-CNRS, BP6744, 45067 Orléans Cedex 2 (France); Canizarès, A.; Raimboux, N.; Simon, P.; Ammar, M. R., E-mail: mohamed-ramzi.ammar@cnrs-orleans.fr [CNRS, CEMHTI UPR3079, Univ. Orléans, F-45071 Orléans Cedex 2 (France); Strunskus, T. [Institute of Material Science, Chritian-Albrechts-University of Kiel, D-24143 Kiel (Germany)

    2014-11-24

    Portable and highly sensitive Raman setup was associated with a plasma-enhanced chemical vapor deposition reactor enabling in situ growth monitoring of multi-wall carbon nanotubes despite the combination of huge working distance, high growth speed and process temperature and reactive plasma condition. Near Edge X-ray absorption fine structure spectroscopy was used for ex situ sample analysis as a complementary method to in situ Raman spectroscopy. The results confirmed the fact that the “alternating” method developed here can accurately be used for in situ Raman monitoring under reactive plasma condition. The original analytic tool can be of great importance to monitor the characteristics of these nanostructured materials and readily define the ultimate conditions for targeted results.

  18. In situ health monitoring of piezoelectric sensors

    Science.gov (United States)

    Jensen, Scott L. (Inventor); Drouant, George J. (Inventor)

    2013-01-01

    An in situ health monitoring apparatus may include an exciter circuit that applies a pulse to a piezoelectric transducer and a data processing system that determines the piezoelectric transducer's dynamic response to the first pulse. The dynamic response can be used to evaluate the operating range, health, and as-mounted resonance frequency of the transducer, as well as the strength of a coupling between the transducer and a structure and the health of the structure.

  19. Pulsed laser-assisted focused electron-beam-induced etching of titanium with XeF2: enhanced reaction rate and precursor transport.

    Science.gov (United States)

    Noh, J H; Fowlkes, J D; Timilsina, R; Stanford, M G; Lewis, B B; Rack, P D

    2015-02-25

    In order to enhance the etch rate of electron-beam-induced etching, we introduce a laser-assisted focused electron-beam-induced etching (LA-FEBIE) process which is a versatile, direct write nanofabrication method that allows nanoscale patterning and editing. The results demonstrate that the titanium electron stimulated etch rate via the XeF2 precursor can be enhanced up to a factor of 6 times with an intermittent pulsed laser assist. The evolution of the etching process is correlated to in situ stage current measurements and scanning electron micrographs as a function of time. The increased etch rate is attributed to photothermally enhanced Ti-F reaction and TiF4 desorption and in some regimes enhanced XeF2 surface diffusion to the reaction zone.

  20. Dry Etch Black Silicon with Low Surface Damage: Effect of Low Capacitively Coupled Plasma Power

    DEFF Research Database (Denmark)

    Iandolo, Beniamino; Plakhotnyuk, Maksym; Gaudig, Maria

    2017-01-01

    Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we pr...... carrier lifetime thanks to reduced ion energy. Surface passivation using atomic layer deposition of Al2O3 improves the effective lifetime to 7.5 ms and 0.8 ms for black silicon n- and p-type wafers, respectively.......Black silicon fabricated by reactive ion etch (RIE) is promising for integration into silicon solar cells thanks to its excellent light trapping ability. However, intensive ion bombardment during the RIE induces surface damage, which results in enhanced surface recombination velocity. Here, we...... present a RIE optimization leading to reduced surface damage while retaining excellent light trapping and low reflectivity. In particular, we demonstrate that the reduction of the capacitively coupled power during reactive ion etching preserves a reflectance below 1% and improves the effective minority...

  1. Biodiesel Production from Dry Microalga Biomass by Microwave-Assisted In-Situ Transesterification

    Directory of Open Access Journals (Sweden)

    Qadariyah Lailatul

    2018-01-01

    Full Text Available Microalga is one of the potential feedstocks in the manufacture of biodiesel because it contains high oil content. In this study, Chlorella sp. was selected because its high oil content about 28-32% of oil (based on its dry weight and its presence is abundant among other green algae. In situ transesterification was carried out in round neck flask under microwave irradiation. Microwave irradiation can facilitate the in situ transesterification by extracted the lipid of microalga and simultaneous convert to FAME. The purposes of this study are to investigate the effect of acid catalyst concentration, microwave power, reaction time and the addition of co-solvent (n-hexane on the yield of biodiesel, to get optimum operating conditions and to know the fatty acid compounds of biodiesel from Chlorella sp. The results of oil extraction and biodiesel were analyzed by GC-MS analysis. Based on the experiment, the yield of microalga oil was 11.37%. The optimum yield of biodiesel by in-situ transesterification was 75.68%. It was obtained at the microwave power of 450 watts, the reaction time of 60 minutes, an acid catalyst concentration of 0,2M of H2SO4, and the co-solvent addition of 10 ml.

  2. Reactive ion beam etching for microcavity surface emitting laser fabrication: technology and damage characterization

    International Nuclear Information System (INIS)

    Matsutani, A.; Tadokoro, T.; Koyama, F.; Iga, K.

    1993-01-01

    Reactive ion beam etching (RIBE) is an effective dry etching technique for the fabrication of micro-sized surface emitting (SE) lasers and optoelectronic devices. In this chapter, some etching characteristics for GaAs, InP and GaInAsP with a Cl 2 gas using an RIBE system are discussed. Micro-sized circular mesas including GaInAsP/InP multilayers with vertical sidewalls were fabricated. RIBE-induced damage in InP substrates was estimated by C-V and PL measurement. In addition, the removal of the induced damage by the second RIBE with different conditions for the InP wafer was proposed. The sidewall damage is characterized by photoluminescence emitted from the etched sidewall of a GaInAsP/InP DH wafer. (orig.)

  3. Influence of chlorhexidine and/or ethanol treatment on bond strength of an etch-and-rinse adhesive to dentin: an in vitro and in situ study.

    Science.gov (United States)

    Simões, D M S; Basting, R T; Amaral, F L B; Turssi, C P; França, F M G

    2014-01-01

    The aim of this study was to evaluate the effect of a chlorhexidine and/or ethanol application on the bond strength of an etch-and-rinse, hydrophobic adhesive system either under in vitro aging or in situ cariogenic challenge. The dentin surface of 36 human third molars were flattened and allocated into four groups to be treated with chlorhexidine, ethanol, or chlorhexidine + ethanol or left unexposed to any solution (control) (n=9). Then, a resin composite restoration was made on the dentin surface and longitudinal sticks were obtained. Sticks from each tooth were assigned to three test conditions: stored in water in vitro for 24 hours, stored in water in vitro for 6 months, or worn in situ for 14 days. During in situ wear time, a high-cariogenic challenge condition was simulated. Specimens were tested for microtensile bond strength (μTBS). Multivariate analysis of variance and Tukey's test showed that chlorhexidine, ethanol, or chlorhexidine + ethanol did not affect the μTBS. The in vitro μTBS values were significantly lower for the specimens stored for 6 months than for those stored for 24 hours. Intermediate μTBS values were shown by the specimens worn in situ. Thus, use of chlorhexidine and/or ethanol was incapable of containing the degradation at the bond interface in the in vitro model. The in situ model was capable of reducing bond strength similarly to the in vitro/6 months model. Despite this, the in situ bond strength was still similar to that of the in vitro/24-hour model.

  4. Optimization of the etch-and-rinse technique: New perspectives to improve resin-dentin bonding and hybrid layer integrity by reducing residual water using dimethyl sulfoxide pretreatments.

    Science.gov (United States)

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Abuna, Gabriel; Sinhoreti, Mário Alexandre Coelho; Martins, Luís Roberto Marcondes; Tezvergil-Mutluay, Arzu

    2018-04-13

    To determine whether bonding effectiveness and hybrid layer integrity on acid-etched dehydrated dentin would be comparable to the conventional wet-bonding technique through new dentin biomodification approaches using dimethyl sulfoxide (DMSO). Etched dentin surfaces from extracted sound molars were randomly bonded in wet or dry conditions (30s air drying) with DMSO/ethanol or DMSO/H 2 O as pretreatments using a simplified (Scotchbond Universal Adhesive, 3M ESPE: SU) and a multi-step (Adper Scotchbond Multi-Purpose, 3M ESPE: SBMP) etch-and-rinse adhesives. Untreated dentin surfaces served as control. Bonded teeth (n=8) were stored in distilled water for 24h and sectioned into resin-dentin beams (0.8mm 2 ) for microtensile bond strength test and quantitative interfacial nanoleakage analysis (n=8) under SEM. Additional teeth (n=2) were prepared for micropermeability assessment by CFLSM under simulated pulpar pressure (20cm H 2 O) using 5mM fluorescein as a tracer. Microtensile data was analyzed by 3-way ANOVA followed by Tukey Test and nanoleakage by Kruskal-Wallis and Dunn-Bonferroni multiple comparison test (α=0.05). While dry-bonding of SBMP produced significantly lower bond strengths than wet-bonding (padhesives to demineralized air-dried dentin beyond conventional wet-bonding. Less porous resin-dentin interfaces with higher bond strengths on air-dried etched dentin were achieved; nonetheless, overall efficiency varied according to DMSO's co-solvent and adhesive type. DMSO pretreatments permit etched dentin to be air-dried before hybridization facilitating residual water removal and thus improving bonding effectiveness. This challenges the current paradigm of wet-bonding requirement for the etch-and-rinse approach creating new possibilities to enhance the clinical longevity of resin-dentin interfaces. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Inc. All rights reserved.

  5. Evaluation of Heat Flux Measurement as a New Process Analytical Technology Monitoring Tool in Freeze Drying.

    Science.gov (United States)

    Vollrath, Ilona; Pauli, Victoria; Friess, Wolfgang; Freitag, Angelika; Hawe, Andrea; Winter, Gerhard

    2017-05-01

    This study investigates the suitability of heat flux measurement as a new technique for monitoring product temperature and critical end points during freeze drying. The heat flux sensor is tightly mounted on the shelf and measures non-invasively (no contact with the product) the heat transferred from shelf to vial. Heat flux data were compared to comparative pressure measurement, thermocouple readings, and Karl Fischer titration as current state of the art monitoring techniques. The whole freeze drying process including freezing (both by ramp freezing and controlled nucleation) and primary and secondary drying was considered. We found that direct measurement of the transferred heat enables more insights into thermodynamics of the freezing process. Furthermore, a vial heat transfer coefficient can be calculated from heat flux data, which ultimately provides a non-invasive method to monitor product temperature throughout primary drying. The end point of primary drying determined by heat flux measurements was in accordance with the one defined by thermocouples. During secondary drying, heat flux measurements could not indicate the progress of drying as monitoring the residual moisture content. In conclusion, heat flux measurements are a promising new non-invasive tool for lyophilization process monitoring and development using energy transfer as a control parameter. Copyright © 2017 American Pharmacists Association®. Published by Elsevier Inc. All rights reserved.

  6. In situ monitoring of cocrystals in formulation development using low-frequency Raman spectroscopy.

    Science.gov (United States)

    Otaki, Takashi; Tanabe, Yuta; Kojima, Takashi; Miura, Masaru; Ikeda, Yukihiro; Koide, Tatsuo; Fukami, Toshiro

    2018-05-05

    In recent years, to guarantee a quality-by-design approach to the development of pharmaceutical products, it is important to identify properties of raw materials and excipients in order to determine critical process parameters and critical quality attributes. Feedback obtained from real-time analyses using various process analytical technology (PAT) tools has been actively investigated. In this study, in situ monitoring using low-frequency (LF) Raman spectroscopy (10-200 cm -1 ), which may have higher discriminative ability among polymorphs than near-infrared spectroscopy and conventional Raman spectroscopy (200-1800 cm -1 ), was investigated as a possible application to PAT. This is because LF-Raman spectroscopy obtains information about intermolecular and/or lattice vibrations in the solid state. The monitoring results obtained from Furosemide/Nicotinamide cocrystal indicate that LF-Raman spectroscopy is applicable to in situ monitoring of suspension and fluidized bed granulation processes, and is an effective technique as a PAT tool to detect the conversion risk of cocrystals. LF-Raman spectroscopy is also used as a PAT tool to monitor reactions, crystallizations, and manufacturing processes of drug substances and products. In addition, a sequence of conversion behaviors of Furosemide/Nicotinamide cocrystals was determined by performing in situ monitoring for the first time. Copyright © 2018 Elsevier B.V. All rights reserved.

  7. Simultaneous monitoring of faecal indicators and harmful algae using an in-situ autonomous sensor.

    Science.gov (United States)

    Yamahara, K M; Demir-Hilton, E; Preston, C M; Marin, R; Pargett, D; Roman, B; Jensen, S; Birch, J M; Boehm, A B; Scholin, C A

    2015-08-01

    Faecal indicator bacteria (FIB) and harmful algal blooms (HABs) threaten the health and the economy of coastal communities worldwide. Emerging automated sampling technologies combined with molecular analytical techniques could enable rapid detection of micro-organisms in-situ, thereby improving resource management and public health decision-making. We evaluated this concept using a robotic device, the Environmental Sample Processor (ESP). The ESP automates in-situ sample collection, nucleic acid extraction and molecular analyses. Here, the ESP measured and reported concentrations of FIB (Enterococcus spp.), a microbial source-tracking marker (human-specific Bacteriodales) and a HAB species (Psuedo-nitzschia spp.) over a 45-day deployment on the Santa Cruz Municipal Wharf (Santa Cruz, CA, USA). Both FIB and HABs were enumerated from single in-situ collected water samples. The in-situ qPCR efficiencies ranged from 86% to 105%, while the limit of quantifications during the deployment was 10 copies reaction(-1) . No differences were observed in the concentrations of enterococci, the human-specific marker in Bacteroidales spp., and P. australis between in-situ collected sample and traditional hand sampling methods (P > 0·05). Analytical results were Internet-accessible within hours of sample collection, demonstrating the feasibility of same-day public notification of current water quality conditions. This study presents the first report of in-situ qPCR enumeration of both faecal indicators and harmful algal species in coastal marine waters. We utilize a robotic device for in-situ quantification of enterococci, the human-specific marker in Bacteriodales and Pseudo-nitzschia spp. from the same water samples collected and processed in-situ. The results demonstrate that rapid, in-situ monitoring can be utilized to identify and quantify multiple health-relevant micro-organisms important in water quality monitoring and that this monitoring can be used to inform same

  8. Dry Sliding Wear Behavior of A356 Alloy/Mg2Sip Functionally Graded in-situ Composites: Effect of Processing Conditions

    Directory of Open Access Journals (Sweden)

    S.C. Ram

    2016-09-01

    Full Text Available In present study, the effect of dry sliding wear conditions of A356 alloy/Mg2Sip functionally graded in-situ composites developed by centrifugal casting method has been studied. A pure commercial A356 alloy (Al–7.5Si–0.3Mg was selected to be the matrix of the composites and primary Mg2Sip reinforcing particles were formed by in-situ chemical reaction with an average grain size of 40-47.8 µm. The Al–(Mg2Sip functionally graded metal matrix composites (FGMMC’s were synthesized by centrifugal casting technique with radial geometry, using two different mould rotating speeds ( 1200 and 1600 rpm. The X-ray diffraction (XRD characterization technique was carried out to confirm the in-situ formed Mg2Si particles in composites. Optical microscopy examination was carried out to reveals the grain refinement of Al-rich grains due to in-situ formed Mg2Si particles. Scanning electron microscope (SEM and Energy dispersive X-ray spectroscopy (EDS techniques were carried out to reveal the distribution of phases, morphological characteristics and confirmation of primary Mg2Si particles in the matrix. The sliding wear behavior was studied using a Pin-on-Disc set-up machine with sliding wear parameters: effect of loads (N, effect of sliding distances (m and effect of Mg on wear at room temperature with a high-carbon chromium steel disc (HRC-64 as counter surfaces. A good correlation was evidenced between the dry sliding behaviour of functionally graded in-situ composites and the distribution of Mg2Si reinforcing particles. Beside the above processing conditions, the dominant wear mechanisms of functionally graded in-situ composites have been correlated with the microstructures. The hardness and wear resistance properties of these composites increase with increasing volume percent of reinforced primary Si/Mg2Si particles toward inner zone of cast cylindrical shapes. The objective of this works was to study the tribological characteristics under dry sliding

  9. Chronic dry eye in photorefractive keratectomy and laser in situ keratomileusis: Manifestations, incidence, and predictive factors.

    Science.gov (United States)

    Bower, Kraig S; Sia, Rose K; Ryan, Denise S; Mines, Michael J; Dartt, Darlene A

    2015-12-01

    To evaluate dry-eye manifestations after photorefractive keratectomy (PRK) and laser in situ keratomileusis (LASIK) and determine the incidence and predictive factors of chronic dry eye using a set of dry-eye criteria. Walter Reed Army Medical Center, Washington, DC, USA. Prospective, non-randomized clinical study. Dry-eye evaluation was performed before and after surgery. Main outcome measures included dry-eye manifestations, incidence, and predictive factors of chronic dry eye. This study comprised 143 active-duty U.S. Army personnel, ages 29.9 ± 5.2 years, with myopia or myopic astigmatism (manifest spherical equivalent -3.83 ± 1.96 diopters) having PRK or LASIK. Schirmer scores, corneal sensitivity, ocular surface staining, surface regularity index, and responses to dry-eye questionnaire significantly changed over time after PRK. After LASIK, significant changes were observed in tear breakup time, corneal sensitivity, ocular surface staining, and responses to questionnaire. Twelve months postoperatively, 5.0% of PRK and 0.8% of LASIK participants developed chronic dry eye. Regression analysis showed that pre-operatively lower Schirmer score will significantly influence development of chronic dry eye after PRK, whereas preoperatively, lower Schirmer score or higher ocular surface staining score will significantly influence the occurrence of chronic dry eye after LASIK. Chronic dry eye was uncommon after PRK and LASIK. Ocular surface and tear-film characteristics during pre-operative examination might help to predict chronic dry-eye development in PRK and LASIK. The authors have no financial interest in any product, drug, instrument, or equipment discussed in this manuscript. Copyright © 2015 ASCRS and ESCRS. All rights reserved.

  10. An Optimized Autonomous Space In-situ Sensorweb (OASIS) for Volcano Monitoring

    Science.gov (United States)

    Song, W.; Shirazi, B.; Lahusen, R.; Chien, S.; Kedar, S.; Webb, F.

    2006-12-01

    In response to NASA's announced requirement for Earth hazard monitoring sensor-web technology, we are developing a prototype real-time Optimized Autonomous Space In-situ Sensorweb. The prototype will be focused on volcano hazard monitoring at Mount St. Helens, which has been in continuous eruption since October 2004. The system is designed to be flexible and easily configurable for many other applications as well. The primary goals of the project are: 1) integrating complementary space (i.e., Earth Observing One (EO- 1) satellite) and in-situ (ground-based) elements into an interactive, autonomous sensor-web; 2) advancing sensor-web power and communication resource management technology; and 3) enabling scalability for seamless infusion of future space and in-situ assets into the sensor-web. To meet these goals, we are developing: 1) a test-bed in-situ array with smart sensor nodes capable of making autonomous data acquisition decisions; 2) efficient self-organization algorithm of sensor-web topology to support efficient data communication and command control; 3) smart bandwidth allocation algorithms in which sensor nodes autonomously determine packet priorities based on mission needs and local bandwidth information in real- time; and 4) remote network management and reprogramming tools. The space and in-situ control components of the system will be integrated such that each element is capable of triggering the other. Sensor-web data acquisition and dissemination will be accomplished through the use of SensorML language standards for geospatial information. The three-year project will demonstrate end-to-end system performance with the in-situ test-bed at Mount St. Helens and NASA's EO-1 platform.

  11. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  12. Does active application of universal adhesives to enamel in self-etch mode improve their performance?

    Science.gov (United States)

    Loguercio, Alessandro D; Muñoz, Miguel Angel; Luque-Martinez, Issis; Hass, Viviane; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    To evaluate the effect of adhesion strategy on the enamel microshear bond strengths (μSBS), etching pattern, and in situ degree of conversion (DC) of seven universal adhesives. 84 extracted third molars were sectioned in four parts (buccal, lingual, proximal) and divided into 21 groups, according to the combination of the main factors adhesive (AdheSE Universal [ADU], All-Bond Universal [ABU], Clearfil Universal [CFU], Futurabond U [FBU], G-Bond Plus [GBP], Prime&Bond Elect (PBE), and Scotchbond Universal Adhesive [SBU]), and adhesion strategy (etch-and-rinse, active self-etch, and passive self-etch). Specimens were stored in water (37°C/24h) and tested at 1.0mm/min (μSBS). Enamel-resin interfaces were evaluated for DC using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a field-emission scanning electron microscope (direct and replica techniques). Data were analyzed with two-way ANOVA and Tukey's test (α=0.05). Active self-etch application increased μSBS and DC for five out of the seven universal adhesives when compared to passive application (padhesives in the etch-and-rinse strategy. A slight improvement in etching ability was observed in active self-etch application compared to that of passive self-etch application. Replicas of GBP and PBE applied in active self-etch mode displayed morphological features compatible with water droplets. The DC of GBP and PBE were not affected by the application/strategy mode. In light of the improved performance of universal adhesives when applied actively in SE mode, selective enamel etching with phosphoric acid may not be crucial for their adhesion to enamel. The active application of universal adhesives in self-etch mode may be a practical alternative to enamel etching in specific clinical situations. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Adiabatic tapered optical fiber fabrication in two step etching

    Science.gov (United States)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  14. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  15. Bond strength of self-etch adhesives after saliva contamination at different application steps.

    Science.gov (United States)

    Cobanoglu, N; Unlu, N; Ozer, F F; Blatz, M B

    2013-01-01

    This study evaluated and compared the effect of saliva contamination and possible decontamination methods on bond strengths of two self-etching adhesive systems (Clearfil SE Bond [CSE], Optibond Solo Plus SE [OSE]). Flat occlusal dentin surfaces were created on 180 extracted human molar teeth. The two bonding systems and corresponding composite resins (Clearfil AP-X, Kerr Point 4) were bonded to the dentin under six surface conditions (n=15/group): group 1 (control): primer/bonding/composite; group 2: saliva/drying/primer/bonding/composite; group 3: primer/saliva/rinsing/drying/primer/bonding/composite; group 4: primer/saliva/rinsing/drying/bonding/composite; group 5: primer/bonding (cured)/saliva/rinsing/drying/primer/bonding/composite; group 6: primer/bonding (cured)/saliva/removing contaminated layer with a bur/rinsing/drying/primer/bonding/composite. Shear bond strength was tested after specimens were stored in distilled water at 37°C for 24 hours. One-way analysis of variance and Tukey post hoc tests were used for statistical analyses. For CSE, groups 2, 3, and 4 and for OSE, groups 6, 2, and 4 showed significantly lower bond strengths than the control group (pcontamination occurred after light polymerization of the bonding agent, repeating the bonding procedure recovered the bonding capacity of both self-etch adhesives. However, saliva contamination before or after primer application negatively affected their bond strength.

  16. Impact of recess etching and surface treatments on ohmic contacts regrown by molecular-beam epitaxy for AlGaN/GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Joglekar, S.; Azize, M.; Palacios, T. [Microsystems Technology Laboratories, Massachusetts Institute of Technology, 77 Massachusetts Avenue, Cambridge, Massachusetts 02139 (United States); Beeler, M.; Monroy, E. [Université Grenoble-Alpes, 38000 Grenoble (France); CEA Grenoble, INAC-PHELIQS, 38000 Grenoble (France)

    2016-07-25

    Ohmic contacts fabricated by regrowth of n{sup +} GaN are favorable alternatives to metal-stack-based alloyed contacts in GaN-based high electron mobility transistors. In this paper, the influence of reactive ion dry etching prior to regrowth on the contact resistance in AlGaN/GaN devices is discussed. We demonstrate that the dry etch conditions modify the surface band bending, dangling bond density, and the sidewall depletion width, which influences the contact resistance of regrown contacts. The impact of chemical surface treatments performed prior to regrowth is also investigated. The sensitivity of the contact resistance to the surface treatments is found to depend upon the dangling bond density of the sidewall facets exposed after dry etching. A theoretical model has been developed in order to explain the observed trends.

  17. Defect formation during chlorine-based dry etching and their effects on the electronic and structural properties of InP/InAsP quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    Landesman, Jean-Pierre, E-mail: jean-pierre.landesman@univ-rennes1.fr [Institut de Physique de Rennes, CNRS-UMR 6251, Université Rennes 1, F-35042 Rennes (France); Jiménez, Juan; Torres, Alfredo [GdS Optronlab, Dpto. Fisica de la Materia Condensada, Universidad de Valladolid, 47011 Valladolid (Spain); Levallois, Christophe; Léger, Yoan; Beck, Alexandre [UMR FOTON, CNRS, INSA-Rennes, 20 avenue des buttes de Coësmes, F-35708 Rennes (France); Pommereau, Frédéric [III-V Lab, 1 Avenue Augustin Fresnel, RD128, F-91767 Palaiseau (France); Frigeri, Cesare [CNR-IMEM Istituto, Parco area delle Scienze 37/A, 43010 Parma (Italy); Rhallabi, Ahmed [Institut des Matériaux Jean-Rouxel, CNRS-UMR 6502, Université Nantes 1, F-44322 Nantes (France)

    2016-07-15

    The general objective is the investigation of the defects formed by dry etching tools such as those involved in the fabrication of photonic devices with III–V semiconductors. Emphasis is put on plasma exposures with chlorine-based chemistries. In addition to identifying these defects and describing their effects on the electro-optic and structural properties, the long-term target would be to predict the impact on the parameters of importance for photonic devices, and possibly include these predictions in their design. The work is first centered on explaining the experimental methodology. This methodology starts with the design and growth of a quantum well structure on indium phosphide, including ternary indium arsenide/phosphide quantum wells with graded arsenic/phosphor composition. These samples have then been characterized by luminescence methods (photo- and cathodoluminescence), high-resolution transmission electron microscopy, and secondary ion mass spectrometry. As one of the parameters of importance in this study, the authors have also included the doping level. The samples have been exposed to the etching plasmas for “short” durations that do not remove completely the quantum wells, but change their optical signature. No masking layer with lithographic features was involved as this work is purely oriented to study the interaction between the plasma and the samples. A significant difference in the luminescence spectra of the as-grown undoped and doped samples is observed. A mechanism describing the effect of the built-in electric field appearing as a consequence of the doping profile is proposed. This mechanism involves quantum confined Stark effect and electric-field induced carrier escape from the quantum wells. In the following part, the effects of exposure to various chlorine-based plasmas were explored. Differences are again observed between the undoped and doped samples, especially for chemistries containing silicon tetrachloride. Secondary ion

  18. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  19. NUMBER OF COWS AND BAGS NEEDED TO ESTIMATE in situ DRY MATTER DEGRADATION OF KINGGRASS (Pennisetum purpureum) LEAVES

    OpenAIRE

    Epigmenio Castillo-Gallegos; Jesús Jarillo-Rodríguez; Ismael Cortes-Salazar; Braulio Valles-De la Mora; Eliazar Ocaña-Zavaleta

    2012-01-01

    The number of bags to be incubated per sample, and the number of fistulated cows needed to estimate in situ ruminal degradation of Kinggrass (Pennisetum purpureum) dry matter (DMD %) were determined. Three rumen-fistulated cows and 10 bags per incubation time (3, 6, 9, 12, 24, 48 and 72 h) were used. Five grams of dried leaves were weighed per bag. Variance components for cows (Vc) and bags (Vb) per incubation time were estimated and used to calculate the standard error (SE) of mean DMD. The ...

  20. Black Silicon formation using dry etching for solar cells applications

    International Nuclear Information System (INIS)

    Murias, D.; Reyes-Betanzo, C.; Moreno, M.; Torres, A.; Itzmoyotl, A.; Ambrosio, R.; Soriano, M.; Lucas, J.; Cabarrocas, P. Roca i

    2012-01-01

    A study on the formation of Black Silicon on crystalline silicon surface using SF 6 /O 2 and SF 6 /O 2 /CH 4 based plasmas in a reactive ion etching (RIE) system is presented. The effect of the RF power, chamber pressure, process time, gas flow rates, and gas mixtures on the texture of silicon surface has been analyzed. Completely Black Silicon surfaces containing pyramid like structures have been obtained, using an optimized mask-free plasma process. Moreover, the Black Silicon surfaces have demonstrated average values of 1% and 4% for specular and diffuse reflectance respectively, feature that is suitable for the fabrication of low cost solar cells.

  1. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  2. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  3. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  4. Faraday cage angled-etching of nanostructures in bulk dielectrics

    OpenAIRE

    Latawiec, Pawel; Burek, Michael J.; Sohn, Young-Ik; Lončar, Marko

    2016-01-01

    For many emerging optoelectronic materials, heteroepitaxial growth techniques do not offer the same high material quality afforded by bulk, single-crystal growth. However, the need for optical, electrical, or mechanical isolation at the nanoscale level often necessitates the use of a dissimilar substrate, upon which the active device layer stands. Faraday cage angled-etching (FCAE) obviates the need for these planar, thin-film technologies by enabling in-situ device release and isolation thro...

  5. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  6. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  7. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  8. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  9. Process defects and in situ monitoring methods in metal powder bed fusion: a review

    International Nuclear Information System (INIS)

    Grasso, Marco; Colosimo, Bianca Maria

    2017-01-01

    Despite continuous technological enhancements of metal Additive Manufacturing (AM) systems, the lack of process repeatability and stability still represents a barrier for the industrial breakthrough. The most relevant metal AM applications currently involve industrial sectors (e.g. aerospace and bio-medical) where defects avoidance is fundamental. Because of this, there is the need to develop novel in situ monitoring tools able to keep under control the stability of the process on a layer-by-layer basis, and to detect the onset of defects as soon as possible. On the one hand, AM systems must be equipped with in situ sensing devices able to measure relevant quantities during the process, a.k.a. process signatures. On the other hand, in-process data analytics and statistical monitoring techniques are required to detect and localize the defects in an automated way. This paper reviews the literature and the commercial tools for in situ monitoring of powder bed fusion (PBF) processes. It explores the different categories of defects and their main causes, the most relevant process signatures and the in situ sensing approaches proposed so far. Particular attention is devoted to the development of automated defect detection rules and the study of process control strategies, which represent two critical fields for the development of future smart PBF systems. (paper)

  10. Process defects and in situ monitoring methods in metal powder bed fusion: a review

    Science.gov (United States)

    Grasso, Marco; Colosimo, Bianca Maria

    2017-04-01

    Despite continuous technological enhancements of metal Additive Manufacturing (AM) systems, the lack of process repeatability and stability still represents a barrier for the industrial breakthrough. The most relevant metal AM applications currently involve industrial sectors (e.g. aerospace and bio-medical) where defects avoidance is fundamental. Because of this, there is the need to develop novel in situ monitoring tools able to keep under control the stability of the process on a layer-by-layer basis, and to detect the onset of defects as soon as possible. On the one hand, AM systems must be equipped with in situ sensing devices able to measure relevant quantities during the process, a.k.a. process signatures. On the other hand, in-process data analytics and statistical monitoring techniques are required to detect and localize the defects in an automated way. This paper reviews the literature and the commercial tools for in situ monitoring of powder bed fusion (PBF) processes. It explores the different categories of defects and their main causes, the most relevant process signatures and the in situ sensing approaches proposed so far. Particular attention is devoted to the development of automated defect detection rules and the study of process control strategies, which represent two critical fields for the development of future smart PBF systems.

  11. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  12. Quantitative Raman Spectroscopy to monitor microbial metabolism in situ under pressure

    Science.gov (United States)

    Picard, A.; Daniel, I.; Oger, P.

    2006-12-01

    Although high hydrostatic pressure (HHP) biotopes are ubiquitous on Earth, little is known about the metabolism of piezophile organisms. Cell culture under HHP can be technically challenging, and equipment- dependent. In addition, the depressurization step required for analysis can lead to erroneous data. Therefore, to understand how piezophile organisms react to pressure, it is crucial to be able to monitor their activity in situ under HHP. We developed the use of Quantitative Raman Spectroscopy (QRS, 1) to monitor in situ the metabolism of organic molecules. This technique is based on the specific spectral signature of an analyte from which its concentration can be deduced. An application of this technique to the monitoring of alcoholic fermentation by the piezotolerant micro-eucaryote Saccharomyces cerevisiae is presented. Ethanol fermentation from glucose was monitored during 24h from ambient P up to 100 MPa in the low- pressure Diamond Anvil Cell (lpDAC, 2). The experimental compression chamber consisted in a 300 μm-thick Ni gasket in which a 500 μm-diameter hole was drilled. Early-stationnary yeast cells were inoculated into fresh low-fluorescence medium containing 0.15 M of glucose. Ethanol concentration was determined in situ by QRS using the symmetric C-C stretching mode of ethanol at 878 cm-1 normalizing the data to the intensity of the sulfate S-O stretching mode at 980 cm-1. In our setup, the detection limit of ethanol is lower than 0.05 mM with a precision below 1%. At ambient P, ethanol production in the lpDAC and in control experiments proceeds with the same kinetics. Thus, yeast is not affected by its confinement. This is further confirmed by its ability to bud with a generation time similar to control experiments performed in glass tubes at ambient pressure inside the lpDAC. Ethanol production by yeast occurs to at least 65 MPa (3). At 10 MPa, fermentation proceeds 3 times faster than at ambient P. Fermentation rates decrease linearly from 20 to

  13. Low-loss slot waveguides with silicon (111 surfaces realized using anisotropic wet etching

    Directory of Open Access Journals (Sweden)

    Kapil Debnath

    2016-11-01

    Full Text Available We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI platform. Waveguides oriented along the (11-2 direction on the Si (110 plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.

  14. Self-aligned mask renewal for anisotropically etched circular micro- and nanostructures

    International Nuclear Information System (INIS)

    Kaspar, Peter; Jäckel, Heinz; Holzapfel, Sebastian; Windhab, Erich J

    2011-01-01

    The top–down fabrication of high aspect ratio circular micro- and nanostructures in silicon nitride is presented. A new method is introduced to increase the aspect ratio of anisotropically etched holes by a factor of more than two with respect to the results obtained from an established dry-etching process. The method is based on the renewal of an etching mask after a first etching step has been completed. Mask renewal is done by line-of-sight deposition of a masking layer on the surface of the sample, which is mounted at an angle with respect to the deposition direction. No additional alignment step is required. The proof of principle is performed for silicon nitride etching through a mask of titanium, but the method has great potential to be applicable to a wide variety of substrate–mask combinations and to find entrance into various engineering fields. Two specific applications are highlighted. Firstly, a thick silicon nitride hardmask is used for the fabrication of deeply etched photonic crystal holes in indium phosphide (InP). For holes of 280 nm diameter, a record aspect ratio of 20 and an overall selectivity of 28.5 between a positive-tone resist layer and InP are reported. Secondly, the use of perforated silicon nitride membranes for droplet formation for applications in food engineering or pharmaceutics is addressed. Preliminary results show a potential for the self-aligned mask renewal method to exceed state-of-the-art membrane quality in terms of pore size, aspect ratio and membrane stability.

  15. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  16. A nontransferring dry adhesive with hierarchical polymer nanohairs.

    Science.gov (United States)

    Jeong, Hoon Eui; Lee, Jin-Kwan; Kim, Hong Nam; Moon, Sang Heup; Suh, Kahp Y

    2009-04-07

    We present a simple yet robust method for fabricating angled, hierarchically patterned high-aspect-ratio polymer nanohairs to generate directionally sensitive dry adhesives. The slanted polymeric nanostructures were molded from an etched polySi substrate containing slanted nanoholes. An angled etching technique was developed to fabricate slanted nanoholes with flat tips by inserting an etch-stop layer of silicon dioxide. This unique etching method was equipped with a Faraday cage system to control the ion-incident angles in the conventional plasma etching system. The polymeric nanohairs were fabricated with tailored leaning angles, sizes, tip shapes, and hierarchical structures. As a result of controlled leaning angle and bulged flat top of the nanohairs, the replicated, slanted nanohairs showed excellent directional adhesion, exhibiting strong shear attachment (approximately 26 N/cm(2) in maximum) in the angled direction and easy detachment (approximately 2.2 N/cm(2)) in the opposite direction, with a hysteresis value of approximately 10. In addition to single scale nanohairs, monolithic, micro-nanoscale combined hierarchical hairs were also fabricated by using a 2-step UV-assisted molding technique. These hierarchical nanoscale patterns maintained their adhesive force even on a rough surface (roughness <20 microm) because of an increase in the contact area by the enhanced height of hierarchy, whereas simple nanohairs lost their adhesion strength. To demonstrate the potential applications of the adhesive patch, the dry adhesive was used to transport a large-area glass (47.5 x 37.5 cm(2), second-generation TFT-LCD glass), which could replace the current electrostatic transport/holding system with further optimization.

  17. A nontransferring dry adhesive with hierarchical polymer nanohairs

    KAUST Repository

    Jeong, H. E.

    2009-03-20

    We present a simple yet robust method for fabricating angled, hierarchically patterned high-aspect-ratio polymer nanohairs to generate directionally sensitive dry adhesives. The slanted polymeric nanostructures were molded from an etched polySi substrate containing slanted nanoholes. An angled etching technique was developed to fabricate slanted nanoholes with flat tips by inserting an etch-stop layer of silicon dioxide. This unique etching method was equipped with a Faraday cage system to control the ion-incident angles in the conventional plasma etching system. The polymeric nanohairs were fabricated with tailored leaning angles, sizes, tip shapes, and hierarchical structures. As a result of controlled leaning angle and bulged flat top of the nanohairs, the replicated, slanted nanohairs showed excellent directional adhesion, exhibiting strong shear attachment (approximately 26 N/cm(2) in maximum) in the angled direction and easy detachment (approximately 2.2 N/cm(2)) in the opposite direction, with a hysteresis value of approximately 10. In addition to single scale nanohairs, monolithic, micro-nanoscale combined hierarchical hairs were also fabricated by using a 2-step UV-assisted molding technique. These hierarchical nanoscale patterns maintained their adhesive force even on a rough surface (roughness <20 microm) because of an increase in the contact area by the enhanced height of hierarchy, whereas simple nanohairs lost their adhesion strength. To demonstrate the potential applications of the adhesive patch, the dry adhesive was used to transport a large-area glass (47.5 x 37.5 cm(2), second-generation TFT-LCD glass), which could replace the current electrostatic transport/holding system with further optimization.

  18. Applications for Track-etched Templates and Films

    International Nuclear Information System (INIS)

    Ferain, E.

    2006-01-01

    Track etching technology of first generation is mainly used for the production of self-supported membranes made of polycarbonate (PC) or polyethylene terephthalate (PET) with randomly distributed pores. Typical membrane thickness is between 10 and 20 microns and pore size is in the range 0,1 μm to 10 μm. Second generation track etching technology overcomes many of limitations and offers new advantages : true nanopores down to 10 nm with well-controlled pore shape in a large range of pore densities, use of polymer (polyimide-PI) resistant to high temperature (up to 430 degree), ability to track etch a thin polymeric layer deposited on a substrate (such as glass, quartz, silicon, oxides, ...) and ability to confine nanopores into zones as small as 10 micron square (patterning process). This second generation technology, when applied to larger pore size, also contributes to a better membrane with potential benefits as e.g. a more precise cut-off. Another feature of the second generation technology is the patterning of the polymer layers - i.e. the nanopores can be grown in defined areas of the polymer layers. Smart membranes are used as separation barriers and flow controllers in devices such as chemical and biochemical sensors and analysers (lab on a chip, microtitre plates, ...). For example, a specific track etched membrane has been designed to be used as a selective separation barrier in a project intends to develop, improve and validate an efficient reliable bioartificial pancreas for human application. A variety of materials (metals, semiconductors, oxides, heterostructures) can be deposited into the pores as nanowires or nanotubes; these structures can be produced with over wide range of aspect ratios with excellent shape control, and can be either used in-situ or easily harvested by simple chemical dissolution

  19. Seismic monitoring of in situ combustion process in a heavy oil field

    International Nuclear Information System (INIS)

    Zadeh, Hossein Mehdi; Srivastava, Ravi P; Vedanti, Nimisha; Landrø, Martin

    2010-01-01

    Three time-lapse 3D seismic surveys are analysed to monitor the effect of in situ combustion, a thermal-enhanced oil recovery process in the Balol heavy oil reservoir in India. The baseline data were acquired prior to the start of the in situ combustion process in four injection wells, while the two monitor surveys were acquired 1 and 2 years after injection start, respectively. We present the results of baseline and second monitor surveys. Fluid substitution studies based on acoustic well logs predict a seismic amplitude decrease at the top reservoir and an increase at the base reservoir. Both the amplitude dimming at the top reservoir and the brightening at the base reservoir are observed in the field data. The extent of the most pronounced 4D anomaly is estimated from the seismic amplitude and time shift analysis. The interesting result of seismic analysis is that the anomalies are laterally shifted towards the northwest, rather than the expected east, from the injector location suggesting a northwest movement of the in situ combustion front. No clear evidence of air leakage into other sand layers, neither above nor below the reservoir sand, is observed. This does not necessarily mean that all the injected air is following the reservoir sand, especially if the thief sand layers are thin. These layers might be difficult to observe on seismic data

  20. Preparation and analysis of amorphous carbon films deposited from (C{sub 6}H{sub 12})/Ar/He chemistry for application as the dry etch hard mask in the semiconductor manufacturing process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seungmoo [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of); TC Technology Team, Samsung Electronics Co. Ltd., Gyeounggi-Do, 446-711 (Korea, Republic of); Won, Jaihyung; Choi, Jongsik [TC Technology Team, Samsung Electronics Co. Ltd., Gyeounggi-Do, 446-711 (Korea, Republic of); Jang, Samseok [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of); Jee, Yeonhong; Lee, Hyeondeok [TC Technology Team, Samsung Electronics Co. Ltd., Gyeounggi-Do, 446-711 (Korea, Republic of); Byun, Dongjin, E-mail: dbyun@korea.ac.kr [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of)

    2011-08-01

    Amorphous carbon layers (ACL) were deposited on Si (100) wafers by plasma enhanced chemical vapor deposition (PECVD) by using 1-hexene (C{sub 6}H{sub 12}) as a carbon source for dry etch hard mask of semiconductor devices manufacturing process. The deposition characteristics and film properties were investigated by means of ellipsometry, Raman spectroscopy, X-ray photo electron spectroscopy (XPS) and stress analysis. Hardness, Young's modulus, and surface roughness of ACL deposited at 550 deg. C were investigated by using nano-indentation and AFM. The deposition rate was decreased from 5050 A/min to 2160 A/min, and dry etch rate was decreased from 2090 A/min to 1770 A/min, and extinction coefficient was increased from 0.1 to 0.5. Raman analysis revealed a higher shift of the G-peak and a lower shift of the D-peak and the increase of I(D)/I(G) ratio as the deposition temperature was increased from 350 deg. C to 550 deg. C. XPS results of ACL deposited at 550 deg. C revealed a carbon 1s binding energy of 284.4 eV. The compressive film stress was decreased from 2.95 GPa to 1.28 GPa with increasing deposition temperature. The hardness and Young's modulus of ACL deposited at 550 deg. C were 5.8 GPa and 48.7 GPa respectively. The surface roughness RMS of ACL deposited at 550 deg. C was 2.24 A, and that after cleaning in diluted HF solution (H{sub 2}O:HF = 200:1), SC1 (NH{sub 4}OH:H{sub 2}O{sub 2}:H{sub 2}O = 1:4:20) solution, and sulfuric acid solution (H{sub 2}SO{sub 4}:H{sub 2}O{sub 2} = 6:1) was 2.28 A, 2.30 A and 7.34 A, respectively. The removal amount of ACL deposited at 550 deg. C in diluted HF solution, SC1 solution and sulfuric acid solution was 6 A, 36 A and 110 A, respectively. These results demonstrated the viability of ACL deposited by PECVD from C{sub 6}H{sub 12} at 550 deg. C for application as the dry etch hard mask in fabrication of semiconductor devices.

  1. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  2. In situ monitoring of structure formation in the active layer of polymer solar cells during roll-to-roll coating

    Directory of Open Access Journals (Sweden)

    Lea H. Rossander

    2014-08-01

    Full Text Available The active layer crystallization during roll-to-roll coating of organic solar cells is studied in situ. We developed an X-ray setup where the coater unit is an integrated part of the small angle X-ray scattering instrument, making it possible to control the coating process while recording scattering measurements in situ, enabling us to follow the crystal formation during drying. By varying the distance between the coating head and the point where the X-ray beam hits the film, we obtained measurements of 4 different stages of drying. For each of those stages, the scattering from as long a foil as possible is summed together, with the distance from coating head to scattering point kept constant. The results are average crystallographic properties for the active layer coated on a 30 m long foil. With this insight into the dynamics of crystallization in a roll-coated polymer film, we find that the formation of textured and untextured crystallites seems uncorrelated, and happens at widely different rates. Untextured P3HT crystallites form later in the drying process than expected which may explain previous studies speculating that untextured crystallization depends on concentration. Textured crystallites, however, begin forming much earlier and steadily increases as the film dries, showing a development similar to other in situ studies of these materials.

  3. In Situ Cross-Linking of Stimuli-Responsive Hemicellulose Microgels during Spray Drying

    Science.gov (United States)

    2015-01-01

    Chemical cross-linking during spray drying offers the potential for green fabrication of microgels with a rapid stimuli response and good blood compatibility and provides a platform for stimuli-responsive hemicellulose microgels (SRHMGs). The cross-linking reaction occurs rapidly in situ at elevated temperature during spray drying, enabling the production of microgels in a large scale within a few minutes. The SRHMGs with an average size range of ∼1–4 μm contain O-acetyl-galactoglucomannan as a matrix and poly(acrylic acid), aniline pentamer (AP), and iron as functional additives, which are responsive to external changes in pH, electrochemical stimuli, magnetic field, or dual-stimuli. The surface morphologies, chemical compositions, charge, pH, and mechanical properties of these smart microgels were evaluated using scanning electron microscopy, IR, zeta potential measurements, pH evaluation, and quantitative nanomechanical mapping, respectively. Different oxidation states were observed when AP was introduced, as confirmed by UV spectroscopy and cyclic voltammetry. Systematic blood compatibility evaluations revealed that the SRHMGs have good blood compatibility. This bottom-up strategy to synthesize SRHMGs enables a new route to the production of smart microgels for biomedical applications. PMID:25630464

  4. In situ cross-linking of stimuli-responsive hemicellulose microgels during spray drying.

    Science.gov (United States)

    Zhao, Weifeng; Nugroho, Robertus Wahyu N; Odelius, Karin; Edlund, Ulrica; Zhao, Changsheng; Albertsson, Ann-Christine

    2015-02-25

    Chemical cross-linking during spray drying offers the potential for green fabrication of microgels with a rapid stimuli response and good blood compatibility and provides a platform for stimuli-responsive hemicellulose microgels (SRHMGs). The cross-linking reaction occurs rapidly in situ at elevated temperature during spray drying, enabling the production of microgels in a large scale within a few minutes. The SRHMGs with an average size range of ∼ 1-4 μm contain O-acetyl-galactoglucomannan as a matrix and poly(acrylic acid), aniline pentamer (AP), and iron as functional additives, which are responsive to external changes in pH, electrochemical stimuli, magnetic field, or dual-stimuli. The surface morphologies, chemical compositions, charge, pH, and mechanical properties of these smart microgels were evaluated using scanning electron microscopy, IR, zeta potential measurements, pH evaluation, and quantitative nanomechanical mapping, respectively. Different oxidation states were observed when AP was introduced, as confirmed by UV spectroscopy and cyclic voltammetry. Systematic blood compatibility evaluations revealed that the SRHMGs have good blood compatibility. This bottom-up strategy to synthesize SRHMGs enables a new route to the production of smart microgels for biomedical applications.

  5. In situ degradability of dry matter and fibrous fraction of sorghum silage

    Directory of Open Access Journals (Sweden)

    Renê Ferreira Costa

    2016-05-01

    Full Text Available This study aimed to evaluate in situ degradability and degradation kinetics of DM, NDF and ADF of silage, with or without tannin in the grains. Two isogenic lines of grain sorghum (CMS-XS 114 with tannin and CMS-XS 165 without tannin and two sorghum hybrids (BR-700 dual purpose with tannin and BR-601 forage without tannin were ensiled; dried and ground silage samples were placed in nylon bags and introduced through the fistulas. After incubation for 6, 12, 24, 48, 72 and 96 hours, bags were taken for subsequent analysis of fibrous fractions. The experimental design was completely randomized with 4 replicates and 4 treatments and means compared by Tukey’s test at 5% probability. As for the DM degradation rate, silage of CMSXS165without tannin was superior. Silages of genotypes BR700 and CMSXS 114 with tannin showed the highest values of indigestible ADF (59.54 and 43.09%. Regarding the NDF, the potential degradation of silage of CMSXS165 line without tannin was superior. Tannin can reduce ruminal degradability of the dry matter and fibrous fractions.

  6. Moisture variation associated with water input and evaporation during sewage sludge bio-drying.

    Science.gov (United States)

    Cai, Lu; Gao, Ding; Chen, Tong-Bin; Liu, Hong-Tao; Zheng, Guo-Di; Yang, Qi-Wei

    2012-08-01

    The variation of moisture during sewage sludge bio-drying was investigated. In situ measurements were conducted to monitor the bulk moisture and water vapor, while the moisture content, water generation, water evaporation and aeration water input of the bio-drying bulk were calculated based on the water mass balance. The moisture in the sewage sludge bio-drying material decreased from 66% to 54% in response to control technology for bio-drying. During the temperature increasing and thermophilic phases of sewage sludge bio-drying, the moisture content, water generation and water evaporation of the bulk initially increased and then decreased. The peak water generation and evaporation occurred during the thermophilic phase. During the bio-drying, water evaporation was much greater than water generation, and aeration facilitated the water evaporation. Copyright © 2012. Published by Elsevier Ltd.

  7. System Configuration Management Implementation Procedure for the Cold Vacuum Drying Facility Monitoring and Control System

    International Nuclear Information System (INIS)

    ANGLESEY, M.O.

    2000-01-01

    The purpose of this document is to establish the System Configuration Management Implementation Procedure (SCMIP) for the Cold Vacuum Drying Facility (CVDF) Monitoring and Control System (MCS). This procedure provides configuration management for the process control system. The process control system consists of equipment hardware and software that controls and monitors the instrumentation and equipment associated with the CVDF processes. Refer to SNF-3090, Cold Vacuum Drying Facility Monitoring and Control System Design Description, HNF-3553, Annex B, Safety Analysis Report for the Cold Vacuum Drying Facility, and AP-CM-6-037-00, SNF Project Process Automation Software and Equipment Configuration. This SCMIP identifies and defines the system configuration items in the control system, provides configuration control throughout the system life cycle, provides configuration status accounting, physical protection and control, and verifies the completeness and correctness of these items

  8. Fabrication of submicron structures in nanoparticle/polymer composite by holographic lithography and reactive ion etching

    Science.gov (United States)

    Zhang, A. Ping; He, Sailing; Kim, Kyoung Tae; Yoon, Yong-Kyu; Burzynski, Ryszard; Samoc, Marek; Prasad, Paras N.

    2008-11-01

    We report on the fabrication of nanoparticle/polymer submicron structures by combining holographic lithography and reactive ion etching. Silica nanoparticles are uniformly dispersed in a (SU8) polymer matrix at a high concentration, and in situ polymerization (cross-linking) is used to form a nanoparticle/polymer composite. Another photosensitive SU8 layer cast upon the nanoparticle/SU8 composite layer is structured through holographic lithography, whose pattern is finally transferred to the nanoparticle/SU8 layer by the reactive ion etching process. Honeycomb structures in a submicron scale are experimentally realized in the nanoparticle/SU8 composite.

  9. Nanostructuring of Mo/Si multilayers by means of reactive ion etching using a three-level mask

    International Nuclear Information System (INIS)

    Dreeskornfeld, L.; Haindl, G.; Kleineberg, U.; Heinzmann, U.; Shi, F.; Volland, B.; Rangelow, I.W.; Majkova, E.; Luby, S.; Kostic,; Matay, L.; Hrkut, P.; Hudek, P.; Lee, H.-Y.

    2004-01-01

    Recently, Mo/Si multilayer reflectors have been gaining industry interest as a promising choice for the next generation extreme ultraviolet mask material for printing sub 70 nm feature size devices. A reactive ion etching system with optimized hardware using CHF 3 /Ar process regime shows the capability for highly anisotropic etching of sub congruent with 400 nm feature sizes in Mo/Si test multilayers with ten periods and a bilayer thickness of 7.8 nm which were prepared by e-beam evaporation. A three-level-mask technique consisting of a top resist mask layer poly-methyl-meth-acrylate, a middle hard amorphous Si mask layer and a bottom-level polyimide layer is used to create the etch mask. The etch characteristics of the polyimide film is shown to be one of the major factors determining the success of the described multilayer etching process. The developed etching technology demonstrates superior process performance without facets, excellent uniformity and good profile control. No contamination, degeneration or defect generation in the unetched multilayer structure could be detected. This non-conventional process results in minimum deposition during the etching thus eliminating the need for a dry or wet cleaning. Sidewall angles in Mo/Si multilayers of 85 deg. , without undercut, bowing and ripples resulting in smooth sidewalls are achieved

  10. Studies of particle drying using non-invasive Raman spectrometry and particle size analysis.

    Science.gov (United States)

    Hamilton, Peter; Littlejohn, David; Nordon, Alison; Sefcik, Jan; Slavin, Paul; Dallin, Paul; Andrews, John

    2011-05-21

    The evaporation of methanol from needle-shaped particles of cellobiose octaacetate (COA) has been studied directly in a jacketed vacuum drier using in situ measurements by Raman spectrometry. A design of experiments (DoE) approach was used to investigate the effects of three parameters (method of agitation, % solvent loss on drying and jacket temperature), with the intention of minimising the drying time and extent of particle attrition. Drying curves based on Raman signals for methanol and COA in the spectra of the wet particles indicated the end of drying and revealed three stages in the drying process that could be used to monitor the progress of solvent removal in real time. Off-line particle size measurements based on laser diffraction were made to obtain information on the extent of attrition, to compare with the trends revealed by the Raman drying curves. The study demonstrated that non-invasive Raman spectrometry can be used to study the progress of drying during agitation of particles in a vacuum drier, allowing optimisation of operating conditions to minimise attrition and reduce drying times. Although a correlation between particle size and off-line Raman measurements of COA was demonstrated, it was not possible to derive equivalent information from the in situ Raman spectra owing to the greater effects of particle motion or bulk density variations of the particles in the drier.

  11. Gunite and associated tanks dry well conductivity monitoring report, Oak Ridge National Laboratory, Oak Ridge, Tennessee, February 1998 - December 1998

    International Nuclear Information System (INIS)

    1999-04-01

    A waste removal program is being implemented for the Gunite and Associated Tanks Operable Unit at Oak Ridge National Laboratory, Oak Ridge, Tennessee. The waste is being removed by means of remotely operated, in-tank, confined sluicing equipment. During sluicing operations the dry wells adjacent to each of the tanks are instrumented so that potential releases can be detected by means external to the tank. The method of detection is by monitoring the electrical conductivity of the water in the dry well associated with each tank. This report documents the dry well conductivity monitoring data for the period from February 1998 through December 1998. The dry wells monitored during this period include DW-5, DW-6, DW-7, DW-8, DW-9 and DW-10. The conductivity of the water passing through Pump Station 1 (PS1) was also monitored. During this period the sluicing activities at Tank W-6 were initiated and successfully completed. In addition, flight mixers were used to remove wastes from Tank W-5, and sluicing operations were initiated on Tank W-7. Presented in this report are the dry well conductivity, rainfall, tank level, and other appropriate information relevant to the analysis and interpretation of the monitoring data for the reporting period. A thorough analysis of the monitoring results from the six dry wells in the STF and PS1 for the period between February 1998 and December 1998 indicates that no releases have occurred from the gunite tanks being monitored. Overall, the dry well conductivity monitoring continues to provide a robust and sensitive method for detecting potential releases from the gunite tanks and for monitoring seasonal and construction-related changes in the dry well and drain system

  12. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    Directory of Open Access Journals (Sweden)

    Woong-Kirl Choi

    2018-01-01

    Full Text Available Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks.

  13. The development of sensors and techniques for in situ water quality monitoring

    Science.gov (United States)

    Liu, C. C.

    1976-01-01

    Enzyme electrodes and chloride ion electrodes were investigated for in situ monitoring of water quality. Preliminary results show that miniature chloride ion electrodes and a phenol sensor are most promising in determining trace contaminants in water.

  14. Evaluation of different polymers for fast neutron personnel dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Gammage, R.B.; Cotter, S.J.

    1977-01-01

    There is considerable optimism for the enhancement by electrochemical etching of fast neutron-induced recoil tracks in polycarbonate for the purpose of personnel dosimetry. The threshold energy, however, is rather high. A desirable improvement would be to lower this energy below 1 MeV. With this objective in mind, we have commenced an investigation of cellulose acetate, triacetate, and acetobutyrate in addition to polycarbonate. These cellulose derivatives are chemically more reactive and physically weaker than polycarbonate. It might, therefore, be possible to initiate the electrochemical amplification at the sites of shorter recoil atom damage tracks than is possible with polycarbonate. Some characteristics important for electrochemically etching in aqueous electrolytes are listed. Chemical etching is combined with treeing, an electrical breakdown process that starts when the dielectric strength is exceeded. These mechanical and electrical properties pertain to the dry plastics. The absorption of water molecules and electrolyte ions will cause these values to be reduced. Results and conclusions of the study are presented

  15. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  16. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  17. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  18. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  19. Bias-assisted KOH etching of macroporous silicon membranes

    International Nuclear Information System (INIS)

    Mathwig, K; Geilhufe, M; Müller, F; Gösele, U

    2011-01-01

    This paper presents an improved technique to fabricate porous membranes from macroporous silicon as a starting material. A crucial step in the fabrication process is the dissolution of silicon from the backside of the porous wafer by aqueous potassium hydroxide to open up the pores. We improved this step by biasing the silicon wafer electrically against the KOH. By monitoring the current–time characteristics a good control of the process is achieved and the yield is improved. Also, the etching can be stopped instantaneously and automatically by short-circuiting Si and KOH. Moreover, the bias-assisted etching allows for the controlled fabrication of silicon dioxide tube arrays when the silicon pore walls are oxidized and inverted pores are released.

  20. In-situ formation compaction monitoring in deep reservoirs by use of fiber optics

    Directory of Open Access Journals (Sweden)

    H. Ikeda

    2015-11-01

    Full Text Available We have devised a new in situ monitoring method for the amount of stratified compaction in borehole drilled several hundred meters underground. This newly developed epoch-making monitoring system differs from conventional monitoring methods for land subsidence in that it is designed to continuously monitor the amounts of displacement in several intervals separately, using optical fibers fitted in the sensor assembly. This report presents results from a deep observation well. This is a continued report from the previous one on EISOLS 2010.

  1. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  2. A study on etching of UO2, Co, and Mo surface with R.F. plasma using CF4 and O2

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Seo, Yong Dae

    2003-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds while metallic Co and Mo are selected because they are the principal contaminants in the used metallic nuclear components such as valves and pipes made of stainless steel or Inconel. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 in CF 4 /O 2 mixture gas is 20%, regardless of temperature and r.f. power. In case of UO 2 , the highest etching reaction rate is greater than 1000 monolayers/min. at 370 .deg. C under 150 W r.f. power which is equivalent to 0.4 μm/min. As for Co, etching reaction begins to take place significantly when the temperature exceeds 350 .deg. C. Maximum etching rate achieved at 380 .deg. C is 0.06 μm/min. Mo etching reaction takes place vigorously even at relatively low temperature and the reaction rate increases drastically with increasing temperature. Highest etching rate at 380 .deg. C is 1.9 μm /min. According to OES (Optical Emission Spectroscopy) and AES (Auger Electron Spectroscopy) analysis, primary reaction seems to be a fluorination reaction, but carbonyl compound formation reaction may assist the dominant reaction, especially in case of Co and Mo. Through this basic study, the feasibility and the applicability of plasma decontamination technique are demonstrated

  3. Task 1. Monitoring real time materials degradation. NRC extended In-situ and real-time Monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Bakhtiari, Sasan [Argonne National Lab. (ANL), Argonne, IL (United States)

    2012-03-01

    The overall objective of this project was to perform a scoping study to identify, in concert with the nuclear industry, those sensors and techniques that have the most promising commercial viability and fill a critical inspection or monitoring need. Candidates to be considered include sensors to monitor real-time material degradation, characterize residual stress, monitor and inspect component fabrication, assess radionuclide and associated chemical species concentrations in ground water and soil, characterize fuel properties, and monitor severe accident conditions. Under Task 1—Monitoring Real-Time Materials Degradation—scoping studies were conducted to assess the feasibility of potential inspection and monitoring technologies (i.e., a combination of sensors, advanced signal processing techniques, and data analysis methods) that could be utilized in LWR and/or advanced reactor applications for continuous monitoring of degradation in-situ. The goal was to identify those techniques that appear to be the most promising, i.e., those that are closest to being both technically and commercially viable and that the nuclear industry is most likely to pursue. Current limitations and associated issues that must be overcome before commercial application of certain techniques have also been addressed.

  4. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  5. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  6. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  7. Anisotropic etching of silicon for application in micro machine using plasma of SF6/CH4/O2/Ar and SF6/CF4/O2/Ar

    International Nuclear Information System (INIS)

    Reyes B, C.; Moshkalyov, S.A.; Swart, J.W.

    2004-01-01

    We investigated the reactive ion etching of silicon using SF 6 /CH 4 (CF 4 )/O 2 /Ar gas mixtures containing fluorine for MEMS applications. Etch rates and anisotropy of etch profiles were examined as a function of gas composition, material of electrode, and RF power. Etch depths were measured using a profilometers, and etch profiles were analyzed by scanning electron microscope. As a mask material, an aluminium film deposited by evaporation, was used. High anisotropy of etching of 0.95 was achieved at etch depths up to 20-30 micrometers and etch rates of approximately 0.3-0.6 μm/min. Highly anisotropic etching is based on a mechanism that enhance the ion bombarding and protects the sidewalls due to polymerization and/or oxidation mechanisms in order to avoid the lateral etch. However, under the anisotropic etching conditions, considerable damages of the etched surfaces (roughness formation), were observed. After etching experiments, wet / dry cleaning procedures were applied to remove surface residues resulting from the reactive ion etching and to improve the etched surface morphology. (Author)

  8. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  9. ICP etching for InAs-based InAs/GaAsSb superlattice long wavelength infrared detectors

    Science.gov (United States)

    Huang, Min; Chen, Jianxin; Xu, Jiajia; Wang, Fangfang; Xu, Zhicheng; He, Li

    2018-05-01

    In this work, we study and report the dry etching processes for InAs-based InAs/GaAsSb strain-free superlattice long wavelength infrared (LWIR) detectors. The proper etching parameters were first obtained through the parametric studies of Inductively Coupled Plasma (ICP) etching of both InAs and GaSb bulk materials in Cl2/N2 plasmas. Then an InAs-based InAs/GaAsSb superlattice LWIR detector with PπN structure was fabricated by using the optimized etching parameters. At 80 K, the detector exhibits a 100% cut-off wavelength of 12 μm and a responsivity of 1.5 A/W. Moreover, the dark current density of the device under a bias of -200 mV reaches 5.5 × 10-4 A/cm2, and the R0A is 15 Ω cm2. Our results pave the way towards InAs-based superlattice LWIR detectors with better performances.

  10. Fabrication of combined-scale nano- and microfluidic polymer systems using a multilevel dry etching, electroplating and molding process

    DEFF Research Database (Denmark)

    Tanzi, Simone; Østergaard, Peter Friis; Matteucci, Marco

    2012-01-01

    Microfabricated single-cell capture and DNA stretching devices have been produced by injection molding. The fabrication scheme employed deep reactive ion etching in a silicon substrate, electroplating in nickel and molding in cyclic olefin polymer. This work proposes technical solutions to fabric......Microfabricated single-cell capture and DNA stretching devices have been produced by injection molding. The fabrication scheme employed deep reactive ion etching in a silicon substrate, electroplating in nickel and molding in cyclic olefin polymer. This work proposes technical solutions...

  11. The MAFF dry cloth collector programme for monitoring airborne radioactivity

    International Nuclear Information System (INIS)

    McHugh, J.O.; Smith, B.D.; Hunt, G.J.; Thomas, R.E.G.

    1986-01-01

    The history of the MAFF airborne radioactivity monitoring programme and its current operation using dry cloth collectors are described. The detection system has become well established as a sensitive indicator of airborne radioactivity. Details of collector materials, deployment around the major UK nuclear establishments and procedures for radiometric analysis of cloths are given. Typical results for the period 1980-82 show that at most sites only nuclear weapons fallout was detected. The systems's usefulness is exemplified by its response to the release of I-131 from Sellafield in 1981; this release was of negligible radiological significance but was easily detected. The response of dry cloths to various sources of atmospheric radioactivity and factors affecting collection efficiency are discussed. (author)

  12. Influence of Conditioning Time of Universal Adhesives on Adhesive Properties and Enamel-Etching Pattern.

    Science.gov (United States)

    Cardenas, A M; Siqueira, F; Rocha, J; Szesz, A L; Anwar, M; El-Askary, F; Reis, A; Loguercio, A

    2016-01-01

    To evaluate the effect of application protocol in resin-enamel microshear bond strength (μSBS), in situ degree of conversion, and etching pattern of three universal adhesive systems. Sixty-three extracted third molars were sectioned in four parts (buccal, lingual, and proximals) and divided into nine groups, according to the combination of the main factors-Adhesive (Clearfil Universal, Kuraray Noritake Dental Inc, Tokyo, Japan; Futurabond U, VOCO, Cuxhaven, Germany; and Scotchbond Universal Adhesive, 3M ESPE, St Paul, MN, USA)-and enamel treatment/application time (etch-and-rinse mode [ER], self-etch [SE] application for 20 seconds [SE20], and SE application for 40 seconds [SE40]). Specimens were stored in water (37°C/24 h) and tested at 1.0 mm/min (μSBS). The degree of conversion of the adhesives at the resin-enamel interfaces was evaluated using micro-Raman spectroscopy. The enamel-etching pattern was evaluated under a scanning electron microscope. Data were analyzed with two-way analysis of variance and Tukey test (α=0.05). In general, the application of the universal adhesives in the SE40 produced μSBS and degree of conversion that were higher than in the SE20 (puniversal adhesives in the SE mode may be a viable alternative to increase the degree of conversion, etching pattern, and resin-enamel bond strength.

  13. Status analysis for the confinement monitoring technology of PWR spent nuclear fuel dry storage system

    Energy Technology Data Exchange (ETDEWEB)

    Baeg, Chang Yeal; Cho, Chun Hyung [Korea Radioactive Waste Agency, Daejeon (Korea, Republic of)

    2016-03-15

    Leading national R and D project to design a PWR spent nuclear fuel interim dry storage system that has been under development since mid-2009, which consists of a dual purpose metal cask and concrete storage cask. To ensure the safe operation of dry storage systems in foreign countries, major confinement monitoring techniques currently consist of pressure and temperature measurement. In the case of a dual purpose metal cask, a pressure sensor is installed in the interspace of bolted double lid(primary and secondary lid) in order to measure pressure. A concrete storage cask is a canister based system made of double/redundant welded lid to ensure confinement integrity. For this reason, confinement monitoring method is real time temperature measurement by thermocouple placed in the air flow(air intake and exit) of the concrete structure(over pack and module). The use of various monitoring technologies and operating experiences for the interim dry storage system over the last decades in foreign countries were analyzed. On the basis of the analysis above, development of the confinement monitoring technology that can be used optimally in our system will be available in the near future.

  14. In situ, dual-mode monitoring of organ-on-a-chip with smartphone-based fluorescence microscope.

    Science.gov (United States)

    Cho, Soohee; Islas-Robles, Argel; Nicolini, Ariana M; Monks, Terrence J; Yoon, Jeong-Yeol

    2016-12-15

    The use of organ-on-a-chip (OOC) platforms enables improved simulation of the human kidney's response to nephrotoxic drugs. The standard method of analyzing nephrotoxicity from existing OOC has majorly consisted of invasively collecting samples (cells, lysates, media, etc.) from an OOC. Such disruptive analyses potentiate contamination, disrupt the replicated in vivo environment, and require expertize to execute. Moreover, traditional analyses, including immunofluorescence microscopy, immunoblot, and microplate immunoassay are essentially not in situ and require substantial time, resources, and costs. In the present work, the incorporation of fluorescence nanoparticle immunocapture/immunoagglutination assay into an OOC enabled dual-mode monitoring of drug-induced nephrotoxicity in situ. A smartphone-based fluorescence microscope was fabricated as a handheld in situ monitoring device attached to an OOC. Both the presence of γ-glutamyl transpeptidase (GGT) on the apical brush-border membrane of 786-O proximal tubule cells within the OOC surface, and the release of GGT to the outflow of the OOC were evaluated with the fluorescence scatter detection of captured and immunoagglutinated anti-GGT conjugated nanoparticles. This dual-mode assay method provides a novel groundbreaking tool to enable the internal and external in situ monitoring of the OOC, which may be integrated into any existing OOCs to facilitate their subsequent analyses. Copyright © 2016 Elsevier B.V. All rights reserved.

  15. In Situ Monitoring of Temperature inside Lithium-Ion Batteries by Flexible Micro Temperature Sensors

    Directory of Open Access Journals (Sweden)

    Pei-Chi Chen

    2011-10-01

    Full Text Available Lithium-ion secondary batteries are commonly used in electric vehicles, smart phones, personal digital assistants (PDA, notebooks and electric cars. These lithium-ion secondary batteries must charge and discharge rapidly, causing the interior temperature to rise quickly, raising a safety issue. Over-charging results in an unstable voltage and current, causing potential safety problems, such as thermal runaways and explosions. Thus, a micro flexible temperature sensor for the in in-situ monitoring of temperature inside a lithium-ion secondary battery must be developed. In this work, flexible micro temperature sensors were integrated into a lithium-ion secondary battery using the micro-electro-mechanical systems (MEMS process for monitoring temperature in situ.

  16. Environmental monitoring with in-situ gamma spectrometer; Umweltueberwachung mit in-situ-Gamma-Spektrometer

    Energy Technology Data Exchange (ETDEWEB)

    Reinhardt, S. [ENVINET GmbH, Haar (Germany)

    2014-01-20

    The in-situ gamma spectroscopy allows large area and continuous monitoring of the radio nuclides and there composition in the environment. In comparison to the gamma dose rate measurement the additional spectral information gives the possibility for a quick and effective action in the case of a man-made radiation exposition in the environment. The knowledge respectively localization of the possible nuclides, which a responsible for the increased dose rate, supports responsible organization in the quick identification of the situation, definition of the actions and tracking of the temporal and local process of the radiation exposition. Due to dedicate actions the risk for people and environment is reduced.

  17. In-situ electrical analysis in view of monitoring the processing of thermoplastics

    Science.gov (United States)

    Gonnet, J. M.; Guillet, J.; Ainser, A.; Boiteux, G.; Fulchiron, R.; Seytre, Gerard

    1999-12-01

    In the last recent years, electrical techniques like microdielectrometry have presented an attracting and increasing interest for continuous monitoring, in a nondestructive way, of the advancement of the reaction of thermoset resins under cure. We think that the use of electrical analysis for in situ monitoring of chemical reactions can be extended to get information on thermoplastic and the physical phenomena such sa crystallization or study of residence time distribution in processing machines such as extruders.

  18. Ga+ focused-ion-beam implantation-induced masking for H2 etching of ZnO films

    International Nuclear Information System (INIS)

    Fang, Hsin-Chiao; Huang, Jun-Han; Chu, Wen-Huei; Liu, Chuan-Pu

    2010-01-01

    Gallium implantation of ZnO by a focused-ion beam is used to create a mask for ZnO dry etching with hydrogen. Effects of Ga + fluence on the etch stop properties and the associated mechanisms are investigated. The fluence of 2.8 x 10 16 cm -2 is determined to be optimum to render the best mask quality. While lower fluences would cause less etching selectivity, higher fluences would cause erosion of the surface and particles to be precipitated on the surface after H 2 treatment at high temperature. In contrast to the commonly adopted gallium oxide formation on Si, transmission electron microscopy analysis reveals that, for the fluences ≤ 2.8 x 10 16 cm -2 , Ga + ions are incorporated as dopants into ZnO without any second phases or precipitates, indicating the Ga-doped ZnO layer behaves as a mask for H 2 etching due to the higher electronegativity of Ga + towards oxygen. However, for the fluences ≥ 4.6 x 10 16 cm -2 , the surface particles are responsible for the etch stop and are identified as ZnGa 2 O 4 . We finally demonstrate a complicated pattern of 'NCKU' on ZnO by using this technique. The study not only helps clarify the related mechanisms, but also suggests a feasible extension of the etch stop process that can be applied to more functional material.

  19. Building the vegetation drought response index for Canada (VegDRI-Canada) to monitor agricultural drought: first results

    Science.gov (United States)

    Tadesse, Tsegaye; Champagne, Catherine; Wardlow, Brian D.; Hadwen, Trevor A.; Brown, Jesslyn; Demisse, Getachew B.; Bayissa, Yared A.; Davidson, Andrew M.

    2017-01-01

    Drought is a natural climatic phenomenon that occurs throughout the world and impacts many sectors of society. To help decision-makers reduce the impacts of drought, it is important to improve monitoring tools that provide relevant and timely information in support of drought mitigation decisions. Given that drought is a complex natural hazard that manifests in different forms, monitoring can be improved by integrating various types of information (e.g., remote sensing and climate) that is timely and region specific to identify where and when droughts are occurring. The Vegetation Drought Response Index for Canada (VegDRI-Canada) is a recently developed drought monitoring tool for Canada. VegDRI-Canada extends the initial VegDRI concept developed for the conterminous United States to a broader transnational coverage across North America. VegDRI-Canada models are similar to those developed for the United States, integrating satellite observations of vegetation status, climate data, and biophysical information on land use and land cover, soil characteristics, and other environmental factors. Collectively, these different types of data are integrated into the hybrid VegDRI-Canada to isolate the effects of drought on vegetation. Twenty-three weekly VegDRI-Canada models were built for the growing season (April–September) through the weekly analysis of these data using a regression tree-based data mining approach. A 15-year time series of VegDRI-Canada results (s to 2014) was produced using these models and the output was validated by randomly selecting 20% of the historical data, as well as holdout year (15% unseen data) across the growing season that the Pearson’s correlation ranged from 0.6 to 0.77. A case study was also conducted to evaluate the VegDRI-Canada results over the prairie region of Canada for two drought years and one non-drought year for three weekly periods of the growing season (i.e., early-, mid-, and late season). The comparison of the VegDRI

  20. Electrocatalytic oxygen reduction and hydrogen evolution reactions on phthalocyanine modified electrodes: Electrochemical, in situ spectroelectrochemical, and in situ electrocolorimetric monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Koca, Atif, E-mail: akoca@eng.marmara.edu.tr [Department of Chemical Engineering, Faculty of Engineering, Marmara University, Goeztepe, 34722 Istanbul (Turkey); Kalkan, Ayfer; Bayir, Zehra Altuntas [Department of Chemistry, Technical University of Istanbul, Maslak, 34469 Istanbul (Turkey)

    2011-06-30

    Highlights: > Electrochemical and in situ spectroelectrochemical characterizations of the metallophthalocyanines were performed. > The presence of O{sub 2} influences both oxygen reduction reaction and the electrochemical behaviors of the complexes. > Homogeneous catalytic ORR process occurs via an 'inner sphere' chemical catalysis process. > CoPc and CuPc coated on a glassy carbon electrode decrease the overpotential of the working electrode for H{sup +} reduction. - Abstract: This study describes electrochemical, in situ spectroelectrochemical, and in situ electrocolorimetric monitoring of the electrocatalytic reduction of molecular oxygen and hydronium ion on the phthalocyanine-modified electrodes. For this purpose, electrochemical and in situ spectroelectrochemical characterizations of the metallophthalocyanines (MPc) bearing tetrakis-[4-((4'-trifluoromethyl)phenoxy)phenoxy] groups were performed. While CoPc gives both metal-based and ring-based redox processes, H{sub 2}Pc, ZnPc and CuPc show only ring-based electron transfer processes. In situ electrocolorimetric method was applied to investigate the color of the electrogenerated anionic and cationic forms of the complexes. The presence of O{sub 2} in the electrolyte system influences both oxygen reduction reaction and the electrochemical and spectral behaviors of the complexes, which indicate electrocatalytic activity of the complexes for the oxygen reduction reaction. Perchloric acid titrations monitored by voltammetry represent possible electrocatalytic activities of the complexes for hydrogen evolution reaction. CoPc and CuPc coated on a glassy carbon electrode decrease the overpotential of the working electrode for H{sup +} reduction. The nature of the metal center changes the electrocatalytic activities for hydrogen evolution reaction in aqueous solution. Although CuPc has an inactive metal center, its electrocatalytic activity is recorded more than CoPc for H{sup +} reduction in aqueous

  1. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  2. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  3. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    Science.gov (United States)

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  4. Method and apparatus for in-situ drying investigation and optimization of slurry drying methodology

    Science.gov (United States)

    Armstrong, Beth L.; Daniel, Claus; Howe, Jane Y.; Kiggans, Jr, James O.; Sabau, Adrian S.; Wood, III, David L.; Kalnaus, Sergiy

    2016-05-10

    A method of drying casted slurries that includes calculating drying conditions from an experimental model for a cast slurry and forming a cast film. An infrared heating probe is positioned on one side of the casted slurry and a thermal probe is positioned on an opposing side of the casted slurry. The infrared heating probe may control the temperature of the casted slurry during drying. The casted slurry may be observed with an optical microscope, while applying the drying conditions from the experimental model. Observing the casted slurry includes detecting the incidence of micro-structural changes in the casted slurry during drying to determine if the drying conditions from the experimental model are optimal.

  5. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  6. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  7. A practical approach: in-situ continuous emission monitoring analysers

    Energy Technology Data Exchange (ETDEWEB)

    C.B. Daw; A.J. Bowers [Procal Analytics Ltd, Peterborough (United Kingdom)

    2004-07-01

    Advances in design and construction of stack-mounted analyzers has resulted in a large demand for this technology for continuous emission monitoring (CEM) of air pollutants from fossil-fuel power plants. The paper looks at some difficulties encountered in use of on-stack CEMs and how to overcome them. Examples are given of installations' use of in-situ CEMS systems at three coal-fired power plants; the Drax (UK), Powerton (United States) and TVA Paradise power station (United States). 12 figs., 1 tab.

  8. Eddy current sensor for in-situ monitoring of swelling of Li-ion prismatic cells

    Energy Technology Data Exchange (ETDEWEB)

    Plotnikov, Yuri, E-mail: plotnikov@ge.com; Karp, Jason, E-mail: plotnikov@ge.com; Knobloch, Aaron, E-mail: plotnikov@ge.com; Kapusta, Chris, E-mail: plotnikov@ge.com; Lin, David, E-mail: plotnikov@ge.com [GE Global Research, One Research Circle, Niskayuna, NY (United States)

    2015-03-31

    In-situ monitoring an on-board rechargeable battery in hybrid cars can be used to ensure a long operating life of the battery and safe operation of the vehicle. Intercalations of ions in the electrode material during charge and discharge of a Lithium Ion battery cause periodic stress and strain of the electrode materials that can ultimately lead to fatigue resulting in capacity loss and potential battery failure. Currently this process is not monitored directly on the cells. This work is focused on development technologies that would quantify battery swelling and provide in-situ monitoring for onboard vehicle applications. Several rounds of tests have been performed to spatially characterize cell expansion of a 5 Ah cell with a nickel/manganese/cobalt-oxide cathode (Sanyo, Japan) used by Ford in their Fusion HEV battery pack. A collaborative team of researchers from GE and the University of Michigan has characterized the free expansion of these cells to be in the range of 100×125 microns (1% of total cell thickness) at the center point of the cell. GE proposed to use a thin eddy current (EC) coil to monitor these expansions on the cells while inside the package. The photolithography manufacturing process previously developed for EC arrays for detecting cracks in aircraft engine components was used to build test coils for gap monitoring. These sensors are thin enough to be placed safely between neighboring cells and capable of monitoring small variations in the gap between the cells. Preliminary investigations showed that these coils can be less than 100 micron thick and have sufficient sensitivity in a range from 0 to 2 mm. Laboratory tests revealed good correlation between EC and optical gap measurements in the desired range. Further technology development could lead to establishing a sensor network for a low cost solution for the in-situ monitoring of cell swelling during battery operation.

  9. Eddy current sensor for in-situ monitoring of swelling of Li-ion prismatic cells

    Science.gov (United States)

    Plotnikov, Yuri; Karp, Jason; Knobloch, Aaron; Kapusta, Chris; Lin, David

    2015-03-01

    In-situ monitoring an on-board rechargeable battery in hybrid cars can be used to ensure a long operating life of the battery and safe operation of the vehicle. Intercalations of ions in the electrode material during charge and discharge of a Lithium Ion battery cause periodic stress and strain of the electrode materials that can ultimately lead to fatigue resulting in capacity loss and potential battery failure. Currently this process is not monitored directly on the cells. This work is focused on development technologies that would quantify battery swelling and provide in-situ monitoring for onboard vehicle applications. Several rounds of tests have been performed to spatially characterize cell expansion of a 5 Ah cell with a nickel/manganese/cobalt-oxide cathode (Sanyo, Japan) used by Ford in their Fusion HEV battery pack. A collaborative team of researchers from GE and the University of Michigan has characterized the free expansion of these cells to be in the range of 100×125 microns (1% of total cell thickness) at the center point of the cell. GE proposed to use a thin eddy current (EC) coil to monitor these expansions on the cells while inside the package. The photolithography manufacturing process previously developed for EC arrays for detecting cracks in aircraft engine components was used to build test coils for gap monitoring. These sensors are thin enough to be placed safely between neighboring cells and capable of monitoring small variations in the gap between the cells. Preliminary investigations showed that these coils can be less than 100 micron thick and have sufficient sensitivity in a range from 0 to 2 mm. Laboratory tests revealed good correlation between EC and optical gap measurements in the desired range. Further technology development could lead to establishing a sensor network for a low cost solution for the in-situ monitoring of cell swelling during battery operation.

  10. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Joo, Young-Hee; Kim, Chang-Il

    2015-01-01

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF 4 /Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF 4 /Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF 4 /Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF 4 /Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar + sputtering and then reacted with the C-F x radicals. • The physical sputtering is dominant in etch control compared with chemical etching

  11. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  12. Monitoring and Optimization of the Process of Drying Fruits and Vegetables Using Computer Vision: A Review

    Directory of Open Access Journals (Sweden)

    Flavio Raponi

    2017-11-01

    Full Text Available An overview is given regarding the most recent use of non-destructive techniques during drying used to monitor quality changes in fruits and vegetables. Quality changes were commonly investigated in order to improve the sensory properties (i.e., appearance, texture, flavor and aroma, nutritive values, chemical constituents and mechanical properties of drying products. The application of single-point spectroscopy coupled with drying was discussed by virtue of its potentiality to improve the overall efficiency of the process. With a similar purpose, the implementation of a machine vision (MV system used to inspect foods during drying was investigated; MV, indeed, can easily monitor physical changes (e.g., color, size, texture and shape in fruits and vegetables during the drying process. Hyperspectral imaging spectroscopy is a sophisticated technology since it is able to combine the advantages of spectroscopy and machine vision. As a consequence, its application to drying of fruits and vegetables was reviewed. Finally, attention was focused on the implementation of sensors in an on-line process based on the technologies mentioned above. This is a necessary step in order to turn the conventional dryer into a smart dryer, which is a more sustainable way to produce high quality dried fruits and vegetables.

  13. GAAT dry well conductivity monitoring report, July 1997 through January 1998, Oak Ridge National Laboratory, Oak Ridge, Tennessee

    International Nuclear Information System (INIS)

    1998-01-01

    A waste removal program is being implemented for the Gunite and Associated Tanks (GAAT) Operable Unit at Oak Ridge National Laboratory (ORNL), Oak Ridge, Tennessee. The waste is being removed by means of remotely operated, in-tank, confined sluicing equipment. The waste removal operations in Tanks W-3 and W-4 in the North Tank Farm (NTF) have been completed and the equipment is being moved to the South Tank Farm (STF), where it will be used to remove the sludges from the six STF tanks (W-5, W-6, W-7, W-8, W-9, and W-10) beginning later this year. During sluicing operations the dry wells adjacent to each of the tanks are instrumented so that potential releases can be detected by means external to the tank. The method of detection is by monitoring the electrical conductivity of the water in the dry well associated with each tank. This report documents the dry well conductivity monitoring data for the period from July 1997 through January 1998. The dry wells monitored during this period include DW-3, DW-4, DW-8, DW-9, and DW-10. The conductivity of the water passing through Pump Station 1 (PS 1) was also monitored. The principal activities that occurred during this period were the sluicing of Tanks W-3 and W-4 in the NTF, transfer of tank liquids from the NTF to the STF, and the installation of new risers, tank dome leveling, and emplacement of stabilized base backfill in the STF. Presented in this report are the dry well conductivity, rainfall, tank level, and STF construction information that is relevant to the analysis and interpretation of the monitoring data for the reporting period. A thorough analysis of the monitoring results for the period indicates that no releases have occurred from the gunite tanks being monitored

  14. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  15. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  16. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  17. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  18. Evaluating Acoustic Emission Signals as an in situ process monitoring technique for Selective Laser Melting (SLM)

    Energy Technology Data Exchange (ETDEWEB)

    Fisher, Karl A. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Candy, Jim V. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Guss, Gabe [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Mathews, M. J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2016-10-14

    In situ real-time monitoring of the Selective Laser Melting (SLM) process has significant implications for the AM community. The ability to adjust the SLM process parameters during a build (in real-time) can save time, money and eliminate expensive material waste. Having a feedback loop in the process would allow the system to potentially ‘fix’ problem regions before a next powder layer is added. In this study we have investigated acoustic emission (AE) phenomena generated during the SLM process, and evaluated the results in terms of a single process parameter, of an in situ process monitoring technique.

  19. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  20. Crystallographic dependent in-situ CBr4 selective nano-area etching and local regrowth of InP/InGaAs by MOVPE

    DEFF Research Database (Denmark)

    Kuznetsova, Nadezda; Kulkova, Irina; Semenova, Elizaveta

    2014-01-01

    Selective area etching and growth in the metalorganic vapor phase epitaxy (MOVPE) reactor on nano-scale structures have been examined. Using different mask orientations, crystallographic dependent etching of InP can be observed when carbon tetrabromide (CBr4) is used as an etchant. Scanning...

  1. COMPOSITE RESIN BOND STRENGTH TO ETCHED DENTINWITH ONE SELF PRIMING ADHESIVE

    Directory of Open Access Journals (Sweden)

    P SAMIMI

    2002-09-01

    Full Text Available Introduction. The purpose of this study was to compare shear bond strength of composite resins to etched dentin in both dry and wet dentin surface with active and inactive application of a single-bottle adhesive resin (Single Bond, 3M Dental products. Methods. Fourthy four intact human extracted molars and premolars teeth were selected. The facial surfaces of the teeth were grounded with diamond bur to expose dentin. Then specimens were divided into four groups of 11 numbers (9 Molars and 2 Premolars. All the samples were etched with Phosphoric Acid Gel 35% and then rinsed for 10 seconds. The following stages were carried out for each group: Group I (Active-Dry: After rinsing, air drying of dentin surface for 15 seconds, active priming of adhesive resin for 15 seconds, air drying for 5 seconds, the adhesive resin layer was light cured for 10 seconds. Group III (Inactive-Dry:After rinsing, air drying of dentin surface for 15 seconds, adhesive resin was applied and air dryied for 5 seconds, the adhesive layer was light cured for 10 seconds. Group III (Active-Wet:After rinsing, removal of excess water of dentin surface with a cotton roll, active priming of adhesive resin for 15 seconds and air drying for 5 seconds, the adhesive layer was light cured for 10 seconds. Group IV (Inactive-Wet:After rinsing, removal of excess water of dentin surface with a cotton roll, the adhesive resin was applied and air dryied for 5 seconds and then cured for 10 seconds. After adhesive resin application, composite resin (Z250, 3M Dental products was applied on prepared surface with cylindrical molds (with internal diameter of 2.8mm, & height of 5mm and light-cured for 100 seconds (5x20s. The samples were then thermocycled. They were located in 6±3c water .temperature for 10 seconds and then 15 seconds in inviromental temperature, 10s in 55±3c water temperature and then were located at room temperature for 15s. This test was repeated for 100s. All of the specimens

  2. Monitoring in situ biodegradation of hydrocarbons by using stable carbon isotopes

    International Nuclear Information System (INIS)

    Aggarwal, P.K.; Hinchee, R.E.

    1991-01-01

    Spilled or leaked nonhalogenated petroleum hydrocarbons in the soil can generally be metabolized by indigenous, aerobic bacteria. In situ biological degradation of hydrocarbons may be accelerated by supplying inorganic nutrients and/or oxygen. Approaches to monitoring and verifying enhanced in situ biodegradation have included measurements of changes over time in the (a) concentration of hydrocarbons, (b) temperature, (c) number of hydrocarbon-degrading microorganisms, (d) ratio of fast-degrading hydrocarbons (e.g., pristanes or phytanes), and (e) metabolic intermediates. Measurements of oxygen consumption over time and elevated carbon dioxide concentrations in soil gas also have been used as indicators of hydrocarbon degradation. An alternative approach that may help substantiate biodegradation is to measure stable carbon isotope ratios in soil gas CO 2 . Stable carbon isotope ratio analysis is inexpensive and commercially available at many laboratories. Carbon dioxide produced by hydrocarbon degradation may be distinguished from that produced by other processes based on the carbon isotopic compositions characteristic of the source material and/or fractionation accompanying microbial metabolism. Here the authors demonstrate the applicability of the stable isotope technique for monitoring enhanced. aerobic biodegradation of hydrocarbons using data from three locations in the United States

  3. Effect of collagen fibrils removal on shear bond strength of total etch and self etch adhesive systems

    Directory of Open Access Journals (Sweden)

    Pishevar L.

    2009-12-01

    Full Text Available "nBackground and Aim: Sodium hypochlorite can remove the organic phase of the demineralized dentin and it produces direct resin bonding with hydroxyapatite crystals. Therefore, the hydrolytic degradation of collagen fibrils which might affect the bonding durability is removed. The aim of this study was to evaluate the effect of collagen fibrils removal by 10% NaOCl on dentin shear bond strength of two total etch and self etch adhesive systems."nMaterials and Methods: Sixty extracted human premolar teeth were used in this study. Buccal surface of teeth were grounded until dentin was exposed. Then teeth were divided into four groups. According to dentin surface treatment, experimental groups were as follows: Group I: Single Bond (3M according to manufacture instruction, Group II: 10% NaOCl+Single bond (3M, Group III: Clearfil SE Bond (Kuraray according to manufacture instruction, and Group IV: Clearfil SE Bond primer. After that, the specimens were immersed in 50% acetone solution for removing extra monomer. Then the specimens were rinsed and dried. 10% NaOCl was applied and finally adhesive was used. Then composite was bonded to the treated surfaces using a 4 2 mm cylindrical plastic mold. Specimens were thermocycled for 500 cycles (5-55ºC. A shear load was employed by a universal testing machine with a cross head speed of 1mm/min. The data were analyzed for statistical significance with One-way ANOVA, Two-way ANOVA and Tukey HSD post-hoc tests."nResults: The mean shear bond strengths of groups were as follows: Single Bond=16.8±4.2, Clearfil SE Bond=23.7±4.07, Single Bond+NaOCl=10.5±4.34, Clearfil SE Bond+NaOCl=23.3±3.65 MPa. Statistical analysis revealed that using 10% NaOCl significantly decreased the shear bond strength in Single Bond group (P=0.00, but caused no significant difference in the shear bond strength in Clearfil SE Bond group (P=0.99."nConclusion: Based on the results of this study, NaOCl treatment did not improve the bond

  4. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF{sub 4}/Ar plasma

    Energy Technology Data Exchange (ETDEWEB)

    Joo, Young-Hee; Kim, Chang-Il

    2015-05-29

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF{sub 4}/Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF{sub 4}/Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF{sub 4}/Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF{sub 4}/Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar{sup +} sputtering and then reacted with the C-F{sub x} radicals. • The physical sputtering is dominant in etch control compared with chemical etching.

  5. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  6. Description and field test of an in situ coliform monitoring system

    Science.gov (United States)

    Grana, D. C.; Wilkins, J. R.

    1979-01-01

    A prototype in situ system for monitoring the levels of fecal coliforms in shallow water bodies was developed and evaluated. This system was based on the known relationship between the concentration of the coliform bacteria and the amount of hydrogen they produce during growth in a complex organic media. The prototype system consists of a sampler platform, which sits on the bottom; a surface buoy, which transmits sampler-generated data; and a shore station, which receives, displays the data, and controls the sampler. The concept of remote monitoring of fecal coliform concentrations by utilizing a system based on the electrochemical method was verified during the evaluation of the prototype.

  7. Trichloroethylene decomposition and in-situ dry sorption of Cl-products by calcium oxides prepared from hydrated limes.

    Science.gov (United States)

    Gotoh, Yoshimi; Iwata, Goichi; Choh, Kyaw; Kubota, Mitsuhiro; Matsuda, Hitoki

    2011-10-01

    A comparison of CaOs produced by calcining two types of hydrated lime and calcium carbonate was made for decomposition of trichloroethylene and in-situ dry sorption of the decomposed Cl-products using a lab-scale gas flow type tubular packed bed reactor. About 20 mg of CaO sample was mixed with about 2 g of Al2O3 particles and packed in the reactor and allowed to react with a flowing standard gas containing 500 ppm of C2HCl3 (N2 balance) at 673 and 873 K, under the condition that the reaction of CaO with C2HCl3 might be completed within a few hours. It was found that no thermal decomposition of C2HCl3 at or below 673 K was observed in a reactor packed only with Al2O3 particles. However, a considerable amount of decomposition of C2HCl3 was obtained in a reactor packed with CaO and Al2O3, even at 673 K. For 1 mol of CaO prepared by calcining highly reactive Ca(OH)2 at 673 K, decomposition of 0.42 mol of C2HCl3 and in-situ absorption product of 0.53 mol of CaCl2 were obtained. At 873 K, about 46% of C2HCl3 was thermally decomposed. The total amount of C2HCl3 decomposed in CaO-Al2O3 particle bed at 873 K became nearly twice larger than that at 673 K. For 1 mol of CaO prepared by calcining highly reactive Ca(OH)2 at 873 K, decomposition of 0.59 mol of C2HCl3 and in-situ absorption product of 0.67 mol of CaCl2 were obtained. Small amounts of C2Cl2, C2Cl4, CCl4, etc. were detected during decomposition of C2HCl3 at 673 and 873 K. It was recognized that the data on decomposition of C2HCl3 as well as in-situ dry sorption of Cl-products in CaO particle bed were correlated with specific surface area of the CaO employed. Copyright © 2011 Elsevier Ltd. All rights reserved.

  8. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  9. Comparison of shear bond strength between unfilled resin to dry enamel and dentin bonding to moist and dry enamel

    Directory of Open Access Journals (Sweden)

    Yasini E.

    2005-05-01

    Full Text Available Statement of Problem: The use of dentine bondings on enamel and dentin in total etch protocols has recently become popular. Unfilled resin is hydrophobic and dentin bonding is hydrophilic in nature. This chemical difference could be effective in enamel bonding process. Purpose: The aim of this study was to compare the shear bond strength of unfilled resin to dry enamel and dentin bonding to dry and moist enamel. Materials and Methods: In this experimental study, a total of 30 incisor teeth were used. The specimens were randomly assigned to three groups of 10. 37% phosphoric acid etchant was applied to the enamel surfaces in each group for 15 seconds, rinsed with water for 20 seconds and dried for 20 seconds with compressed air in groups one and two. After conditioning, group 1 received unfilled resin (Margin Bond, Colten and group 2 received dentin bonding (Single Bond, 3M and in group 3 after conditioning and rinsing with water, a layer of dentin bonding (Single Bond was applied on wet enamel. The enamel and dentin bonding were light cured for 20 seconds. A ring mold 3.5 mm in diameter and 2 mm height was placed over the specimens to receive the composite filling material (Z100, 3M. The composite was cured for 40 seconds. The specimens were thermocycled and shear bond strengths were determined using an Instron Universal Testing Machine. The findings were analyzed by ANOVA One-Way and Tukey HSD tests. Results: Shear bond strength of dentin bonding to dry enamel was significantly less than unfilled resin to dry enamel (P<0.05. There was no significant difference between the bond strength of dentin bonding to moist and dry enamel. In addition bond strength of dentin bonding to wet enamel was not significantly different from unfilled resin to dry enamel. Conclusion: Based on the findings of this study, it is suggested that enamel surface should remain slightly moist after etching before bonding with single bond but when using unfilled resin, the

  10. Bond strength of a pit-and-fissure sealant associated to etch-and-rinse and self-etching adhesive systems to saliva-contaminated enamel: individual vs. simultaneous light curing.

    Science.gov (United States)

    Gomes-Silva, Jaciara Miranda; Torres, Carolina Paes; Contente, Marta Maria Martins Giamatei; Oliveira, Maria Angélica Hueb de Menezes; Palma-Dibb, Regina Guenka; Borsatto, Maria Cristina

    2008-01-01

    This study evaluated in vitro the shear bond strength (SBS) of a resin-based pit-and-fissure sealant [Fluroshield (F), Dentsply/Caulk] associated with either an etch-and-rinse [Adper Single Bond 2 (SB), 3M/ESPE] or a self-etching adhesive system [Clearfil S3 Bond (S3), Kuraray Co., Ltd.] to saliva-contaminated enamel, comparing two curing protocols: individual light curing of the adhesive system and the sealant or simultaneous curing of both materials. Mesial and distal enamel surfaces from 45 sound third molars were randomly assigned to 6 groups (n=15), according to the bonding technique: I - F was applied to 37% phosphoric acid etched enamel. The other groups were contaminated with fresh human saliva (0.01 mL; 10 s) after acid etching: II - SB and F were light cured separately; III - SB and F were light cured together; IV - S3 and F were light cured separately; V - S3 and F were light cured simultaneously; VI - F was applied to saliva-contaminated, acid-etched enamel without an intermediate bonding agent layer. SBS was tested to failure in a universal testing machine at 0.5 mm/min. Data were analyzed by one-way ANOVA and Fisher's test (alpha=0.05).The debonded specimens were examined with a stereomicroscope to assess the failure modes. Three representative specimens from each group were observed under scanning electron microscopy for a qualitative analysis. Mean SBS in MPa were: I-12.28 (+/-4.29); II-8.57 (+/-3.19); III-7.97 (+/-2.16); IV-12.56 (+/-3.11); V-11.45 (+/-3.77); and VI-7.47 (+/-1.99). In conclusion, individual or simultaneous curing of the intermediate bonding agent layer and the resin sealant did not seem to affect bond strength to saliva-contaminated enamel. S3/F presented significantly higher SBS than the that of the groups treated with SB etch-and-rinse adhesive system and similar SBS to that of the control group, in which the sealant was applied under ideal dry, noncontaminated conditions.

  11. Redox cycling-based amplifying electrochemical sensor for in situ clozapine antipsychotic treatment monitoring

    International Nuclear Information System (INIS)

    Ben-Yoav, Hadar; Winkler, Thomas E.; Kim, Eunkyoung; Chocron, Sheryl E.; Kelly, Deanna L.; Payne, Gregory F.; Ghodssi, Reza

    2014-01-01

    Highlights: • A new concept for clozapine in situ sensing with minimal pre-treatment procedures. • A catechol-chitosan redox cycling system amplifies clozapine oxidation current. • The modified amplifier signal is 3 times greater than the unmodified system. • Differentiation between clozapine and its metabolite norclozapine has been shown. • The sensor has the capability to detect clozapine in human serum. - Abstract: Schizophrenia is a lifelong mental disorder with few recent advances in treatment. Clozapine is the most effective antipsychotic for schizophrenia treatment. However, it remains underutilized since frequent blood draws are required to monitor adverse side effects, and maintain clozapine concentrations in a therapeutic range. Micro-system technology utilized towards real-time monitoring of efficacy and safety will enable personalized medicine and better use of this medication. Although work has been reported on clozapine detection using its electrochemical oxidation, no in situ monitoring of clozapine has been described. In this work, we present a new concept for clozapine in situ sensing based on amplifying its oxidation current. Specifically, we use a biofabricated catechol-modified chitosan redox cycling system to provide a significant amplification of the generated oxidizing current of clozapine through a continuous cycle of clozapine reduction followed by re-oxidation. The amplified signal has improved the signal-to-noise ratio and provided the required limit-of-detection and dynamic range for clinical applications with minimal pre-treatment procedures. The sensor reports on the functionality and sensitivity of clozapine detection between 0.1 and 10 μg/mL. The signal generated by clozapine using the catechol-modified chitosan amplifier has shown to be 3 times greater than the unmodified system. The sensor has the ability to differentiate between clozapine and its metabolite norclozapine, as well as the feasibility to detect clozapine in

  12. Characterization of subcritical water oxidation with in situ monitoring and self-modeling curve resolution

    International Nuclear Information System (INIS)

    Gemperline, Paul J.; Yang Yu; Bian Zhihui

    2003-01-01

    In this paper, a subcritical water oxidation (SBWO) process was monitored using self-modeling curve resolution (SMCR) of in situ UV-Vis measurements to estimate time-dependant composition profiles of reactants, intermediates and products. A small laboratory scale reactor with UV-Vis fiber-optic probes and a flow cell was used to demonstrate the usefulness of SMCR for monitoring the destruction of model compounds phenol, benzoic acid, and aniline in a dilute aqueous solutions. Hydrogen peroxide was used as the oxidizing reagent at moderate temperature (150-250 deg. C) and pressure (60-90 atm) in a single phase. By use of in situ monitoring, reaction times were easily determined and conditions for efficient oxidations were easily diagnosed without the need for time consuming off-line reference measurements. For selected runs, the destruction of the model compound was confirmed by gas chromatography and chemical oxygen demand (COD) measurements. Suspected intermediate oxidation products were easily detected by the use of UV-Vis spectrometry and self-modeling curve resolution, but could not be detected by gas chromatography

  13. Lightweight, Wearable Metal Rubber-Textile Sensor for In Situ Lunar Autonomous Health Monitoring, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NanoSonic proposes to develop a low-weight, non-invasive in situ autonomous health-monitoring system for crewmembers' lunar extravehicular activity (EVA). This novel...

  14. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    Science.gov (United States)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  15. Ex-Situ and In-Situ Ellipsometric Studies of the Thermal Oxide on InP

    Science.gov (United States)

    1990-12-06

    ion---- Distribution/ Availabilit ? Codes£v l llt Codes Avail and/or Dist| Special Abstract The thermally grown InP oxide as etched by an aqueous...aqueous NH4OH/NH4F, and Law(17) has reported observations of orientational ordering of water and organic solvents on pyrex surfaces by in-situ...minutes, followed by a sequence of acetone, deionized water (d. i. water ) rinse. After being dipped in a concentrated aqueous HF solution for 15 seconds

  16. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  17. High electron mobility recovery in AlGaN/GaN 2DEG channels regrown on etched surfaces

    International Nuclear Information System (INIS)

    Chan, Silvia H; DenBaars, Steven P; Keller, Stacia; Tahhan, Maher; Li, Haoran; Romanczyk, Brian; Mishra, Umesh K

    2016-01-01

    This paper reports high two-dimensional electron gas mobility attained from the regrowth of the AlGaN gating layer on ex situ GaN surfaces. To repair etch-damaged GaN surfaces, various pretreatments were conducted via metalorganic chemical vapor deposition, followed by a regrown AlGaN/GaN mobility test structure to evaluate the extent of recovery. The developed treatment process that was shown to significantly improve the electron mobility consisted of a N 2  + NH 3 pre-anneal plus an insertion of a 4 nm or thicker GaN interlayer prior to deposition of the AlGaN gating layer. Using the optimized process, a high electron mobility transistor (HEMT) device was fabricated which exhibited a high mobility of 1450 cm 2 V −1 s −1 (R sh  = 574 ohm/sq) and low dispersion characteristics. The additional inclusion of an in situ Al 2 O 3 dielectric into the regrowth process for MOS-HEMTs still preserved the transport properties near etch-impacted areas. (paper)

  18. Advanced In Situ I-V Measurements Used in the Study of Porous Structures Growth on Silicon

    Directory of Open Access Journals (Sweden)

    Amare Benor

    2017-01-01

    Full Text Available The rate of oxide formation during growth of pores structures on silicon was investigated by in situ I-V measurements. The measurements were designed to get two I-V curves in a short time (total time for the two measurements was 300 seconds taking into account the gap (in mA/cm2 for each corresponding voltage. The in situ I-V measurements were made at different pore depth/time, at the electrolyte-pore tip interface, while etching takes place based on p-type Si. The results showed increasing, decreasing, and constant I-V gap in time, for macropores, nanopores, and electropolishing regimes, respectively. This was related to the expected diffusion limitation of oxide forming (H2O molecules reaching the electrolyte-pore tip and the anodizing current, while etching takes place. The method can be developed further and has the potential to be applied in other electrochemically etched porous semiconductor materials.

  19. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  20. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  1. Atomic Layer Etching of Silicon to Solve ARDE-Selectivity-Profile-Uniformity Trade-Offs

    Science.gov (United States)

    Wang, Mingmei; Ranjan, Alok; Ventzek, Peter; Koshiishi, Akira

    2014-10-01

    With shrinking critical dimensions, dry etch faces more and more challenges. Minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer are met by trading off one requirement against another. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Self-limiting processes like atomic layer etching (ALE) promise a way to escape the problem of balancing trade-offs. ALE was realized in the mid-1990s but the industrial implementation has been slow. In recent years interest in ALE has revived. We present how ARDE, bowing/selectivity trade-offs may be overcome by varying radical/ion ratio, byproduct re-deposition. We overcome many of the practical implementation issues associated with ALE by precise passivation process control. The Monte Carlo Feature Profile Model (MCFPM) is used to illustrate realistic scenarios built around an Ar/Cl2 chemistry driven etch of Si masked by SiO2. We demonstrate that ALE can achieve zero ARDE and infinite selectivity. Profile control depends on careful management of the ion energies and angles. For ALE to be realized in production environment, tight control of IAD is a necessary. Experimental results are compared with simulation results to provide context to the work.

  2. Application of electrical capacitance measurement for in situ monitoring of competitive interactions between maize and weed plants

    Energy Technology Data Exchange (ETDEWEB)

    Cseresnyés, I.; Takács, T.; Füzy, A.; Végh, K.R.; Lehoczky, E.

    2016-11-01

    Applicability of root electrical capacitance (EC) measurement for monitoring of crop–weed competition was studied in a pot experiment. Maize (Zea mays L.) was grown both alone and with Echinochloa crus-galli or Abutilon theophrasti in different densities with regular measurement of root EC. Plants were harvested 42 days after planting to determine above- and belowground biomass. Depending on weed density, E. crus-galli-A. theophrasti interaction reduced the root EC of maize by 22–66% and 3–57%, respectively. Competitive effect of crop on weeds and intraspecific competition among weeds could also be detected by EC values: E. crus-galli was less sensitive both to the presence of maize and to intraspecific competition than A. theophrasti. Strong linear correlations between root dry mass and root EC for crop and weeds (with R2 from 0.901 to 0.956) were obtained by regression analyses at the end of the experiment. EC monitoring informed us on the emergence time of competition: E. crus-galli interfered with maize root growth a week earlier then A. theophrasti, and increasing weed densities accelerated the emergence of competition. In conclusion, the simple, non-destructive EC method should be considered a potential in situ technique for pot studies on crop–weed competition, which may partially substitute the intrusive techniques commonly used in agricultural researches. (Author)

  3. Kinetics of varnish long-term drying process monitored by a heterogeneous optical sensor system

    International Nuclear Information System (INIS)

    Saccon, F A M; De Oliveira, F M D R; Ribas, M O; Zambianchi, P Jr; Muller, M; Fabris, J L

    2013-01-01

    The drying process of an acrylic varnish film was monitored over 24 h by a heterogeneous optical sensor system. The system employs a fibre optic transducer based on Bragg gratings and optical coherence tomography, operating respectively around 1.55 and 1.3 µm. The sensor is able to provide information about the temporal evolution of temperature, mechanical deformation, thickness and average refractive index of the coating during the drying process. Resolutions for these optically measured parameters are 0.05 °C (temperature), 0.5 µε (strain), 1.5 µm (thickness) and 0.004 (refractive index). Besides, the sensor can detect the growth of a surface dry skin and supply information about the film bulk uniformity. A model for the mass loss of solvent as the drying process evolves is also discussed. (paper)

  4. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  5. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  6. Post-LASIK dry eye

    Science.gov (United States)

    Shtein, Roni M

    2011-01-01

    Laser-assisted in situ keratomileusis (LASIK) is a frequently performed corneal refractive surgery with excellent refractive outcomes. The most common complication of LASIK is dry eyes, with virtually all patients developing some degree of dryness in the immediate postoperative period. Identifying preoperative dry eyes, and conscientious attention and treatment in the perioperative time period, can lead to enhanced patient satisfaction and more accurate visual outcomes. Improved understanding of the development of dry eyes after LASIK will advance our understanding of the complex pathophysiology of dry eye disease. PMID:22174730

  7. Oxygen etching mechanism in carbon-nitrogen (CNx) domelike nanostructures

    International Nuclear Information System (INIS)

    Acuna, J. J. S.; Figueroa, C. A.; Kleinke, M. U.; Alvarez, F.; Biggemann, D.

    2008-01-01

    We report a comprehensive study involving the ion beam oxygen etching purification mechanism of domelike carbon nanostructures containing nitrogen. The CN x nanodomes were prepared on Si substrate containing nanometric nickel islands catalyzed by ion beam sputtering of a carbon target and assisting the deposition by a second nitrogen ion gun. After preparation, the samples were irradiated in situ by a low energy ion beam oxygen source and its effects on the nanostructures were studied by x-ray photoelectron spectroscopy in an attached ultrahigh vacuum chamber, i.e., without atmospheric contamination. The influence of the etching process on the morphology of the samples and structures was studied by atomic force microscopy and field emission gun-secondary electron microscopy, respectively. Also, the nanodomes were observed by high resolution transmission electron microscopy. The oxygen atoms preferentially bond to carbon atoms by forming terminal carbonyl groups in the most reactive parts of the nanostructures. After the irradiation, the remaining nanostructures are grouped around two well-defined size distributions. Subsequent annealing eliminates volatile oxygen compounds retained at the surface. The oxygen ions mainly react with nitrogen atoms located in pyridinelike structures

  8. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  9. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  10. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  11. FY 1999 report on the results of the R and D of the substituting gas system and the substituting process of the etching gas used in the electronic device production process; 1999 nendo denshi device seizo process de shiyosuru etching gas no daitai gas system oyobi daitai process no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    As to the dry etching process and the wiring process where PFC gas and electric power are required most in the electronic device production process, an investigational study was conducted with the aim of PFC saving and energy saving, and the FY 1999 results were summed up. In the study, high efficiency etching process analysis equipment was developed, and three kinds of PFC gas quantitative analysis method were comparatively studied. Relating to the substitution of global environmental warming gas, it was found that C{sub x}F{sub y} type gas was effective which includes no oxygen, has a lot of carbon element numbers, and has double unsaturated bond. Further, in the study of the technology of PFC decomposition by plasma, it was indicated that PFC of 98.7% at maximum in exhaust gas could be removed on ideal conditions. In the dry etching technology by non-PFC gas of the organic insulating film, it was found out that NH{sub 3} base gas is more excellent in both shape and speed of etching than the existing O{sub 2} base one. As to the future wiring technology, new concepts of the optical wiring inside chip, etc. were proposed. (NEDO)

  12. In-situ monitoring of the growth of nanostructured aluminum thin film

    Czech Academy of Sciences Publication Activity Database

    Novotný, Michal; Bulíř, Jiří; Lančok, Ján; Pokorný, Petr; Bodnár, Michal

    2011-01-01

    Roč. 5, č. 5 (2011), "051503-1"-"051503-10" ISSN 1934-2608 R&D Projects: GA AV ČR IAA100100718; GA AV ČR IAA100100729; GA ČR GP202/09/P324 Institutional research plan: CEZ:AV0Z10100522 Keywords : aluminum ultrathin film * magnetron sputtering * in-situ monitoring * electrical conductivity * spectral ellipsometry * optical emission spectroscopy Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.570, year: 2011

  13. Improved design and in-situ measurements of new beam position monitors for Indus-2

    Science.gov (United States)

    Kumar, M.; Babbar, L. K.; Holikatti, A. C.; Yadav, S.; Tyagi, Y.; Puntambekar, T. A.; Senecha, V. K.

    2018-01-01

    Beam position monitors (BPM) are important diagnostic devices used in particle accelerators to monitor position of the beam for various applications. Improved version of button electrode BPM has been designed using CST Studio Suite for Indus-2 ring. The new BPMs are designed to replace old BPMs which were designed and installed more than 12 years back. The improved BPMs have higher transfer impedance, resonance free output signal, equal sensitivity in horizontal and vertical planes and fast decaying wakefield as compared to old BPMs. The new BPMs have been calibrated using coaxial wire method. Measurement of transfer impedance and time domain signals has also been performed in-situ with electron beam during Indus-2 operation. The calibration and beam based measurements results showed close agreement with the design parameters. This paper presents design, electromagnetic simulations, calibration result and in-situ beam based measurements of newly designed BPMs.

  14. Spray Drying as a Reliable Route to Produce Metastable Carbamazepine Form IV.

    Science.gov (United States)

    Halliwell, Rebecca A; Bhardwaj, Rajni M; Brown, Cameron J; Briggs, Naomi E B; Dunn, Jaclyn; Robertson, John; Nordon, Alison; Florence, Alastair J

    2017-07-01

    Carbamazepine (CBZ) is an active pharmaceutical ingredient used in the treatment of epilepsy that can form at least 5 polymorphic forms. Metastable form IV was originally discovered from crystallization with polymer additives; however, it has not been observed from subsequent solvent-only crystallization efforts. This work reports the reproducible formation of phase pure crystalline form IV by spray drying of methanolic CBZ solution. Characterization of the material was carried out using diffraction, scanning electron microscopy, and differential scanning calorimetry. In situ Raman spectroscopy was used to monitor the spray-dried product during the spray drying process. This work demonstrates that spray drying provides a robust method for the production of form IV CBZ, and the combination of high supersaturation and rapid solid isolation from solution overcomes the apparent limitation of more traditional solution crystallization approaches to produce metastable crystalline forms. Copyright © 2017 The Authors. Published by Elsevier Inc. All rights reserved.

  15. Detecting impacts of extreme events with ecological in situ monitoring networks

    Directory of Open Access Journals (Sweden)

    M. D. Mahecha

    2017-09-01

    be achieved by randomly designed networks. Spatio-temporal expansions of ecological in situ monitoring networks should carefully consider the size distribution characteristics of extreme events if the aim is also to monitor the impacts of such events in the terrestrial biosphere.

  16. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  17. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    Science.gov (United States)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  18. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    International Nuclear Information System (INIS)

    Lu, J.; Meng, X.; SpringThorpe, A.J.; Shepherd, F.R.; Poirier, M.

    2004-01-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated 'T electrodes' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl 2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ∼0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl 2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 deg. C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes

  19. Controlling in situ crystallization of pharmaceutical particles within the spray dryer.

    Science.gov (United States)

    Woo, Meng Wai; Lee, May Ginn; Shakiba, Soroush; Mansouri, Shahnaz

    2017-11-01

    Simultaneous solidification and in situ crystallization (or partial crystallization) of droplets within the drying chamber are commonly encountered in the spray drying of pharmaceuticals. The crystallinity developed will determine the functionality of the powder and its stability during storage. This review discusses strategies that can be used to control the in situ crystallization process. Areas covered: The premise of the strategies discussed focuses on the manipulation of the droplet drying rate relative to the timescale of crystallization. This can be undertaken by the control of the spray drying operation, by the use of volatile materials and by the inclusion of additives. Several predictive approaches for in situ crystallization control and new spray dryer configuration strategies are further discussed. Expert opinion: Most reports, hitherto, have focused on the crystallinity of the spray dried material or the development of crystallinity during storage. More mechanistic understanding of the in situ crystallization process during spray drying is required to guide product formulation trials. The key challenge will be in adapting the mechanistic approach to the myriad possible formulations in the pharmaceutical industry.

  20. Spent Fuel Transfer to Dry Storage Using Unattended Monitoring System

    International Nuclear Information System (INIS)

    Park, Jae Hwan; Park, Soo Jin

    2009-01-01

    There are 4 CANDU reactors at Wolsung site together with a spent fuel dry storage associated with unit 1. These CANDU reactors, classified as On-Load Reactor (OLR) for Safeguards application, change 16- 24 fuel bundles with fresh fuel in everyday. Especially, the spent fuel bundles are transferred from spent fuel bays to dry storage throughout a year because of the insufficient capacity of spent fuel pond. Safeguards inspectors verify the spent fuel transfer to meet safeguards purposes according to the safeguards criteria by means of inspector's presence during the transfer campaign. For the verification, 60-80 person-days of inspection (PDIs) are needed during approximately 3 months for each unit. In order to reduce the inspection effort and operators' burden, an Unattended Monitoring System (UMS) was designed and developed by the IAEA for the verification of spent fuel bundles transfers from wet storage to dry storage. Based on the enhanced cooperation of CANDU reactors between the ROK and the IAEA, the IAEA installed the UMS at Wolsung unit 2 in January 2005 at first. After some field trials during the transfer campaign, this system is being replaced the traditional human inspection since September 1, 2006 combined with a Short Notice Inspection (SNI) and a near-real time Mailbox Declaration

  1. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  2. Fixed interface charges between AlGaN barrier and gate stack composed of in situ grown SiN and Al2O3 in AlGaN/GaN high electron mobility transistors with normally off capability

    International Nuclear Information System (INIS)

    Capriotti, M.; Alexewicz, A.; Fleury, C.; Gavagnin, M.; Bethge, O.; Wanzenböck, H. D.; Bertagnolli, E.; Pogany, D.; Strasser, G.; Visalli, D.; Derluyn, J.

    2014-01-01

    Using a generalized extraction method, the fixed charge density N int at the interface between in situ deposited SiN and 5 nm thick AlGaN barrier is evaluated by measurements of threshold voltage V th of an AlGaN/GaN metal insulator semiconductor high electron mobility transistor as a function of SiN thickness. The thickness of the originally deposited 50 nm thick SiN layer is reduced by dry etching. The extracted N int is in the order of the AlGaN polarization charge density. The total removal of the in situ SiN cap leads to a complete depletion of the channel region resulting in V th  = +1 V. Fabrication of a gate stack with Al 2 O 3 as a second cap layer, deposited on top of the in situ SiN, is not introducing additional fixed charges at the SiN/Al 2 O 3 interface

  3. In situ process monitoring in selective laser sintering using optical coherence tomography

    Science.gov (United States)

    Gardner, Michael R.; Lewis, Adam; Park, Jongwan; McElroy, Austin B.; Estrada, Arnold D.; Fish, Scott; Beaman, Joseph J.; Milner, Thomas E.

    2018-04-01

    Selective laser sintering (SLS) is an efficient process in additive manufacturing that enables rapid part production from computer-based designs. However, SLS is limited by its notable lack of in situ process monitoring when compared with other manufacturing processes. We report the incorporation of optical coherence tomography (OCT) into an SLS system in detail and demonstrate access to surface and subsurface features. Video frame rate cross-sectional imaging reveals areas of sintering uniformity and areas of excessive heat error with high temporal resolution. We propose a set of image processing techniques for SLS process monitoring with OCT and report the limitations and obstacles for further OCT integration with SLS systems.

  4. New Textile Sensors for In Situ Structural Health Monitoring of Textile Reinforced Thermoplastic Composites Based on the Conductive Poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) Polymer Complex.

    Science.gov (United States)

    Jerkovic, Ivona; Koncar, Vladan; Grancaric, Ana Marija

    2017-10-10

    Many metallic structural and non-structural parts used in the transportation industry can be replaced by textile-reinforced composites. Composites made from a polymeric matrix and fibrous reinforcement have been increasingly studied during the last decade. On the other hand, the fast development of smart textile structures seems to be a very promising solution for in situ structural health monitoring of composite parts. In order to optimize composites' quality and their lifetime all the production steps have to be monitored in real time. Textile sensors embedded in the composite reinforcement and having the same mechanical properties as the yarns used to make the reinforcement exhibit actuating and sensing capabilities. This paper presents a new generation of textile fibrous sensors based on the conductive polymer complex poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) developed by an original roll to roll coating method. Conductive coating for yarn treatment was defined according to the preliminary study of percolation threshold of this polymer complex. The percolation threshold determination was based on conductive dry films' electrical properties analysis, in order to develop highly sensitive sensors. A novel laboratory equipment was designed and produced for yarn coating to ensure effective and equally distributed coating of electroconductive polymer without distortion of textile properties. The electromechanical properties of the textile fibrous sensors confirmed their suitability for in situ structural damages detection of textile reinforced thermoplastic composites in real time.

  5. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  6. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  7. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  8. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  9. Performance Analysis of Retrofitted Tribo-Corrosion Test Rig for Monitoring In Situ Oil Conditions

    Directory of Open Access Journals (Sweden)

    Arpith Siddaiah

    2017-09-01

    Full Text Available Oils and lubricants, once extracted after use from a mechanical system, can hardly be reused, and should be refurbished or replaced in most applications. New methods of in situ oil and lubricant efficiency monitoring systems have been introduced for a wide variety of mechanical systems, such as automobiles, aerospace aircrafts, ships, offshore wind turbines, and deep sea oil drilling rigs. These methods utilize electronic sensors to monitor the “byproduct effects” in a mechanical system that are not indicative of the actual remaining lifecycle and reliability of the oils. A reliable oil monitoring system should be able to monitor the wear rate and the corrosion rate of the tribo-pairs due to the inclusion of contaminants. The current study addresses this technological gap, and presents a novel design of a tribo-corrosion test rig for oils used in a dynamic system. A pin-on-disk tribometer test rig retrofitted with a three electrode-potentiostat corrosion monitoring system was used to analyze the corrosion and wear rate of a steel tribo-pair in industrial grade transmission oil. The effectiveness of the retrofitted test rig was analyzed by introducing various concentrations of contaminants in an oil medium that usually leads to a corrosive working environment. The results indicate that the retrofitted test rig can effectively monitor the in situ tribological performance of the oil in a controlled dynamic corrosive environment. It is a useful method to understand the wear–corrosion synergies for further experimental work, and to develop accurate predictive lifecycle assessment and prognostic models. The application of this system is expected to have economic benefits and help reduce the ecological oil waste footprint.

  10. Performance Analysis of Retrofitted Tribo-Corrosion Test Rig for Monitoring In Situ Oil Conditions.

    Science.gov (United States)

    Siddaiah, Arpith; Khan, Zulfiqar Ahmad; Ramachandran, Rahul; Menezes, Pradeep L

    2017-09-28

    Oils and lubricants, once extracted after use from a mechanical system, can hardly be reused, and should be refurbished or replaced in most applications. New methods of in situ oil and lubricant efficiency monitoring systems have been introduced for a wide variety of mechanical systems, such as automobiles, aerospace aircrafts, ships, offshore wind turbines, and deep sea oil drilling rigs. These methods utilize electronic sensors to monitor the "byproduct effects" in a mechanical system that are not indicative of the actual remaining lifecycle and reliability of the oils. A reliable oil monitoring system should be able to monitor the wear rate and the corrosion rate of the tribo-pairs due to the inclusion of contaminants. The current study addresses this technological gap, and presents a novel design of a tribo-corrosion test rig for oils used in a dynamic system. A pin-on-disk tribometer test rig retrofitted with a three electrode-potentiostat corrosion monitoring system was used to analyze the corrosion and wear rate of a steel tribo-pair in industrial grade transmission oil. The effectiveness of the retrofitted test rig was analyzed by introducing various concentrations of contaminants in an oil medium that usually leads to a corrosive working environment. The results indicate that the retrofitted test rig can effectively monitor the in situ tribological performance of the oil in a controlled dynamic corrosive environment. It is a useful method to understand the wear-corrosion synergies for further experimental work, and to develop accurate predictive lifecycle assessment and prognostic models. The application of this system is expected to have economic benefits and help reduce the ecological oil waste footprint.

  11. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  12. In-situ nanoelectrospray for high-throughput screening of enzymes and real-time monitoring of reactions.

    Science.gov (United States)

    Yang, Yuhan; Han, Feifei; Ouyang, Jin; Zhao, Yunling; Han, Juan; Na, Na

    2016-01-01

    The in-situ and high-throughput evaluation of enzymes and real-time monitoring of enzyme catalyzed reactions in liquid phase is quite significant in the catalysis industry. In-situ nanoelectrospray, the direct sampling and ionization method for mass spectrometry, has been applied for high-throughput evaluation of enzymes, as well as the on-line monitoring of reactions. Simply inserting a capillary into a liquid system with high-voltage applied, analytes in liquid reaction system can be directly ionized at the capillary tip with small volume consumption. With no sample pre-treatment or injection procedure, different analytes such as saccharides, amino acids, alkaloids, peptides and proteins can be rapidly and directly extracted from liquid phase and ionized at the capillary tip. Taking irreversible transesterification reaction of vinyl acetate and ethanol as an example, this technique has been used for the high-throughput evaluation of enzymes, fast optimizations, as well as real-time monitoring of reaction catalyzed by different enzymes. In addition, it is even softer than traditional electrospray ionization. The present method can also be used for the monitoring of other homogenous and heterogeneous reactions in liquid phases, which will show potentials in the catalysis industry. Copyright © 2015 Elsevier B.V. All rights reserved.

  13. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  14. MMP activity in the hybrid layer detected with in situ zymography.

    Science.gov (United States)

    Mazzoni, A; Nascimento, F D; Carrilho, M; Tersariol, I; Papa, V; Tjäderhane, L; Di Lenarda, R; Tay, F R; Pashley, D H; Breschi, L

    2012-05-01

    Dentinal proteases are believed to play an important role in the degradation of hybrid layers (HL). This study investigated the HL gelatinolytic activity by in situ zymography and functional enzyme activity assay. The hypotheses were that HLs created by an etch-and-rinse adhesive exhibit active gelatinolytic activity, and MMP-2 and -9 activities in dentin increase during adhesive procedures. Etched-dentin specimens were bonded with Adper Scotchbond 1XT and restored with composite. Adhesive/dentin interface slices were placed on microscope slides, covered with fluorescein-conjugated gelatin, and observed with a multi-photon confocal microscope after 24 hrs. Human dentin powder aliquots were prepared and assigned to the following treatments: A, untreated; B, etched with 10% phosphoric acid; or C, etched with 10% phosphoric acid and mixed with Scotchbond 1XT. The MMP-2 and -9 activities of extracts of dentin powder were measured with functional enzyme assays. Intense and continuous enzyme activity was detected at the bottom of the HL, while that activity was more irregular in the upper HL. Both acid-etching and subsequent adhesive application significantly increased MMP-2 and -9 activities (p < 0.05). The results demonstrate, for the first time, intrinsic MMP activity in the HL, and intense activation of matrix-bound MMP activity with both etching and adhesive application.

  15. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  16. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  17. Structural and functional changes in corneal innervation after laser in situ keratomileusis and their relationship with dry eye.

    Science.gov (United States)

    Chao, Cecilia; Stapleton, Fiona; Zhou, Xiangtian; Chen, Shihao; Zhou, Shi; Golebiowski, Blanka

    2015-11-01

    The most likely etiology of post-LASIK dry eye is corneal nerve damage; however, no direct relationship between post-LASIK dry eye symptoms and nerve damage has been established, and limited information is available about the relationship between dry eye signs and corneal reinnervation after LASIK. Tear neuropeptides (SP and CGRP) are important in the maintenance of corneal nerve health, but the impact of LASIK has not yet been studied. This study evaluated changes in nerve morphology, tear neuropeptide, and dry eye, so as to establish the relationship between reinnervation and dry eye and to assess the role of tear neuropeptides in reinnervation post-LASIK. Twenty non-dry eye volunteers who had undergone bilateral myopic-LASIK completed this study. Corneal nerve morphology (density, width, interconnections, and tortuosity), SP and CGRP concentration, and dry eye were monitored over time prior to, 1 day, 1 week, 1, 3, and 6 months post-LASIK. Dry eye symptoms and tear function, except for osmolarity (P = 0.003), remained unchanged post-LASIK. Corneal nerve morphology decreased immediately, and did not return to preoperative levels by 6 months post-LASIK (P dry eye symptoms (P = 0.01) were found post-LASIK. An inverse relationship between reinnervation post-LASIK and dry eye symptoms was found, confirming that post-LASIK dry eye is a neuropathic disease. This study is the first to demonstrate an association between tear SP and post-LASIK reinnervation, suggesting that strategies for manipulating neuropeptide concentration to improve reinnervation may improve ocular comfort post-LASIK.

  18. Post-LASIK dry eye

    OpenAIRE

    Shtein, Roni M

    2011-01-01

    Laser-assisted in situ keratomileusis (LASIK) is a frequently performed corneal refractive surgery with excellent refractive outcomes. The most common complication of LASIK is dry eyes, with virtually all patients developing some degree of dryness in the immediate postoperative period. Identifying preoperative dry eyes, and conscientious attention and treatment in the perioperative time period, can lead to enhanced patient satisfaction and more accurate visual outcomes. Improved understanding...

  19. In-Situ Creep Monitoring Using the Potential Drop Method

    Science.gov (United States)

    Madhi, E.; Sposito, G.; Davies, C. M.; Cawley, P.; Nagy, P. B.

    2011-06-01

    A directional low-frequency Alternating Current Potential Drop (ACPD) sensor was developed for in-situ monitoring of creep in metals. The sensor relies on a modified ACPD technique that measures simultaneously both values of resistance in the axial and lateral directions using a square electrode configuration. As compared to the more commonly used in-line electrode configuration, the square arrangement used in this sensor is much more directional and thus allows the detection of creep-induced anisotropy and texture. The technique monitors the variation in the ratio of the measured axial and lateral resistances, therefore can efficiently separate the mostly isotropic common part of the resistivity variation caused by reversible temperature variations from the mostly anisotropic differential part caused by direct geometrical and indirect material effects of creep. Initially, this ratio is roughly proportional to the axial creep strain, while at later stages, the resistance ratio increases even faster with creep strain because of the formation of directional discontinuities such as preferentially oriented grain boundary cavities and multiple-site microcracks in the material.

  20. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  1. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  2. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  3. Blocked Enzymatic Etching of Gold Nanorods: Application to Colorimetric Detection of Acetylcholinesterase Activity and Its Inhibitors.

    Science.gov (United States)

    Saa, Laura; Grinyte, Ruta; Sánchez-Iglesias, Ana; Liz-Marzán, Luis M; Pavlov, Valeri

    2016-05-04

    The anisotropic morphology of gold nanorods (AuNRs) has been shown to lead to nonuniform ligand distribution and preferential etching through their tips. We have recently demonstrated that this effect can be achieved by biocatalytic oxidation with hydrogen peroxide, catalyzed by the enzyme horseradish peroxidase (HRP). We report here that modification of AuNRs with thiol-containing organic molecules such as glutathione and thiocholine hinders enzymatic AuNR etching. Higher concentrations of thiol-containing molecules in the reaction mixture gradually decrease the rate of enzymatic etching, which can be monitored by UV-vis spectroscopy through changes in the AuNR longitudinal plasmon band. This effect can be applied to develop novel optical assays for acetylcholinesterase (AChE) activity. The biocatalytic hydrolysis of acetylthiocholine by AChE yields thiocholine, which prevents enzymatic AuNR etching in the presence of HRP. Additionally, the same bioassay can be used for the detection of nanomolar concentrations of AChE inhibitors such as paraoxon and galanthamine.

  4. Monitoring groundwater variation by satellite and implications for in-situ gravity measurements

    International Nuclear Information System (INIS)

    Fukuda, Yoichi; Yamamoto, Keiko; Hasegawa, Takashi; Nakaegawa, Toshiyuki; Nishijima, Jun; Taniguchi, Makoto

    2009-01-01

    In order to establish a new technique for monitoring groundwater variations in urban areas, the applicability of precise in-situ gravity measurements and extremely high precision satellite gravity data via GRACE (Gravity Recovery and Climate Experiment) was tested. Using the GRACE data, regional scale water mass variations in four major river basins of the Indochina Peninsula were estimated. The estimated variations were compared with Soil-Vegetation-Atmosphere Transfer Scheme (SVATS) models with a river flow model of 1) globally uniform river velocity, 2) river velocity tuned by each river basin, 3) globally uniform river velocity considering groundwater storage, and 4) river velocity tuned by each river basin considering groundwater storage. Model 3) attained the best fit to the GRACE data, and the model 4) yielded almost the same values. This implies that the groundwater plays an important role in estimating the variation of total terrestrial storage. It also indicates that tuning river velocity, which is based on the in-situ measurements, needs further investigations in combination with the GRACE data. The relationships among GRACE data, SVATS models, and in-situ measurements were also discussed briefly.

  5. Monitoring of In-Situ Remediation By Time Lapse 3D Geo-Electric Measurements

    Science.gov (United States)

    Kanli, A. I.; Tildy, P.; Neducza, B.; Nagy, P.; Hegymegi, C.

    2017-12-01

    Injection of chemical oxidant solution to degrade the subsurface contaminants can be used for hydrocarbon contamination remediation. In this study, we developed a non-destructive measurement strategy to monitor oxidative in-situ remediation processes. The difficulties of the presented study originate from the small volume of conductive solution that can be used due to environmental considerations. Due to the effect of conductive groundwater and the high clay content of the targeted layer and the small volume of conductive solution that can be used due to environmental considerations, a site specific synthetic modelling is necessary for measurement design involving the results of preliminary 2D ERT measurements, electrical conductivity measurements of different active agents and expected resistivity changes calculated by soil resistivity modelling. Because of chemical biodegradation, the results of soil resistivity modelling have suggested that the reagent have complex effects on contaminated soils. As a result the plume of resistivity changes caused by the injected agent was determined showing strong fracturing effect because of the high pressure of injection. 3D time-lapse geo-electric measurements were proven to provide a usable monitoring tool for in-situ remediation as a result of our sophisticated tests and synthetic modelling.

  6. A mini-microscope for in situ monitoring of cells.

    Science.gov (United States)

    Kim, Sang Bok; Koo, Kyo-in; Bae, Hojae; Dokmeci, Mehmet R; Hamilton, Geraldine A; Bahinski, Anthony; Kim, Sun Min; Ingber, Donald E; Khademhosseini, Ali

    2012-10-21

    A mini-microscope was developed for in situ monitoring of cells by modifying off-the-shelf components of a commercial webcam. The mini-microscope consists of a CMOS imaging module, a small plastic lens and a white LED illumination source. The CMOS imaging module was connected to a laptop computer through a USB port for image acquisition and analysis. Due to its compact size, 8 × 10 × 9 cm, the present microscope is portable and can easily fit inside a conventional incubator, and enables real-time monitoring of cellular behaviour. Moreover, the mini-microscope can be used for imaging cells in conventional cell culture flasks, such as Petri dishes and multi-well plates. To demonstrate the operation of the mini-microscope, we monitored the cellular migration of mouse 3T3 fibroblasts in a scratch assay in medium containing three different concentrations of fetal bovine serum (5, 10, and 20%) and demonstrated differential responses depending on serum levels. In addition, we seeded embryonic stem cells inside poly(ethylene glycol) microwells and monitored the formation of stem cell aggregates in real time using the mini-microscope. Furthermore, we also combined a lab-on-a-chip microfluidic device for microdroplet generation and analysis with the mini-microscope and observed the formation of droplets under different flow conditions. Given its cost effectiveness, robust imaging and portability, the presented platform may be useful for a range of applications for real-time cellular imaging using lab-on-a-chip devices at low cost.

  7. Long-term bond strength of adhesive systems applied to etched and deproteinized dentin

    Directory of Open Access Journals (Sweden)

    Ninoshka Uceda-Gómez

    2007-12-01

    Full Text Available The aim of this study was to evaluate the early and 12-month bond strength of two adhesive systems (Single Bond-SB and One Step-OS applied to demineralized dentin (WH and demineralized/NaOCl-treated dentin (H. Twenty flat dentin surfaces were exposed, etched, rinsed and slightly dried. For the H groups, a solution of 10% NaOCl was applied for 60 s, rinsed (15 s and slightly dried. The adhesives were applied according to the manufacturer's instructions and composite resin crowns were incrementally constructed. After 24 h (water-37ºC, the specimens was sectioned in order to obtain resin-dentin sticks (0.8 mm². The specimens were tested in microtensile (0.5 mm/min immediately (IM or after 12 months of water storage (12M. The data (MPa were subjected to ANOVA and Tukey's test (a=0.05. Only the main factors adhesive and time were significant (p=0.004 and p=0.003, respectively. SB (42.3±9.1 showed higher bond strengths than OS (33.6±11.6. The mean bond strength for IM-group (42.5±8.7 was statistically superior to 12M (33.3±11.8. The use of 10% NaOCl, after acid etching, did not improve the immediate and the long-term resin-dentin bond strength.

  8. Development of Nano-crystalline Doped-Ceramic Enabled Fiber Sensors for High Temperature In-Situ Monitoring of Fossil Fuel Gases

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Hai [Missouri Univ. of Science and Technology, Rolla, MO (United States); Dong, Junhang [Univ. of Cincinnati, OH (United States); Lin, Jerry [Arizona State Univ., Tempe, AZ (United States); Romero, Van [New Mexico Institute of Mining and Technology, Socorro, NM (United States)

    2012-03-01

    This is a final technical report for the first project year from July 1, 2005 to Jan 31, 2012 for DoE/NETL funded project DE-FC26-05NT42439: Development of Nanocrystalline Doped-Ceramic Enabled Fiber Sensors for High Temperature In-Situ Monitoring of Fossil Fuel Gases. This report summarizes the technical progresses and achievements towards the development of novel nanocrystalline doped ceramic material-enabled optical fiber sensors for in situ and real time monitoring the gas composition of flue or hot gas streams involved in fossil-fuel based power generation and hydrogen production.

  9. Cold Vacuum Drying facility personnel monitoring system design description

    International Nuclear Information System (INIS)

    PITKOFF, C.C.

    1999-01-01

    This document describes the Cold Vacuum Drying Facility (CVDF) instrument air (IA) system that provides instrument quality air to the CVDF. The IA system provides the instrument quality air used in the process, HVAC, and HVAC instruments. The IA system provides the process skids with air to aid in the purging of the annulus of the transport cask. The IA system provides air for the solenoid-operated valves and damper position controls for isolation, volume, and backdraft in the HVAC system. The IA system provides air for monitoring and control of the HVAC system, process instruments, gas-operated valves, and solenoid-operated instruments. The IA system also delivers air for operating hand tools in each of the process bays

  10. In situ nonlinear ultrasonic technique for monitoring microcracking in concrete subjected to creep and cyclic loading.

    Science.gov (United States)

    Kim, Gun; Loreto, Giovanni; Kim, Jin-Yeon; Kurtis, Kimberly E; Wall, James J; Jacobs, Laurence J

    2018-08-01

    This research conducts in situ nonlinear ultrasonic (NLU) measurements for real time monitoring of load-induced damage in concrete. For the in situ measurements on a cylindrical specimen under sustained load, a previously developed second harmonic generation (SHG) technique with non-contact detection is adapted to a cylindrical specimen geometry. This new setup is validated by demonstrating that the measured nonlinear Rayleigh wave signals are equivalent to those in a flat half space, and thus the acoustic nonlinearity parameter, β can be defined and interpreted in the same way. Both the acoustic nonlinearity parameter and strain are measured to quantitatively assess the early-age damage in a set of concrete specimens subjected to either 25 days of creep, or 11 cycles of cyclic loading at room temperature. The experimental results show that the acoustic nonlinearity parameter is sensitive to early-stage microcrack formation under both loading conditions - the measured β can be directly linked to the accumulated microscale damage. This paper demonstrates the potential of NLU for the in situ monitoring of mechanical load-induced microscale damage in concrete components. Copyright © 2018 Elsevier B.V. All rights reserved.

  11. SEALEX in-situ experiments-performance tests of repository seals: experimental observations and modelling

    Directory of Open Access Journals (Sweden)

    Mokni Nadia

    2016-01-01

    Full Text Available The paper describes observations and numerical analysis of SEALEX performance tests installed in Tournemire Underground Research Laboratory (URL. One of the objectives of the large scale in-situ tests is to investigate the impact of technological gaps on the long term performance of bentonite based seals. The swelling cores consist of pre-compacted blocks of a natural sodic Wyoming bentonite (MX80 type mixed with quartz sand in a ratio of 70/30 (in dry mass with different geometries (monolithic disks or four jointed disks. Several technological gaps exist within the in situ tests: Gaps between the blocks and annular gap with variable width between the bentonite-based core and the host rock. All the tests are extensively instrumented for monitoring the main Hydro-Mechanical (HM variables. Comparison of the experimental results showed that the presence of technological gaps constituted new hydration sources (annular gaps and flow paths (gaps between the blocks that changed the saturation kinetics. A coupled HM formulation that incorporates the relevant processes involved in the problem under consideration has been adopted to analyse the effect of the annular technological gap on dry density homogenization of the bentonite based core as hydration progresses. Technological gaps were demonstrated to have an impact on dry density distribution.

  12. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  13. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  14. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  15. Bio-inspired nanobowl/nanoball structures fabricated via solvent etching/swelling on nanosphere assembly patterns

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Wan-Yi; Liu, Pang-Hsin; Wu, You [Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, ROC (China); Chung, Yi-Chang, E-mail: ycchung@nuk.edu.tw [Department of Chemical and Materials Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, ROC (China); Research Center for Energy Technology and Strategy, National Cheng Kung University, Tainan 701, Taiwan, ROC (China)

    2014-11-03

    Ordered self-assembled nanopatterns have attracted much attention for their ability to mimic moth-eye structures and display unique optical properties. In the study, emulsifier-free emulsion polymerization was performed to prepare polystyrene nanospheres with uniform size distribution. Various hydrophilic monomers were added to copolymerize with styrene, including 2-hydroxyethyl methacrylate, acrylic acid, and methyl acrylic acid, respectively, to enhance the self-assembling ability of nanospheres. The nanosphere suspension was injected into an air–water interface to self-assemble a nanosphere array, and then the resulting photonic crystal film was deposited on a substrate using a scooping transfer technique. The layer-by-layer scooping transfer technique can be applied to produce 2D and 3D assembled nanosphere layers on an area as large as a 4-inch wafer. The pattern of the 2D nanosphere array was attached to a UV-curable precursor surface and then encapsulated and transferred to the crosslinked resin after UV irradiation. The sample was then immersed into some solvents which could partially swell the resin surface to produce nanoball structures or etch the surface to generate nanobowl structures. The size of the as-prepared polystyrene spheres was about 360 nm, while the feature size of the nanoballs was about 230 nm after undergoing acetonitrile swelling. The facile and inexpensive technique can be applied to produce ordered nanoball patterns for various applications, such as optical coatings, superhydrophobic coatings, biophotosensors, antireflection films, dry adhesives, and so on. - Highlights: • We prepared core-shell PS nanosphere suspensions with narrow-size-distribution. • We employed a scooping technique to fabricate large-area nanosphere monolayers. • Swelling by acetonitrile formed nanoballs on a UV resin/nanosphere laminated layer. • Etching by toluene produced nanobowl on the UV resin/nanosphere laminated layer. • The parted nanoball

  16. New Textile Sensors for In Situ Structural Health Monitoring of Textile Reinforced Thermoplastic Composites Based on the Conductive Poly(3,4-ethylenedioxythiophene-poly(styrenesulfonate Polymer Complex

    Directory of Open Access Journals (Sweden)

    Ivona Jerkovic

    2017-10-01

    Full Text Available Many metallic structural and non-structural parts used in the transportation industry can be replaced by textile-reinforced composites. Composites made from a polymeric matrix and fibrous reinforcement have been increasingly studied during the last decade. On the other hand, the fast development of smart textile structures seems to be a very promising solution for in situ structural health monitoring of composite parts. In order to optimize composites’ quality and their lifetime all the production steps have to be monitored in real time. Textile sensors embedded in the composite reinforcement and having the same mechanical properties as the yarns used to make the reinforcement exhibit actuating and sensing capabilities. This paper presents a new generation of textile fibrous sensors based on the conductive polymer complex poly(3,4-ethylenedioxythiophene-poly(styrenesulfonate developed by an original roll to roll coating method. Conductive coating for yarn treatment was defined according to the preliminary study of percolation threshold of this polymer complex. The percolation threshold determination was based on conductive dry films’ electrical properties analysis, in order to develop highly sensitive sensors. A novel laboratory equipment was designed and produced for yarn coating to ensure effective and equally distributed coating of electroconductive polymer without distortion of textile properties. The electromechanical properties of the textile fibrous sensors confirmed their suitability for in situ structural damages detection of textile reinforced thermoplastic composites in real time.

  17. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  18. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  19. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  20. In situ nanoparticle diagnostics by multi-wavelength Rayleigh-Mie scattering ellipsometry

    CERN Document Server

    Gebauer, G

    2003-01-01

    We present and discuss the method of multiple-wavelength Rayleigh-Mie scattering ellipsometry for the in situ analysis of nanoparticles. It is applied to the problem of nanoparticles suspended in low-pressure plasmas. We discuss experimental results demonstrating that the size distribution and the complex refractive index can be determined with high accuracy and present a study on the in situ analysis of etching of melamine-formaldehyde nanoparticles suspended in an oxygen plasma. It is also shown that particles with a shell structure (core plus mantle) can be analysed by Rayleigh-Mie scattering ellipsometry. Rayleigh-Mie scattering ellipsometry is also applicable to in situ analysis of nanoparticles under high gas pressures and in liquids.

  1. Measurements of dry-deposition parameters for the California acid-deposition monitoring program. Final report

    International Nuclear Information System (INIS)

    Watson, J.G.; Chow, J.C.; Egami, R.T.; Bowen, J.L.; Frazier, C.A.

    1991-06-01

    The State of California monitors the concentrations of acidic gases and particles at 10 sites throughout the state. Seven sites represent urban areas (South Coast Air Basin - three sites, San Francisco Bay Area, Bakersfield, Santa Barbara, and Sacramento) and three represent forested areas (Sequoia National Park, Yosemite National Park, and Gasquet). Several sites are collocated with monitoring instruments for other air quality and forest response networks. Continuous monitors for the dry deposition network collect hourly average values for ozone, wind speed, wind direction, atmospheric stability, temperature, dew point, time of wetness, and solar radiation. A newly-designed gas/particle sampler collects daytime (6 a.m. to 6 p.m.) and nighttime (6 p.m. to 6 a.m.) samples every sixth day for sulfur dioxide, ammonia, nitrogen dioxide, and nitric acid. Particles are collected on the same day/night schedule in PM(10) and PM(2.5) size ranges, and are analyzed for mass, sulfate, nitrate, chloride, ammonium, sodium, magnesium, potassium, and calcium ions. The sampling schedule follows the regulatory schedule adopted by the EPA and ARB for suspended particulate matter. Wet deposition data are collected at or nearby the dry deposition stations. The first year of the monitoring program included installation of the network, training of technicians, acquisition and validation of data, and transfer of the sampling and analysis technology to Air Resources Board operating divisions. Data have been validated and stored for the period May, 1988 through September, 1989

  2. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  3. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  4. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    Science.gov (United States)

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  5. In-situ continuous scanning high efficiency particulate air (HEPA) filter monitoring system

    International Nuclear Information System (INIS)

    Kirchner, K.N.; Johnson, C.M.; Lucerna, J.J.; Barnett, R.L.

    1985-01-01

    The testing and replacement of HEPA filters, which are widely used in the nuclear industry to purify process air before it is ventilated to the atmosphere, is a costly and labor-intensive undertaking. Current methods of testing filter performance, such as differential pressure measurement and scanning air monitoring, allow for determination of overall filter performance but preclude detection of symptoms of incipient filter failure, such as small holes in the filters themselves. Using current technology, a continual in-situ monitoring system has been designed which provides three major improvements over current methods of filter testing and replacement. This system (1) realizes a cost savings by reducing the number of intact filters which are currently being replaced unnecessarily, (2) provides a more accurate and quantitative measurement of filter performance than is currently achieved with existing testing methods, and (3) reduces personnel exposure to a radioactive environment by automatically performing most testing operations. The operation and performance of the HEPA filter monitoring system are discussed

  6. Physicochemical characteristics of PFC surfactants for dry decontamination

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Won Jin; Lee, Chi Woo [Korea University, Seoul (Korea)

    2001-04-01

    Even the trace amount of the used nuclear fuels of high radioactivity are hazardous to the earth and humans. Perfluorocarbons and perfluorocarbon surfactants are emerging to be efficient chemicals in the dry decontamination process of the used fuels of high radioactivity. The theme was undertaken to increase the knowledge on perfluorocarbon surfactants to develop the perfluorocarbon system in the dry decontamination process in Korea. Several cationic and anionic pfc surfactants were synthesized. Effects of pfc surfactants on electrochemical etching of silicon were investigated to form porous silicons. Forces were measured between silicon surfaces and AFM tip in the absence and presence of pfc surfactants. 7 refs., 10 figs. (Author)

  7. Evaluation of chemical sensors for in situ ground-water monitoring at the Hanford Site

    Energy Technology Data Exchange (ETDEWEB)

    Murphy, E.M.; Hostetler, D.D.

    1989-03-01

    This report documents a preliminary review and evaluation of instrument systems and sensors that may be used to detect ground-water contaminants in situ at the Hanford Site. Three topics are covered in this report: (1) identification of a group of priority contaminants at Hanford that could be monitored in situ, (2) a review of current instrument systems and sensors for environmental monitoring, and (3) an evaluation of instrument systems that could be used to monitor Hanford contaminants. Thirteen priority contaminants were identified in Hanford ground water, including carbon tetrachloride and six related chlorinated hydrocarbons, cyanide, methyl ethyl ketone, chromium (VI), fluoride, nitrate, and uranium. Based on transduction principles, chemical sensors were divided into four classes, ten specific types of instrument systems were considered: fluorescence spectroscopy, surface-enhanced Raman spectroscopy (SERS), spark excitation-fiber optic spectrochemical emission sensor (FOSES), chemical optrodes, stripping voltammetry, catalytic surface-modified ion electrode immunoassay sensors, resistance/capacitance, quartz piezobalance and surface acoustic wave devices. Because the flow of heat is difficult to control, there are currently no environmental chemical sensors based on thermal transduction. The ability of these ten instrument systems to detect the thirteen priority contaminants at the Hanford Site at the required sensitivity was evaluated. In addition, all ten instrument systems were qualitatively evaluated for general selectivity, response time, reliability, and field operability. 45 refs., 23 figs., 7 tabs.

  8. Evaluation of chemical sensors for in situ ground-water monitoring at the Hanford Site

    International Nuclear Information System (INIS)

    Murphy, E.M.; Hostetler, D.D.

    1989-03-01

    This report documents a preliminary review and evaluation of instrument systems and sensors that may be used to detect ground-water contaminants in situ at the Hanford Site. Three topics are covered in this report: (1) identification of a group of priority contaminants at Hanford that could be monitored in situ, (2) a review of current instrument systems and sensors for environmental monitoring, and (3) an evaluation of instrument systems that could be used to monitor Hanford contaminants. Thirteen priority contaminants were identified in Hanford ground water, including carbon tetrachloride and six related chlorinated hydrocarbons, cyanide, methyl ethyl ketone, chromium (VI), fluoride, nitrate, and uranium. Based on transduction principles, chemical sensors were divided into four classes, ten specific types of instrument systems were considered: fluorescence spectroscopy, surface-enhanced Raman spectroscopy (SERS), spark excitation-fiber optic spectrochemical emission sensor (FOSES), chemical optrodes, stripping voltammetry, catalytic surface-modified ion electrode immunoassay sensors, resistance/capacitance, quartz piezobalance and surface acoustic wave devices. Because the flow of heat is difficult to control, there are currently no environmental chemical sensors based on thermal transduction. The ability of these ten instrument systems to detect the thirteen priority contaminants at the Hanford Site at the required sensitivity was evaluated. In addition, all ten instrument systems were qualitatively evaluated for general selectivity, response time, reliability, and field operability. 45 refs., 23 figs., 7 tabs

  9. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  10. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  11. Investigation on the Vibration Effect of Shock Wave in Rock Burst by In Situ Microseismic Monitoring

    Directory of Open Access Journals (Sweden)

    Mingshi Gao

    2018-01-01

    Full Text Available Rock burst is a physical explosion associated with enormous damage at a short time. Due to the complicity of mechanics of rock burst in coal mine roadway, the direct use of traditional investigation method applied in tunnel is inappropriate since the components of surrounding rock are much more complex in underground than that of tunnel. In addition, the reliability of the results obtained through these methods (i.e., physical simulation, theoretical analysis, and monitoring in filed application is still not certain with complex geological conditions. Against this background, present experimental study was first ever conducted at initial site to evaluate the effect of shock wave during the rock burst. TDS-6 microseismic monitoring system was set up in situ to evaluate the propagation of shock wave resulting in microexplosions of roadway surrounding rock. Various parameters including the distance of epicentre and the characteristic of response have been investigated. Detailed test results revealed that (1 the shock wave attenuated exponentially with the increase of the distance to seismic source according to the equation of E=E0e-ηl; particularly, the amplitude decreased significantly after being 20 m apart from explosive resource and then became very weak after being 30 m apart from the seismic source; (2 the response mechanics are characteristic with large scatter based on the real location of surrounding rock despite being at the same section. That is, the surrounding rock of floor experienced serious damage, followed by ribs, the roof, and the humeral angles. This in situ experimental study also demonstrated that microseismic monitoring system can be effectively used in rock burst through careful setup and data investigation. The proposed in situ monitoring method has provided a new way to predict rock burst due to its simple instalment procedure associated with direct and reasonable experimental results.

  12. Do conventional monitoring practices indicate in situ air sparging performance?

    International Nuclear Information System (INIS)

    Johnson, P.C.

    1995-01-01

    Short-term pilot tests play a key role in the selection and design of in situ air sparging systems. Most pilot tests are less than 24 h in duration and consist of monitoring changes in dissolved oxygen, water levels in wells, soil gas pressures, and soil gas contaminant concentrations while air is injected into the aquifer. These parameters are assumed to be indicators of air sparging feasibility and performance, and are also used in the design of full-scale systems. In this work the authors assess the validity of this critical assumption. Data are presented from a study site where a typical pilot-scale short-term test was conducted, followed by continued operation of a full-scale system for 110 days. Conventional sampling practices were augmented with more discrete and detailed assessment methods. In addition, a tracer gas was used to better understand air distributions, vapor flow paths, and vapor recovery efficiency. The data illustrate that conclusions regarding the performance and applicability of air sparging at the study site vary significantly depending on the monitoring approach used. There was no clear correlation between short-term pilot-test data and extended system performance when using data collected only from conventional groundwater monitoring wells. Attention is focused on petroleum hydrocarbons

  13. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  14. Comparative evaluation of self-etching primers and phosphoric acid effectiveness on composite to enamel bond: an in vitro study.

    Science.gov (United States)

    Patil, Basanagouda S; Rao, Bk Raghavendra; Sharathchandra, Sm; Hegde, Reshma; Kumar, G Vinay

    2013-09-01

    The aim of the present study was to investigate the effectiveness of the one total-etch self-priming adhesive, one two-step self-etching primer adhesive, and one 'all-in-one' self-etching adhesive system on the adhesion of a resin composite to enamel. Thirty-six freshly extracted human mandibular molars were selected for this study. A fat area about 5 mm in diameter was created on the exposed mesial surface of enamel of each tooth by moist grinding with 320, 420 and 600 grit silicon carbide paper. Twelve teeth were randomly assigned into three groups. In group 1, Adper Easy One (3M ESPE), a one step self-etching primer adhesive was applied and light curing unit for 10 seconds. In group 2, Adper SE Plus, a two-step self-etching primer with bottle A containing the aqueous primer and bottle B containing the acidic adhesive was applied and light cured for 10 seconds. Group 3 (control)-etchant 37% phosphoric acid is applied to the surface for 15 seconds and rinsed with water and air dried and adhesive (single bond 2) is applied to the surface and tube is placed and light cured for 20 seconds. Composite material (Z350) was placed in the tube and light cured for 40 seconds in all the groups. Bond strength testing was done using universal testing machine at the enamel-composite interface. The debonded enamel surface was evaluated in stereomicroscope to assess the cohesive, adhesive or mixed fracture. Data was statistically analyzed by one way analysis of variance (ANOVA). Group 1 performed least among all groups with a mean score of 19.46 MPa. Group 2 had a mean score of 25.67 MPa. Group 3 had a mean score of 27.16 MPa. Under the conditions of this in vitro study, the bond strength values of the two-step self-etching primer systems tested were similar to the total-etch. And, one step self-etching primers have lower bond strength compared to the total-etch.

  15. Examining the Suitability of a Sparse In Situ Soil Moisture Monitoring Network for Assimilation into a Spatially Distributed Hydrologic Model

    Science.gov (United States)

    De Vleeschouwer, N.; Verhoest, N.; Pauwels, V. R. N.

    2015-12-01

    The continuous monitoring of soil moisture in a permanent network can yield an interesting data product for use in hydrological data assimilation. Major advantages of in situ observations compared to remote sensing products are the potential vertical extent of the measurements, the finer temporal resolution of the observation time series, the smaller impact of land cover variability on the observation bias, etc. However, two major disadvantages are the typical small integration volume of in situ measurements and the often large spacing between monitoring locations. This causes only a small part of the modelling domain to be directly observed. Furthermore, the spatial configuration of the monitoring network is typically temporally non-dynamic. Therefore two questions can be raised. Do spatially sparse in situ soil moisture observations contain a sufficient data representativeness to successfully assimilate them into the largely unobserved spatial extent of a distributed hydrological model? And if so, how is this assimilation best performed? Consequently two important factors that can influence the success of assimilating in situ monitored soil moisture are the spatial configuration of the monitoring network and the applied assimilation algorithm. In this research the influence of those factors is examined by means of synthetic data-assimilation experiments. The study area is the ± 100 km² catchment of the Bellebeek in Flanders, Belgium. The influence of the spatial configuration is examined by varying the amount of locations and their position in the landscape. The latter is performed using several techniques including temporal stability analysis and clustering. Furthermore the observation depth is considered by comparing assimilation of surface layer (5 cm) and deeper layer (50 cm) observations. The impact of the assimilation algorithm is assessed by comparing the performance obtained with two well-known algorithms: Newtonian nudging and the Ensemble Kalman

  16. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    Science.gov (United States)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  17. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  18. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  19. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  20. Fiber Bragg Grating Sensor to Monitor Stress Kinetics in Drying Process of Commercial Latex Paints

    Directory of Open Access Journals (Sweden)

    Ivo de Lourenço

    2010-05-01

    Full Text Available In this paper, we report a study about the application of packaged fiber Bragg gratings used as strain sensors to monitor the stress kinetics during the drying process of commercial latex paints. Three stages of drying with distinct mechanical deformation and temporal behaviors were identified for the samples, with mechanical deformation from 15 μm to 21 μm in the longitudinal film dimension on time intervals from 370 to 600 minutes. Drying time tests based on human sense technique described by the Brazilian Technical Standards NBR 9558 were also done. The results obtained shows that human sense technique has a limited perception of the drying process and that the optical measurement system proposed can be used to characterize correctly the dry-through stage of paint. The influence of solvent (water addition in the drying process was also investigated. The paint was diluted with four parts paint and one part water (80% paint, and one part paint and one part water (50% paint. It was observed that the increase of the water ratio mixed into the paint decreases both the mechanical deformation magnitude and the paint dry-through time. Contraction of 5.2 μm and 10.4 μm were measured for concentrations of 50% and 80% of paint in the mixture, respectively. For both diluted paints the dry-through time was approximately 170 minutes less than undiluted paint. The optical technique proposed in this work can contribute to the development of new standards to specify the drying time of paint coatings.

  1. In Situ Monitoring of Chemical Reactions at a Solid-Water Interface by Femtosecond Acoustics.

    Science.gov (United States)

    Shen, Chih-Chiang; Weng, Meng-Yu; Sheu, Jinn-Kong; Yao, Yi-Ting; Sun, Chi-Kuang

    2017-11-02

    Chemical reactions at a solid-liquid interface are of fundamental importance. Interfacial chemical reactions occur not only at the very interface but also in the subsurface area, while existing monitoring techniques either provide limited spatial resolution or are applicable only for the outmost atomic layer. Here, with the aid of the time-domain analysis with femtosecond acoustics, we demonstrate a subatomic-level-resolution technique to longitudinally monitor chemical reactions at solid-water interfaces, capable of in situ monitoring even the subsurface area under atmospheric conditions. Our work was proven by monitoring the already-known anode oxidation process occurring during photoelectrochemical water splitting. Furthermore, whenever the oxide layer thickness equals an integer  number of the effective atomic layer thickness, the measured acoustic echo will show higher signal-to-noise ratios with reduced speckle noise, indicating the quantum-like behavior of this coherent-phonon-based technique.

  2. Improved degree of conversion of model self-etching adhesives through their interaction with dentin

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2011-01-01

    Objective To investigate the correlation of the chemical interaction between model self-etching adhesives and dentin with the degree of conversion (DC) of the adhesives. Methods The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentin surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. Results The DCs of the adhesives cured on the dentin substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentin surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentin was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm−1 and 960 cm−1 in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Conclusions Interaction with dentin dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterize the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. PMID:22024375

  3. Improved degree of conversion of model self-etching adhesives through their interaction with dentine.

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    To investigate the correlation of the chemical interaction between model self-etching adhesives and dentine with the degree of conversion (DC) of the adhesives. The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentine surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. The DCs of the adhesives cured on the dentine substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentine surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentine was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm(-1) and 960 cm(-1) in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Interaction with dentine dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterise the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. Copyright © 2011 Elsevier Ltd. All rights reserved.

  4. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  5. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  6. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  7. Ex-situ XPS-investigation of the interface between PE-CVD SiO{sub 2} and wet chemically etched MO-CVD epitaxial layers of In{sub 0.53}Ga{sub 0.47}As

    Energy Technology Data Exchange (ETDEWEB)

    Procop, M. [Bundesanstalt fuer Materialforschung und -pruefung, Berlin (Germany); Wandel, K. [Humboldt-Universitaet, Berlin (Germany). Inst. fuer Festkoerperphysik; Verucchi, R. [Modena Univ. (Italy). Ist. di Fisica

    1995-11-01

    The As rich SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface which is produced by wet chemical etching before SiO{sub 2} deposition to improve the electronic properties of the interface has been studied. SiO{sub 2}-layers of about 10 to 20 nm thickness have been deposited in a plasma enhanced chemical vapour deposition (PECVD) reactor and then thinned down to about 4 to 3 nm by 1.5 keV Ar ion beam bombardment at grazing incidence (85 ) in the XPS analysis chamber. The photoelectron spectra show that an additional broadening of the In and As lines due to a possible ion beam damage can be neglected in case of a qualitative interpretation of the interface spectra. Moreover, TRIM simulations of the collision cascade reveal low damage production in the SiO{sub 2}/In{sub 0.53}Ga{sub 0.47}As interface region. Therefore such ex-situ XPS experiments allow a supervision of the interface chemistry after the fabrication process and an optimisation of the technology with regard to the etching solution and deposition conditions. The conservation or removal of the elemental arsenic and the oxidation of the semiconductor due to the SiO{sub 2} deposition are well reflected in the photoelectron spectra. (orig.)

  8. Influence of Etching Protocol and Silane Treatment with a Universal Adhesive on Lithium Disilicate Bond Strength.

    Science.gov (United States)

    Kalavacharla, V K; Lawson, N C; Ramp, L C; Burgess, J O

    2015-01-01

    To measure the effects of hydrofluoric acid (HF) etching and silane prior to the application of a universal adhesive on the bond strength between lithium disilicate and a resin. Sixty blocks of lithium disilicate (e.max CAD, Ivoclar Vivadent) were sectioned into coupons and polished. Specimens were divided into six groups (n=10) based on surface pretreatments, as follows: 1) no treatment (control); 2) 5% HF etch for 20 seconds (5HF); 3) 9.5% HF etch for 60 seconds (9.5HF); 4) silane with no HF (S); 5) 5% HF for 20 seconds + silane (5HFS); and 6) 9.5% HF for 60 seconds + silane (9.5HFS). All etching was followed by rinsing, and all silane was applied in one coat for 20 seconds and then dried. The universal adhesive (Scotchbond Universal, 3M ESPE) was applied onto the pretreated ceramic surface, air thinned, and light cured for 10 seconds. A 1.5-mm-diameter plastic tube filled with Z100 composite (3M ESPE) was applied over the bonded ceramic surface and light cured for 20 seconds on all four sides. The specimens were thermocycled for 10,000 cycles (5°C-50°C/15 s dwell time). Specimens were loaded until failure using a universal testing machine at a crosshead speed of 1 mm/min. The peak failure load was used to calculate the shear bond strength. Scanning electron microscopy images were taken of representative e.max specimens from each group. A two-way analysis of variance (ANOVA) determined that there were significant differences between HF etching, silane treatment, and the interaction between HF and silane treatment (puniversal adhesive.

  9. Data Mining of the Thermal Performance of Cool-Pipes in Massive Concrete via In Situ Monitoring

    OpenAIRE

    Zuo, Zheng; Hu, Yu; Li, Qingbin; Zhang, Liyuan

    2014-01-01

    Embedded cool-pipes are very important for massive concrete because their cooling effect can effectively avoid thermal cracks. In this study, a data mining approach to analyzing the thermal performance of cool-pipes via in situ monitoring is proposed. Delicate monitoring program is applied in a high arch dam project that provides a good and mass data source. The factors and relations related to the thermal performance of cool-pipes are obtained in a built theory thermal model. The supporting ...

  10. Role of chamber dimension in fluorocarbon based deposition and etching of SiO2 and its effects on gas and surface-phase chemistry

    International Nuclear Information System (INIS)

    Joseph, E. A.; Zhou, B.-S.; Sant, S. P.; Overzet, L. J.; Goeckner, M. J.

    2008-01-01

    It is well understood that chamber geometry is an influential factor governing plasma processing of materials. Simple models suggest that a large fraction of this influence is due to changes in basic plasma properties, namely, density, temperature, and potential. However, while such factors do play an important role, they only partly describe the observed differences in process results. Therefore, to better elucidate the role of chamber geometry in this work, the authors explore the influence of plasma chemistry and its symbiotic effect on plasma processing by decoupling the plasma density, temperature, and potential from the plasma-surface (wall) interactions. Specifically, a plasma system is used with which the authors can vary the chamber dimension so as to vary the plasma-surface interaction directly. By varying chamber wall diameter, 20-66 cm, and source-platen distance, 4-6 cm, the etch behavior of SiO 2 (or the deposition behavior of fluorocarbon polymer) and the resulting gas-phase chemistry change significantly. Results from in situ spectroscopic ellipsometry show significant differences in etch characteristics, with etch rates as high as 350 nm/min and as low as 75 nm/min for the same self-bias voltage. Fluorocarbon deposition rates are also highly dependent on chamber dimension and vary from no net deposition to deposition rates as high as 225 nm/min. Etch yields, however, remain unaffected by the chamber size variations. From Langmuir probe measurements, it is clear that chamber geometry results in significant shifts in plasma properties such as electron and ion densities. Indeed, such measurements show that on-wafer processes are limited at least in part by ion flux for high energy reactive ion etch. However, in situ multipass Fourier transform infrared spectroscopy reveals that the line-averaged COF 2 , SiF 4 , CF 2 , and CF 3 gas-phase densities are also dependent on chamber dimension at high self-bias voltage and also correlate well to the CF x

  11. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  12. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    Science.gov (United States)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-05-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiOx layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W /L=10μm/50μm) fabricated on glass exhibited a high field-effect mobility of 35.8cm2/Vs, a subthreshold gate swing value of 0.59V/decade, a thrseshold voltage of 5.9V, and an Ion/off ratio of 4.9×106, which is acceptable for use as the switching transistor of an active-matrix TFT backplane.

  13. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  14. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  15. In situ nanoscale refinement by highly controllable etching of the (111) silicon crystal plane and its influence on the enhanced electrical property of a silicon nanowire

    International Nuclear Information System (INIS)

    Gong Yibin; Dai Pengfei; Gao Anran; Li Tie; Zhou Ping; Wang Yuelin

    2011-01-01

    Nanoscale refinement on a (100) oriented silicon-on-insulator (SOI) wafer was introduced by using tetra-methyl-ammonium hydroxide (TMAH, 25 wt%) anisotropic silicon etchant, with temperature kept at 50 °C to achieve precise etching of the (111) crystal plane. Specifically for a silicon nanowire (SiNW) with oxide sidewall protection, the in situ TMAH process enabled effective size reduction in both lateral (2.3 nm/min) and vertical (1.7 nm/min) dimensions. A sub-50 nm SiNW with a length of microns with uniform triangular cross-section was achieved accordingly, yielding enhanced field effect transistor (FET) characteristics in comparison with its 100 nm-wide pre-refining counterpart, which demonstrated the feasibility of this highly controllable refinement process. Detailed examination revealed that the high surface quality of the (111) plane, as well as the bulk depletion property should be the causes of this electrical enhancement, which implies the great potential of the as-made cost-effective SiNW FET device in many fields. (semiconductor materials)

  16. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  17. Universal chitosan-assisted synthesis of Ag-including heterostructured nanocrystals for label-free in situ SERS monitoring.

    Science.gov (United States)

    Cai, Kai; Xiao, Xiaoyan; Zhang, Huan; Lu, Zhicheng; Liu, Jiawei; Li, Qin; Liu, Chen; Foda, Mohamed F; Han, Heyou

    2015-12-07

    A universal chitosan-assisted method was developed to synthesize various Ag-including heterostructured nanocrystals, in which chelation probably plays a vital role. The as-prepared Ag/Pd heterostructured nanocrystals show outstanding properties when used as bifunctional nanocomposites in label-free in situ SERS monitoring of Pd-catalyzed reaction.

  18. A mini-microscope for in situ monitoring of cells†‡

    Science.gov (United States)

    Kim, Sang Bok; Koo, Kyo-in; Bae, Hojae; Dokmeci, Mehmet R.; Hamilton, Geraldine A.; Bahinski, Anthony; Kim, Sun Min; Ingber, Donald E.

    2013-01-01

    A mini-microscope was developed for in situ monitoring of cells by modifying off-the-shelf components of a commercial webcam. The mini-microscope consists of a CMOS imaging module, a small plastic lens and a white LED illumination source. The CMOS imaging module was connected to a laptop computer through a USB port for image acquisition and analysis. Due to its compact size, 8 × 10 × 9 cm, the present microscope is portable and can easily fit inside a conventional incubator, and enables real-time monitoring of cellular behaviour. Moreover, the mini-microscope can be used for imaging cells in conventional cell culture flasks, such as Petri dishes and multi-well plates. To demonstrate the operation of the mini-microscope, we monitored the cellular migration of mouse 3T3 fibroblasts in a scratch assay in medium containing three different concentrations of fetal bovine serum (5, 10, and 20%) and demonstrated differential responses depending on serum levels. In addition, we seeded embryonic stem cells inside poly(ethylene glycol) microwells and monitored the formation of stem cell aggregates in real time using the mini-microscope. Furthermore, we also combined a lab-on-a-chip microfluidic device for microdroplet generation and analysis with the mini-microscope and observed the formation of droplets under different flow conditions. Given its cost effectiveness, robust imaging and portability, the presented platform may be useful for a range of applications for real-time cellular imaging using lab-on-a-chip devices at low cost. PMID:22911426

  19. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  20. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  1. Preservation status and priorities for in situ monitoring of the weapon sacrifice in Illerup Ådal, Denmark

    DEFF Research Database (Denmark)

    Tjellden, Anna Katarina Ejgreen; Kristiansen, Søren Munch; Botfeldt, Knud B.

    2012-01-01

    Excavations of the southern part of a very rich sacrificial bog in Illerup Ådal, Denmark between 1950 and 1985 recovered approximately 15,000 Iron Age artefacts. At the time, 60% of the area was left unexcavated and thousands of objects are now preserved in situ, but the present preservation status...... has not been investigated for approximately 25 years. Extensive in situ monitoring was carried out for one year in order to present a prioritized plan for further monitoring of the unexcavated areas, producing documentation of groundwater table variations, water quality and vegetation in the area....... Results show that the remaining artefacts in general are well preserved in the waterlogged and anaerobic environment. However, in the north-eastern part of the bog, the groundwater table is too low even in a year when net precipitation and hydrological conditions were near normal. Here, slow, continuous...

  2. Preservation status and priorities for in situ monitoring of the weapon sacrifice in Illerup Ådal, Denmark

    DEFF Research Database (Denmark)

    Tjelldén, A.K.E.; Kristiansen, S.M.; Botfeldt, Knud Bo

    2012-01-01

    Excavations of the southern part of a very rich sacrificial bog in Illerup Ådal, Denmark between 1950 and 1985 recovered approximately 15,000 Iron Age artefacts. At the time, 60 per cent of the area was left unexcavated and thousands of objects are now preserved in situ, but the present preservation...... status has not been investigated for approximately twenty-five years. Extensive in situ monitoring was carried out for one year in order to present a prioritized plan for further monitoring of the unexcavated areas, producing documentation of groundwater table variations, water quality and vegetation...... in the area. Results show that the remaining artefacts are generally well preserved in a waterlogged and anaerobic environment. However, in the north-eastern part of the bog, the groundwater table is too low even in a year when net precipitation and hydrological conditions were near normal. In the centre...

  3. Qualification, commissioning and in situ monitoring of high heat flux plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    Escourbiac, F. [Association Euratom-CEA, CEA/DSM/DRFC, CEA/Cadarache, F-13108 Saint Paul Lez Durance (France)], E-mail: frederic.escourbiac@cea.fr; Durocher, A.; Grosman, A.; Cismondi, F.; Courtois, X.; Farjon, J.L.; Schlosser, J. [Association Euratom-CEA, CEA/DSM/DRFC, CEA/Cadarache, F-13108 Saint Paul Lez Durance (France); Merola, M.; Tivey, R. [ITER Team, CEA/Cadarache, F-13108 Saint Paul Lez Durance (France)

    2007-10-15

    Up-to-date development of actively cooled high heat flux (HHF) plasma facing components (PFC) prototypes only allows reduced margins with regards to the ITER thermal requirements. Additionally, perfect quality cannot be ensured along series manufacturing: the presence of flaws which impair the heat transfer capability of the component, in particular at the interface armour/heat sink appears to be statistically unavoidable. In order to ensure a successful series production, a qualification methodology of actively cooled high heat flux plasma facing components is proposed. Secondly, advanced non-destructive techniques developed for HHF PFC commissioning are detailed with definition of acceptance criteria. Finally, innovative diagnostics for in situ monitoring during plasma operations or tokamak shutdowns are investigated in order to prevent immediate damage (safety monitoring); or evaluate component degradation (health monitoring). This work takes into account the relevance to Tore Supra, and is applied to W7X and ITER Divertor HHF PFC.

  4. Qualification, commissioning and in situ monitoring of high heat flux plasma facing components

    International Nuclear Information System (INIS)

    Escourbiac, F.; Durocher, A.; Grosman, A.; Cismondi, F.; Courtois, X.; Farjon, J.L.; Schlosser, J.; Merola, M.; Tivey, R.

    2007-01-01

    Up-to-date development of actively cooled high heat flux (HHF) plasma facing components (PFC) prototypes only allows reduced margins with regards to the ITER thermal requirements. Additionally, perfect quality cannot be ensured along series manufacturing: the presence of flaws which impair the heat transfer capability of the component, in particular at the interface armour/heat sink appears to be statistically unavoidable. In order to ensure a successful series production, a qualification methodology of actively cooled high heat flux plasma facing components is proposed. Secondly, advanced non-destructive techniques developed for HHF PFC commissioning are detailed with definition of acceptance criteria. Finally, innovative diagnostics for in situ monitoring during plasma operations or tokamak shutdowns are investigated in order to prevent immediate damage (safety monitoring); or evaluate component degradation (health monitoring). This work takes into account the relevance to Tore Supra, and is applied to W7X and ITER Divertor HHF PFC

  5. Hydrodistillation and in situ microwave-generated hydrodistillation of fresh and dried mint leaves: a comparison study.

    Science.gov (United States)

    Orio, Laura; Cravotto, Giancarlo; Binello, Arianna; Pignata, Giuseppe; Nicola, Silvana; Chemat, Farid

    2012-12-01

    Hydrodistillation (HD) has been used since ancient times for the extraction of essential oils (EO). Despite the intrinsic limitations of this technique, it remains the most common method both in the laboratory and on an industrial scale. The main drawbacks are the long extraction time involved and the risk of thermal degradation. Over the last decade, microwave-assisted extraction (MAE) and in situ microwave-generated hydrodistillation (MGH) have been shown to be the most promising techniques in improving plant extraction and hydrodistillation. In this study we compare HD with MGH in the extraction of several mint species cultivated in Piedmont: Mentha spicata L. var. rubra, Mentha spicata L. var. viridis and Mentha piperita L. MGH requires either fresh plant or rehydrated material, it is extremely fast and allows a reduction in energy consumption and overall cost. All the EO have been analyzed by gas chromatography-mass spectrometry. A mechanism of microwave-generated essential oil extraction has been proposed to explain the differences in the composition of the oil obtained from this environmentally friendly technique. The yields and composition percentages of the EO obtained by HD and in situ MGH of fresh and dried mint leaves lie in a relatively narrow range, although MGH is faster. MW polarization effects and the water solubility of the components influence extract composition. Copyright © 2012 Society of Chemical Industry.

  6. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  7. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  8. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  9. Dry/Wet Conditions Monitoring Based on TRMM Rainfall Data and Its Reliability Validation over Poyang Lake Basin, China

    Directory of Open Access Journals (Sweden)

    Xianghu Li

    2013-11-01

    Full Text Available Local dry/wet conditions are of great concern in regional water resource and floods/droughts disaster risk management. Satellite-based precipitation products have greatly improved their accuracy and applicability and are expected to offer an alternative to ground rain gauges data. This paper investigated the capability of Tropical Rainfall Measuring Mission (TRMM rainfall data for monitoring the temporal and spatial variation of dry/wet conditions in Poyang Lake basin during 1998–2010, and validated its reliability with rain gauges data from 14 national meteorological stations in the basin. The results show that: (1 the daily TRMM rainfall data does not describe the occurrence and contribution rates of precipitation accurately, but monthly TRMM data have a good linear relationship with rain gauges rainfall data; (2 both the Z index and Standardized Precipitation Index (SPI based on monthly TRMM rainfall data oscillate around zero and show a consistent interannual variability as compared with rain gauges data; (3 the spatial pattern of moisture status, either in dry months or wet months, based on both the Z index and SPI using TRMM data, agree with the observed rainfall. In conclusion, the monthly TRMM rainfall data can be used for monitoring the variation and spatial distribution of dry/wet conditions in Poyang Lake basin.

  10. Metal-assisted chemical etching of CIGS thin films for grain size analysis

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chaowei [Research and Development Centre, Hanergy Thin Film Power Group Limited, Chengdu (China); Loi, Huu-Ha; Duong, Anh; Parker, Magdalena [Failure Analysis Department, MiaSole Hi-Tech Corp., Santa Clara, CA (United States)

    2016-09-15

    Grain size of the CIGS absorber is an important monitoring factor in the CIGS solar cell manufacturing. Electron backscatter diffraction (EBSD) analysis is commonly used to perform CIGS grain size analysis in the scanning electron microscope (SEM). Although direct quantification on SEM image using the average grain intercept (AGI) method is faster and simpler than EBSD, it is hardly applicable on CIGS thin films. The challenge is that, not like polycrystalline silicon, to define grain boundaries by selective chemical etching is not easily realizable for the multi-component CIGS alloy. In this Letter, we present direct quantification of CIGS thin film grain size using the AGI method by developing metal-assisted wet chemical etching process to define CIGS grain boundaries. The calculated value is similar to EBSD result. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. In situ 3D monitoring of corrosion on carbon steel and ferritic stainless steel embedded in cement paste

    International Nuclear Information System (INIS)

    Itty, Pierre-Adrien; Serdar, Marijana; Meral, Cagla; Parkinson, Dula; MacDowell, Alastair A.; Bjegović, Dubravka; Monteiro, Paulo J.M.

    2014-01-01

    Highlights: • The morphology of the corrosion of steel in cement paste was studied in situ. • During galvanostatic corrosion, carbon steel reinforcement corroded homogeneously. • On ferritic stainless steel, deep corrosion pits formed and caused wider cracks. • The measured rate of steel loss correlated well with Faraday’s law of electrolysis. - Abstract: In a X-ray microcomputed tomography study, active corrosion was induced by galvanostatically corroding steel embedded in cement paste. The results give insight into corrosion product build up, crack formation, leaching of products into the cracks and voids, and differences in morphology of corrosion attack in the case of carbon steel or stainless steel reinforcement. Carbon steel was homogeneously etched away with a homogeneous layer of corrosion products forming at the steel/cement paste interface. For ferritic stainless steel, pits were forming, concentrating the corrosion products locally, which led to more extensive damage on the cement paste cover

  12. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  13. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  14. In-situ strain monitoring in liquid containers of LNG transporting carriers

    Science.gov (United States)

    Oh, Min-Cheol; Seo, Jun-Kyu; Kim, Kyung-Jo; Lee, Sang-Min; Kim, Myung-Hyun

    2008-08-01

    Liquefied natural gas (LNG) transport carriers are exposed to a risk by the repeated bump in the LNG container during the vessel traveling over the wave in ocean. The liquid inside the container, especially when it was not fully contained, make a strong bump onto the insulation panel of the tank wall. The insulation panel consists of several layers of thick polyurethane foam (PUF) to maintain the LNG below the cryogenic temperature, -162°C. Due to the repeated shock on the PUF, a crack could be developed on the tank wall causing a tremendous disaster for LNG carriers. To prevent the accidental crack on the tank, a continuous monitoring of the strain imposed on the PUF is recommended. In this work, a fiber-optic Bragg grating was imbedded inside the PUF for monitoring the strain parallel to the impact direction. The optical fiber sensor with a small diameter of 125 μm was suitable to be inserted in the PUF through a small hole drilled after the PUF was cured. In-situ monitoring of the strain producing the change of Bragg reflection wavelength, a high speed wavelength interrogation method was employed by using an arrayed waveguide grating. By dropping a heavy mass on the PUF, we measured the strain imposed on the insulation panel.

  15. Monitoring of corrosion rates of Fe-Cu alloys under wet/dry condition in weakly alkaline environments

    International Nuclear Information System (INIS)

    Kim, Je Kyoung; Nishikata, Atsushi; Tsuru, Tooru

    2002-01-01

    When the steel, containing scrap elements like copper, is used as reinforcing steel bars for concrete, the steel is exposed to alkaline environments. in this study, AC impedance technique has been applied to the monitoring of corrosion rates of iron and several Fe-Cu (0.4, 10wt%) alloys in a wet-dry cycle condition. The wet-dry cycle was conducted by exposure to alternate conditions of 1 hour-immersion in a simulated pH10 concrete solution (Ca(OH) 2 ) containing 0.01M NaCl and 3 hour-drying at 298K and 50%RH. The corrosion rate of the iron is greatly accelerated by the wet-dry cycles. Because the active FeOOH species, which are produced by the oxidation of Fe(II, III)oxide in air during drying, act as very strong oxidants to the corrosion in the wet condition. As the drying progresses, iron shows a large increase in the corrosion rate and a small shift of the corrosion potential to the positive values. This can be explained by acceleration of oxygen transport through the thin electrolyte layer In contrast to iron, the Fe-Cu alloys show low corrosion rates and the high corrosion potentials in whole cycles

  16. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  17. The environmental radiation monitoring system and in-situ measurements for early notification and OIL (Operational Intervention Levels) calculations

    International Nuclear Information System (INIS)

    Haquin, G.; Ne'eman, E.; Brenner, S.; Lavi, N.

    1997-01-01

    The efficiency of the environmental radiation monitoring, low level laboratory and in-situ gamma-ray spectrometry are evaluated as the systems for early notification and for determination of dose rate in air, surface contamination and activity concentration in food during emergencies for Operational Intervention Levels (OIL) recalculation.The National Environmental Radiation Monitoring System has proved its efficiency in the early detection of unregistered radiography work. A mobile station of the network can be used for absorbed dose rate measurement during emergencies in contaminated areas. The calibrated in-situ gamma-ray spectrometry system in an open phosphate ore mine has showed the efficiency of this technique for fast and accurate determination of soil activity concentration. The calibration for an uniform depth distribution can be easily mathematically converted to an exponential depth distribution in cases of radioactive material fallout

  18. Real-time monitoring of high-gravity corn mash fermentation using in situ raman spectroscopy.

    Science.gov (United States)

    Gray, Steven R; Peretti, Steven W; Lamb, H Henry

    2013-06-01

    In situ Raman spectroscopy was employed for real-time monitoring of simultaneous saccharification and fermentation (SSF) of corn mash by an industrial strain of Saccharomyces cerevisiae. An accurate univariate calibration model for ethanol was developed based on the very strong 883 cm(-1) C-C stretching band. Multivariate partial least squares (PLS) calibration models for total starch, dextrins, maltotriose, maltose, glucose, and ethanol were developed using data from eight batch fermentations and validated using predictions for a separate batch. The starch, ethanol, and dextrins models showed significant prediction improvement when the calibration data were divided into separate high- and low-concentration sets. Collinearity between the ethanol and starch models was avoided by excluding regions containing strong ethanol peaks from the starch model and, conversely, excluding regions containing strong saccharide peaks from the ethanol model. The two-set calibration models for starch (R(2)  = 0.998, percent error = 2.5%) and ethanol (R(2)  = 0.999, percent error = 2.1%) provide more accurate predictions than any previously published spectroscopic models. Glucose, maltose, and maltotriose are modeled to accuracy comparable to previous work on less complex fermentation processes. Our results demonstrate that Raman spectroscopy is capable of real time in situ monitoring of a complex industrial biomass fermentation. To our knowledge, this is the first PLS-based chemometric modeling of corn mash fermentation under typical industrial conditions, and the first Raman-based monitoring of a fermentation process with glucose, oligosaccharides and polysaccharides present. Copyright © 2013 Wiley Periodicals, Inc.

  19. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    International Nuclear Information System (INIS)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-01-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiO x layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W/L=10 μm/50 μm) fabricated on glass exhibited a high field-effect mobility of 35.8 cm 2 /V s, a subthreshold gate swing value of 0.59 V/decade, a thrseshold voltage of 5.9 V, and an I on/off ratio of 4.9x10 6 , which is acceptable for use as the switching transistor of an active-matrix TFT backplane

  20. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  1. In situ NAP-XPS spectroscopy during methane dry reforming on ZrO2/Pt(1 1 1) inverse model catalyst

    Science.gov (United States)

    Rameshan, C.; Li, H.; Anic, K.; Roiaz, M.; Pramhaas, V.; Rameshan, R.; Blume, R.; Hävecker, M.; Knudsen, J.; Knop-Gericke, A.; Rupprechter, G.

    2018-07-01

    Due to the need of sustainable energy sources, methane dry reforming is a useful reaction for conversion of the greenhouse gases CH4 and CO2 to synthesis gas (CO  +  H2). Syngas is the basis for a wide range of commodity chemicals and can be utilized for fuel production via Fischer–Tropsch synthesis. The current study focuses on spectroscopic investigations of the surface and reaction properties of a ZrO2/Pt inverse model catalyst, i.e. ZrO2 particles (islands) grown on a Pt(1 1 1) single crystal, with emphasis on in situ near ambient pressure x-ray photoelectron spectroscopy (NAP-XPS) during MDR reaction. In comparison to technological systems, model catalysts facilitate characterization of the surface (oxidation) state, surface adsorbates, and the role of the metal-support interface. Using XPS and infrared reflection absorption spectroscopy we demonstrated that under reducing conditions (UHV or CH4) the ZrO2 particles transformed to an ultrathin ZrO2 film that started to cover (wet) the Pt surface in an SMSI-like fashion, paralleled by a decrease in surface/interface oxygen. In contrast, (more oxidizing) dry reforming conditions with a 1:1 ratio of CH4 and CO2 were stabilizing the ZrO2 particles on the model catalyst surface (or were even reversing the strong metal support interaction (SMSI) effect), as revealed by in situ XPS. Carbon deposits resulting from CH4 dissociation were easily removed by CO2 or by switching to dry reforming conditions (673–873 K). Thus, at these temperatures the active Pt surface remained free of carbon deposits, also preserving the ZrO2/Pt interface.

  2. Stabilization of Live Attenuated Influenza Vaccines by Freeze Drying, Spray Drying, and Foam Drying.

    Science.gov (United States)

    Lovalenti, Phillip M; Anderl, Jeff; Yee, Luisa; Nguyen, Van; Ghavami, Behnaz; Ohtake, Satoshi; Saxena, Atul; Voss, Thomas; Truong-Le, Vu

    2016-05-01

    The goal of this research is to develop stable formulations for live attenuated influenza vaccines (LAIV) by employing the drying methods freeze drying, spray drying, and foam drying. Formulated live attenuated Type-A H1N1 and B-strain influenza vaccines with a variety of excipient combinations were dried using one of the three drying methods. Process and storage stability at 4, 25 and 37°C of the LAIV in these formulations was monitored using a TCID50 potency assay. Their immunogenicity was also evaluated in a ferret model. The thermal stability of H1N1 vaccine was significantly enhanced through application of unique formulation combinations and drying processes. Foam dried formulations were as much as an order of magnitude more stable than either spray dried or freeze dried formulations, while exhibiting low process loss and full retention of immunogenicity. Based on long-term stability data, foam dried formulations exhibited a shelf life at 4, 25 and 37°C of >2, 1.5 years and 4.5 months, respectively. Foam dried LAIV Type-B manufactured using the same formulation and process parameters as H1N1 were imparted with a similar level of stability. Foam drying processing methods with appropriate selection of formulation components can produce an order of magnitude improvement in LAIV stability over other drying methods.

  3. Monitoring an in-situ uranium mining site with radio tomography

    International Nuclear Information System (INIS)

    Stolarczyk, L.; Mondt, W.; Mays, W.

    1991-01-01

    A field test site has been developed to monitor ground water restoration in an in-situ uranium mining project. Uranium deposited in a shallow buried fluvial sandstone channel (aquifer) has been mined by the injection and recovery of ammonia carbonate leachant from a constellation of drillholes. Ground water restoration is accomplished by injecting clean water into a well and recovering contaminated water from companion wells. The restoration process exchanges clean water for contaminated water in the aquifer. The stratigraphic cross section of the aquifer and the hydro-dynamics of the ground water restoration process is currently being investigated with radio wave tomography. Crosshole continuous wave (CW) radio signals are propagated from a well to a second well in the constellation of drillholes. The magnitude and phase of the radio wave are measured in the second well with Radio Imaging Method (RIM) instruments. The acquired data is processed in tomography algorithms to determine the EM wave propagation constants (attenuation rate [α] and phase constant [β]) in each pixel that covers the image plane between wells. The in-situ electrical conductivity values are computed from the pixel propagation constants. Contaminated ground water causes the conductivity of the local zone of the aquifer to increase. This paper describes the initial radio tomography mapping of the deposit lithology and compares radio tomography and E log conductivity values

  4. Development of Advanced In-Situ Techniques for Chemistry Monitoring and Corrosion Mitigation in SCWO Environments

    International Nuclear Information System (INIS)

    Macdonald, D. D.; Lvov, S. N.

    2000-01-01

    This project is developing sensing technologies and corrosion monitoring techniques for use in super critical water oxidation (SCWO) systems to reduce the volume of mixed low-level nuclear waste by oxidizing organic components in a closed cycle system where CO2 and other gaseous oxides are produced, leaving the radioactive elements concentrated in ash. The technique uses water at supercritical temperatures under highly oxidized conditions by maintaining a high fugacity of molecular oxygen in the system, which causes high corrosion rates of even the most corrosive resistant reactor materials. This project significantly addresses the high corrosion shortcoming through development of (a) advanced electrodes and sensors for in situ potentiometric monitoring of pH in high subcritical and supercritical aqueous solutions, (b) an approach for evaluating the association constants for 1-1 aqueous electrolytes using a flow-through electrochemical thermocell; (c) an electrochemical noise sensor for the in situ measurement of corrosion rate in subcritical and supercritical aqueous systems; (d) a model for estimating the effect of pressure on reaction rates, including corrosion reactions, in high subcritical and supercritical aqueous systems. The project achieved all objectives, except for installing some of the sensors into a fully operating SCWO system

  5. In situ ellipsometry — A powerful tool for monitoring alkali doping of organic thin films

    International Nuclear Information System (INIS)

    Haidu, F.; Ludemann, M.; Schäfer, P.; Gordan, O.D.; Zahn, D.R.T.

    2014-01-01

    The changes of the optical properties of several organic thin films induced by potassium doping were monitored using in situ spectroscopic ellipsometry. The samples were prepared in a high vacuum chamber by organic molecular deposition. Then, potassium (K) was evaporated by passing current through K getters. The three different organic molecules used, show very distinct and different spectral behaviour upon doping. While for Tris-(8-hydroxyquinoline)-aluminium(III) and N,N′-Di-[(1-naphthyl)-N,N′-diphenyl]-(1,1′-biphenyl)-4,4′-diamine only small shifts of the spectral features were noticed, Manganese Phthalocyanine revealed significant changes of the optical properties induced by the K doping. This work indicates that the K doping process can have a dramatic effect on the electronic and the optical properties of the organic molecules, but the effect on the optical spectra remains specific for each organic molecule used, and cannot be easily predicted. - Highlights: • Monitoring organic film growth and doping with in situ spectroscopic ellipsometry • K doped organic thin films • Optical properties of organic thin films change by K doping. • The changes in the optical spectra remain specific for each organic molecule used

  6. Development of Advanced In-Situ Techniques for Chemistry Monitoring and Corrosion Mitigation in SCWO Environments

    Energy Technology Data Exchange (ETDEWEB)

    Macdonald, D. D.; Lvov, S. N.

    2000-03-31

    This project is developing sensing technologies and corrosion monitoring techniques for use in super critical water oxidation (SCWO) systems to reduce the volume of mixed low-level nuclear waste by oxidizing organic components in a closed cycle system where CO2 and other gaseous oxides are produced, leaving the radioactive elements concentrated in ash. The technique uses water at supercritical temperatures under highly oxidized conditions by maintaining a high fugacity of molecular oxygen in the system, which causes high corrosion rates of even the most corrosive resistant reactor materials. This project significantly addresses the high corrosion shortcoming through development of (a) advanced electrodes and sensors for in situ potentiometric monitoring of pH in high subcritical and supercritical aqueous solutions, (b) an approach for evaluating the association constants for 1-1 aqueous electrolytes using a flow-through electrochemical thermocell; (c) an electrochemical noise sensor for the in situ measurement of corrosion rate in subcritical and supercritical aqueous systems; (d) a model for estimating the effect of pressure on reaction rates, including corrosion reactions, in high subcritical and supercritical aqueous systems. The project achieved all objectives, except for installing some of the sensors into a fully operating SCWO system.

  7. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  8. Applications of synergistic combination of remote sensing and in-situ measurements on urban monitoring of air quality

    Science.gov (United States)

    Diaz, Adrian; Dominguez, Victor; Campmier, Mark; Wu, Yonghua; Arend, Mark; Vladutescu, Daniela Viviana; Gross, Barry; Moshary, Fred

    2017-08-01

    In this study, multiple remote sensing and in-situ measurements are combined in order to obtain a comprehensive understanding of the aerosol distribution in New York City. Measurement of the horizontal distribution of aerosols is performed using a scanning eye-safe elastic-backscatter micro-pulse lidar. Vertical distribution of aerosols is measured with a co-located ceilometer. Furthermore, our analysis also includes in-situ measurements of particulate matter and wind speed and direction. These observations combined show boundary layer dynamics as well as transport and inhomogeneous spatial distribution of aerosols, which are of importance for air quality monitoring.

  9. Colagem ortodôntica em esmalte com presença ou ausência de contaminação salivar: é necessário o uso de adesivo auto-condicionante ou de adesivo hidrofílico? Orthodontic bonding in dry and saliva contaminated enamel: is a self-etching primer or a moisture-insensitive primer necessary?

    Directory of Open Access Journals (Sweden)

    Cristiane Becher Rosa

    2008-06-01

    Full Text Available OBJETIVO: o objetivo deste trabalho foi avaliar a resistência ao cisalhamento da colagem ortodôntica de um adesivo hidrofílico (Transbond Moisture-Insensitive Primer, 3M Unitek, Monrovia, Califórnia, de um adesivo auto-condicionante (Transbond Self-Etching Primer, 3M Unitek, Monrovia, Califórnia, e sem uso de adesivo, em superfícies de esmalte secas ou contaminadas por saliva. METODOLOGIA: incisivos bovinos (60 foram divididos em 6 grupos: (1 controle sem contaminação salivar (sem adesivo, (2 controle com contaminação salivar (sem adesivo, (3 adesivo auto-condicionante sem contaminação salivar, (4 adesivo auto-condicionante com contaminação salivar antes do adesivo, (5 adesivo hidrofílico sem contaminação salivar e (6 adesivo hidrofílico com contaminação salivar antes do adesivo. Braquetes metálicos foram colados com compósito (Transbond XT, 3M Unitek, Monrovia, Califórnia. Após a colagem, os corpos-de prova foram armazenados a 37±1ºC em ambiente úmido até a realização do teste de cisalhamento. Diferença estatística foi determinada com valor de probabilidade de 0,05 ou menos (p AIM: The purpose of this study was to evaluate the shear bond strength of orthodontic bonding with the use of a hydrophilic primer (Transbond Moisture-Insensitive Primer, 3M Unitek, Monrovia, Calif., a self-etching primer (Transbond Plus Self-etching Primer, 3M Unitek, Monrovia, Calif. and without primer application, in dry and saliva contaminated enamel surfaces. METHODS: Bovine incisors (60 were divided into 6 groups: (1 uncontaminated control (no primer, (2 control with saliva contamination (no primer, (3 uncontaminated self-etching primer, (4 saliva contamination before self-etching primer, (5 uncontaminated hydrophilic primer and (6 saliva contamination before hydrophilic primer. Stainless steel brackets were bonded with composite resin (Transbond XT, 3M Unitek, Monrovia, Calif.. After bonding, all samples were stored at 37±1°C in a

  10. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  11. Oxidation kinetics of Si and SiGe by dry rapid thermal oxidation, in-situ steam generation oxidation and dry furnace oxidation

    Science.gov (United States)

    Rozé, Fabien; Gourhant, Olivier; Blanquet, Elisabeth; Bertin, François; Juhel, Marc; Abbate, Francesco; Pribat, Clément; Duru, Romain

    2017-06-01

    The fabrication of ultrathin compressively strained SiGe-On-Insulator layers by the condensation technique is likely a key milestone towards low-power and high performances FD-SOI logic devices. However, the SiGe condensation technique still requires challenges to be solved for an optimized use in an industrial environment. SiGe oxidation kinetics, upon which the condensation technique is founded, has still not reached a consensus in spite of various studies which gave insights into the matter. This paper aims to bridge the gaps between these studies by covering various oxidation processes relevant to today's technological needs with a new and quantitative analysis methodology. We thus address oxidation kinetics of SiGe with three Ge concentrations (0%, 10%, and 30%) by means of dry rapid thermal oxidation, in-situ steam generation oxidation, and dry furnace oxidation. Oxide thicknesses in the 50 Å to 150 Å range grown with oxidation temperatures between 850 and 1100 °C were targeted. The present work shows first that for all investigated processes, oxidation follows a parabolic regime even for thin oxides, which indicates a diffusion-limited oxidation regime. We also observe that, for all investigated processes, the SiGe oxidation rate is systematically higher than that of Si. The amplitude of the variation of oxidation kinetics of SiGe with respect to Si is found to be strongly dependent on the process type. Second, a new quantitative analysis methodology of oxidation kinetics is introduced. This methodology allows us to highlight the dependence of oxidation kinetics on the Ge concentration at the oxidation interface, which is modulated by the pile-up mechanism. Our results show that the oxidation rate increases with the Ge concentration at the oxidation interface.

  12. Environmental monitoring for the hot dry rock geothermal energy development project. Annual report, July 1975--June 1976

    Energy Technology Data Exchange (ETDEWEB)

    Pettitt, R.A. (comp.)

    1976-09-01

    The objectives of this environmental monitoring report are to provide a brief conceptual and historical summary of the Hot Dry Rock Geothermal Project, a brief overview of the environmental monitoring responsibilities and activities of the Los Alamos Scientific Laboratory, and descriptions of the studies, problems, and results obtained from the various monitoring programs. Included are descriptions of the work that has been done in three major monitoring areas: (1) water quality, both surface and subsurface; (2) seismicity, with a discussion of the monitoring strategy of regional, local, and close-in detection networks; and (3) climatology. The purpose of these programs is to record baseline data, define potential effects from the project activities, and determine and record any impacts that may occur.

  13. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  14. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  15. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  16. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  17. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  18. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  19. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  20. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  1. Hydroxyapatite Effect on Photopolymerization of Self-etching Adhesives with Different Aggressiveness

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To understand the correlation of the acidic monomer/hydroxyapatite (HAp) reaction with the photopolymerization behavior of self-etching adhesives with different aggressiveness. Methods Two commercial self-etching adhesives the strong Adper Prompt L-Pop (APLP, pH~0.8) and the mild Adper Easy Bond (AEB, pH~2.5) were used. HAp powders were incorporated into both adhesives to acquire solutions with concentrations of 0, 1, 3, 5, 7 wt%. The attenuated total reflectance Fourier transform infrared (ATR/FT-IR) technique was employed to collect the in-situ spectra during light-curing, from which the degree of conversion (DC) and polymerization rate (PR) were calculated. The pH of each tested solution was also measured. Results Without HAp incorporation, the DC and PR of the strong APLP (7.8% and 0.12%/s, respectively) were much lower than those of the mild AEB (85.5% and 5.7%/s, respectively). The DC and PR of APLP displayed an apparent increasing trend with the HAp content. For example, the DC increased from 7.8% to 58.4% and the PR increased from 0.12 to 3.8%/s when the HAp content increased from 0 to 7 wt%. In contrast, the DC and PR of AEB were much less affected by the HAp content. The observations were correlated well with the spectral and pH changes, which indicated that APLP underwent a higher extent of chemical reaction with HAp than AEB. Conclusions The results disclosed the important role of the acidic monomer/HAp chemical reaction in improving the photopolymerization of the strong (low-pH) self-etching adhesives such as APLP. The phenomenon of polymerization improvement strongly depended on the adhesive aggressiveness. PMID:22445789

  2. NIR spectroscopy for the in-line monitoring of a multicomponent formulation during the entire freeze-drying process

    NARCIS (Netherlands)

    Rosas, Juan G; de Waard, Hans; De Beer, Thomas; Vervaet, Chris; Remon, Jean Paul; Hinrichs, Wouter L J; Frijlink, Henderik W; Blanco, Marcel

    2014-01-01

    Freeze drying is a complex, time consuming and thus expensive process, hence creating a need for understanding the material behaviour in the process environment and for process optimization. Near-infrared (NIR) spectroscopy offers the opportunity to monitor physicochemical changes of the formulation

  3. Rumen dry matter degradability of fresh and ensiled sugarcane ...

    African Journals Online (AJOL)

    This study aimed to evaluate the chemical composition and in situ ruminal degradability of fresh (FSC) and ensiled (ESC) sugarcane. In situ dry matter degradability (DMD) was determined using the nylon bag technique with four cows equipped ruminal fistulas. Cows were fed with fresh or ensiled sugarcane and ...

  4. Methods of removal of defects arising at liquid etching of polycrystalline silicon

    Directory of Open Access Journals (Sweden)

    Ivanchykou A. E.

    2008-02-01

    Full Text Available The paper presents a model of generation of defects having the form of spots on the surface of the polycrystalline silicon during processing of semiconductor wafers with hydrofluoric acid based etchant, and a model of removal of such defects in chemical solutions. The authors investigate how the centrifuge speed during drying and the relief of structures, produced on the plate, effect the number of defects. It is shown that there is a possibility to remove defects by chemical treatment in the peroxide-ammonia solutions (PAS and also by sequence of chemical cleaning in Karo mixture, SiO2 etching and treatment in PAS.

  5. In vitro evaluation of microleakage around orthodontic brackets using laser etching and Acid etching methods.

    Directory of Open Access Journals (Sweden)

    Mohammad Hossein Toodehzaeim

    2014-06-01

    Full Text Available path of microleakage between the enamel and adhesive potentially allows microbial ingress that may consequently cause enamel decalcification. The aim of this study was to compare microleakage of brackets bonded either by laser or acid etching techniques.The specimens were 33 extracted premolars that were divided into three groups as the acid etching group (group 1, laser etching with Er:YAG at 100 mJ and 15 Hz for 15s (group 2, and laser etching with Er:YAG at 140 mJ and 15 Hz for 15s (group 3. After photo polymerization, the teeth were subjected to 500 thermal cycles. Then the specimens were sealed with nail varnish, stained with 2% methylen blue for 24hs, sectioned, and examined under a stereomicroscope. They were scored for marginal microleakage that occurred between the adhesive-enamel and bracket-adhesive interfaces from the occlusal and gingival margins. Data were analyzed with the Kruskal- Wallis test.For the adhesive-enamel and bracket-adhesive surfaces, significant differences were not observed between the three groups.According to this study, the Er:YAG laser with 1.5 and 2.1 watt settings may be used as an adjunctive for preparing the surface for orthodontic bracket bonding.

  6. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  7. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  8. Photonic jet μ-etching: from static to dynamic process

    Science.gov (United States)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  9. In Situ Monitoring of Groundwater Contamination Using the Kalman Filter For Sustainable Remediation

    Science.gov (United States)

    Schmidt, F.; Wainwright, H. M.; Faybishenko, B.; Denham, M. E.; Eddy-Dilek, C. A.

    2017-12-01

    Sustainable remediation - based on less intensive passive remediation and natural attenuation - has become a desirable remediation alternative at contaminated sites. Although it has a number of benefits, such as reduced waste and water/energy usage, it carries a significant burden of proof to verify plume stability and to ensure insignificant increase of risk to public health. Modeling of contaminant transport is still challenging despite recent advances in numerical methods. Long-term monitoring has, therefore, become a critical component in sustainable remediation. However, the current approach, which relies on sparse groundwater sampling, is problematic, since it could miss sudden significant changes in plume behavior. A new method is needed to combine existing knowledge about contaminant behavior and latest advances in in situ groundwater sensors. This study presents an example of the effective use of the Kalman filter approach to estimate contaminant concentrations, based on in situ measured water quality parameters (e.g. electrical conductivity and pH) along with the results of sparse groundwater sampling. The Kalman filter can effectively couple physical models and data correlations between the contaminant concentrations and in situ measured variables. We aim (1) to develop a framework capable of integrating different data types to provide accurate contaminant concentration estimates, (2) to demonstrate that these results remain reliable, even when the groundwater sampling frequency is reduced, and (3) to evaluate the future efficacy of this strategy using reactive transport simulations. This framework can also serve as an early warning system for detecting unexpected plume migration. We demonstrate our approach using historical and current groundwater data from the Savannah River Site (SRS) F-Area Seepage Basins to estimate uranium and tritium concentrations. The results show that the developed method can provide reliable estimates of contaminant

  10. Vacuum pump age effects by the exposure to the corrosive gases on the Cr etch rate as observed using optical emission spectroscopy in an Ar/O{sub 2}/Cl{sub 2} mixed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Park, Seolhye; Roh, Hyun-Joon; Jang, Yunchang; Jeong, Sangmin; Ryu, Sangwon; Choe, Jae-Myung; Kim, Gon-Ho, E-mail: ghkim@snu.ac.kr

    2016-03-31

    Vacuum pumps of different ages were used to prepare Cl{sub 2} based plasmas for use in Cr etching. The effects of the vacuum pump age on the etching results were investigated using optical emission spectroscopy analysis. The composition of gas at the base pressure was mainly nitrogen and oxygen, although the ratio depended on the vacuum pump age and therefore, modulated the etch rate in a manner that was difficult to monitor. The effects of the pump age on the etch rate were clearly observed in the Cl{sub 2} plasma-assisted chromium film etching process, in which oxygen and chlorine radicals were responsible for the etching process. The electron energy distribution function (EEDF), which provided a proxy for the thermal equilibrium properties of the etching plasmas, was monitored. The shape of EEDF was derived from an analysis of the optical emission spectral data using an analysis model described previously. Because molecular nitrogen has a higher threshold energy and a larger cross-section of inelastic collisional processes than oxygen, the tail of the EEDF depends on the mixing ratio between nitrogen and oxygen. The various mechanisms that contribute to the chromium etch rate varied with subtle differences in the vacuum conditions, which were determined by age of the turbo molecular pump. The rates at which oxygen and chlorine radicals were generated were estimated using the measured EEDF, and the estimated oxygen radical and etching product contents were verified by comparing the residual gas analyzer data. The results revealed that the residual nitrogen partial pressures in two etchers equipped with either a new or an aged pump differed by 0.18%, and the EEDF tail areas differed by 10{sup −4}. Importantly, the chromium etch rates in these two instruments differed by 30%. These results suggest that the chamber-to-chamber mismatch should be monitored during plasma-assisted device fabrication processes. - Highlights: • We observed the vacuum pump age effect

  11. The potential of computer vision, optical backscattering parameters and artificial neural network modelling in monitoring the shrinkage of sweet potato (Ipomoea batatas L.) during drying.

    Science.gov (United States)

    Onwude, Daniel I; Hashim, Norhashila; Abdan, Khalina; Janius, Rimfiel; Chen, Guangnan

    2018-03-01

    Drying is a method used to preserve agricultural crops. During the drying of products with high moisture content, structural changes in shape, volume, area, density and porosity occur. These changes could affect the final quality of dried product and also the effective design of drying equipment. Therefore, this study investigated a novel approach in monitoring and predicting the shrinkage of sweet potato during drying. Drying experiments were conducted at temperatures of 50-70 °C and samples thicknesses of 2-6 mm. The volume and surface area obtained from camera vision, and the perimeter and illuminated area from backscattered optical images were analysed and used to evaluate the shrinkage of sweet potato during drying. The relationship between dimensionless moisture content and shrinkage of sweet potato in terms of volume, surface area, perimeter and illuminated area was found to be linearly correlated. The results also demonstrated that the shrinkage of sweet potato based on computer vision and backscattered optical parameters is affected by the product thickness, drying temperature and drying time. A multilayer perceptron (MLP) artificial neural network with input layer containing three cells, two hidden layers (18 neurons), and five cells for output layer, was used to develop a model that can monitor, control and predict the shrinkage parameters and moisture content of sweet potato slices under different drying conditions. The developed ANN model satisfactorily predicted the shrinkage and dimensionless moisture content of sweet potato with correlation coefficient greater than 0.95. Combined computer vision, laser light backscattering imaging and artificial neural network can be used as a non-destructive, rapid and easily adaptable technique for in-line monitoring, predicting and controlling the shrinkage and moisture changes of food and agricultural crops during drying. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  12. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  13. In-situ health monitoring of piezoelectric sensors using electromechanical impedance: A numerical perspective

    Science.gov (United States)

    Bilgunde, Prathamesh N.; Bond, Leonard J.

    2018-04-01

    Current work presents a numerical investigation to classify the in-situ health of the piezoelectric sensors deployed for structural health monitoring (SHM) of large civil, aircraft and automotive structures. The methodology proposed in this work attempts to model the in-homogeneities in the adhesive with which typically the sensor is bonded to the structure for SHM. It was found that weakening of the bond state causes reduction in the resonance frequency of the structure and eventually approaches the resonance characteristics of a piezoelectric material under traction-free boundary conditions. These changes in the resonance spectrum are further quantified using root mean square deviation-based damage index. Results demonstrate that the electromechanical impedance method can be used to monitor structural integrity of the sensor bonded to the host structure. This cost-effective method can potentially reduce misinterpretation of SHM data for critical infrastructures.

  14. Etching of enamel for direct bonding with a thulium fiber laser

    Science.gov (United States)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  15. In Situ 3D Monitoring of Geometric Signatures in the Powder-Bed-Fusion Additive Manufacturing Process via Vision Sensing Methods.

    Science.gov (United States)

    Li, Zhongwei; Liu, Xingjian; Wen, Shifeng; He, Piyao; Zhong, Kai; Wei, Qingsong; Shi, Yusheng; Liu, Sheng

    2018-04-12

    Lack of monitoring of the in situ process signatures is one of the challenges that has been restricting the improvement of Powder-Bed-Fusion Additive Manufacturing (PBF AM). Among various process signatures.

  16. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  17. In situ observations from STEREO/PLASTIC: a test for L5 space weather monitors

    Directory of Open Access Journals (Sweden)

    K. D. C. Simunac

    2009-10-01

    Full Text Available Stream interaction regions (SIRs that corotate with the Sun (corotating interaction regions, or CIRs are known to cause recurrent geomagnetic storms. The Earth's L5 Lagrange point, separated from the Earth by 60 degrees in heliographic longitude, is a logical location for a solar wind monitor – nearly all SIRs/CIRs will be observed at L5 several days prior to their arrival at Earth. Because the Sun's heliographic equator is tilted about 7 degrees with respect to the ecliptic plane, the separation in heliographic latitude between L5 and Earth can be more than 5 degrees. In July 2008, during the period of minimal solar activity at the end of solar cycle 23, the two STEREO observatories were separated by about 60 degrees in longitude and more than 4 degrees in heliographic latitude. This time period affords a timely test for the practical application of a solar wind monitor at L5. We compare in situ observations from PLASTIC/AHEAD and PLASTIC/BEHIND, and report on how well the BEHIND data can be used as a forecasting tool for in situ conditions at the AHEAD spacecraft with the assumptions of ideal corotation and minimal source evolution. Preliminary results show the bulk proton parameters (density and bulk speed are not in quantitative agreement from one observatory to the next, but the qualitative profiles are similar.

  18. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  19. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  20. Optical-fiber strain sensors with asymmetric etched structures.

    Science.gov (United States)

    Vaziri, M; Chen, C L

    1993-11-01

    Optical-fiber strain gauges with asymmetric etched structures have been analyzed, fabricated, and tested. These sensors are very sensitive with a gauge factor as high as 170 and a flat frequency response to at least 2.7 kHz. The gauge factor depends on the asymmetry of the etched structures and the number of etched sections. To understand the physical principles involved, researchers have used structural analysis programs based on a finite-element method to analyze fibers with asymmetric etched structures under tensile stress. The results show that lateral bends are induced on the etched fibers when they are stretched axially. To relate the lateral bending to the optical attenuation, we have also employed a ray-tracing technique to investigate the dependence of the attenuation on the structural deformation. Based on the structural analysis and the ray-tracing study parameters affecting the sensitivity have been studied. These results agree with the results of experimental investigations.

  1. Radiation-induced polymerization monitored in situ by time-resolved fluorescence of probe molecules in methyl methacrylate

    International Nuclear Information System (INIS)

    Frahn, Mark S.; Abellon, Ruben D.; Luthjens, Leonard H.; Vermeulen, Martien J.W.; Warman, John M.

    2003-01-01

    A technique is presented for monitoring radiation-induced polymerizations in situ based on the measurement of the fluorescence lifetime of molecular probes dissolved in the polymerizing medium. This method is illustrated with results on methyl methacrylate (MMA) using two fluorogenic probe molecules; N-(2-anthracene)methacrylamide (AnMA) and maleimido-fluoroprobe (MFP), a molecule which has a highly dipolar excited state

  2. Effects of mask imperfections on InP etching profiles

    International Nuclear Information System (INIS)

    Huo, D.T.C.; Yan, M.F.; Wynn, J.D.; Wilt, D.P.

    1990-01-01

    The authors have demonstrated that the quality of etch masks has a significant effect on the InP etching profiles. In particular, the authors have shown that mask imperfections can cause defective etching profiles, such as vertical sidewalls and extra mask undercutting in InP. The authors also discovered that the geometry of these defective profiles is determined by the orientation of the substrate relative to the direction of the mask imperfections. Along a left-angle 110 right-angle line mask defect, the downward etching process changes the left-angle 110 right-angle v-grooves to vertical sidewalls without extra undercutting. For v-grooves aligned along the left-angle 110 right-angle direction, defects on the mask give a significant extra undercutting without changing the etching profile

  3. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  4. Image analysis used to count and measure etched tracks from ionizing radiation

    Science.gov (United States)

    Blanford, George E.; Schulz, Cindy K.

    1995-01-01

    We have developed techniques to use digitized scanning electron micrographs and computer image analysis programs to measure track densities in lunar soil grains and plastic dosimeters. Tracks in lunar samples are formed by highly ionizing solar energetic particles and cosmic rays during near surface exposure on the Moon. The track densities are related to the exposure conditions (depth and time). Distributions of the number of grains as a function of their track densities can reveal the modality of soil maturation. We worked on two samples identified for a consortium study of lunar weathering effects, 61221 and 67701. They were prepared by the lunar curator's staff as polished grain mounts that were etched in boiling 1 N NaOH for 6 h to reveal tracks. We determined that backscattered electron images taken at 10 percent contrast and approximately 50 percent brightness produced suitable high contrast images for analysis. We used the NIH Image program to cut out areas that were unsuitable for measurement such as edges, cracks, etc. We ascertained a gray-scale threshold of 25 to separate tracks from background. We used the computer to count everything that was two pixels or greater in size and to measure the area to obtain track densities. We found an excellent correlation with manual measurements for track densities below 1 x 10(exp 8) cm(exp -2). For track densities between 1 x 10(exp 8) cm(exp -2) to 1 x 10(exp 9) cm(exp -2) we found that a regression formula using the percentage area covered by tracks gave good agreement with manual measurements. We determined the track density distributions for 61221 and 67701. Sample 61221 is an immature sample, but not pristine. Sample 67701 is a submature sample that is very close to being fully mature. Because only 10 percent of the grains have track densities less than 10(exp 9) cm(exp -2), it is difficulty to determine whether the sample matured in situ or is a mixture of a mature and a submature soil. Although our analysis

  5. Efficacy of punctal occlusion in management of dry eyes after laser in situ keratomileusis for myopia.

    Science.gov (United States)

    Alfawaz, Abdullah M; Algehedan, Saeed; Jastaneiah, Sabah S; Al-Mansouri, Samir; Mousa, Ahmed; Al-Assiri, Abdullah

    2014-03-01

    To evaluate the effect of punctal plug use in preventing dry eye after laser in situ keratomileusis (LASIK). A randomized clinical trial at a tertiary eye care center, Riyadh, Saudi Arabia. Participants underwent LASIK for myopia in both eyes and a lower punctal occlusion in one eye only while the other eye served as control. Both eyes received the same postoperative medications except for lubricant duration (subject eye: four times per day for one week; control eye: four times per day for 6 months). Participants were evaluated at 1 week, 2, and 6 months after surgery for signs and symptoms of dry eye. The main outcome measures were visual acuity; ocular surface parameters; and Ocular Surface Disease Index questionnaire. Seventy-eight eyes of 39 patients were included in this study. The Ocular Surface Disease Index scores of eyes with punctal plugs were better at all follow-up visits, and the differences between both eyes were statistically significant (1 week, p eyes was higher in eyes with punctal plugs for all ocular surface parameters (Schirmer 1 test, 94.9%; tear breakup time, 77.8%; punctate epithelial keratitis score, 71.8%) compared to eyes without occlusion (Schirmer 1 test, 92.3%; tear breakup time, 58.3%; punctate epithelial keratitis score, 53.8%); however, such differences were not statistically significant. Punctal plug insertion after LASIK surgeries may minimize the need for frequent lubricant application and hence improve patient satisfaction.

  6. Monitoring microstructural evolution in-situ during cyclic deformation by high resolution reciprocal space mapping

    DEFF Research Database (Denmark)

    Diederichs, Annika Martina; Thiel, Felix; Fischer, Torben

    2017-01-01

    The recently developed synchrotron technique High Resolution Reciprocal Space Mapping (HRRSM) is used to characterize the deformation structures evolving during cyclic deformation of commercially pure, polycrystalline aluminium AA1050. Insight into the structural reorganization within single grains...... is gained by in-situ monitoring of the microstructural evolution during cyclic deformation. By HRRSM, a large number of individual subgrains can be resolved within individual grains in the bulk of polycrystalline specimens and their fate, their individual orientation and elastic stresses, tracked during...

  7. Site-controlled fabrication of silicon nanotips by indentation-induced selective etching

    Science.gov (United States)

    Jin, Chenning; Yu, Bingjun; Liu, Xiaoxiao; Xiao, Chen; Wang, Hongbo; Jiang, Shulan; Wu, Jiang; Liu, Huiyun; Qian, Linmao

    2017-12-01

    In the present study, the indentation-induced selective etching approach is proposed to fabricate site-controlled pyramidal nanotips on Si(100) surface. Without any masks, the site-controlled nanofabrication can be realized by nanoindentation and post etching in potassium hydroxide (KOH) solution. The effect of indentation force and etching time on the formation of pyramidal nanotips was investigated. It is found that the height and radius of the pyramidal nanotips increase with the indentation force or etching time, while long-time etching can lead to the collapse of the tips. The formation of pyramidal tips is ascribed to the anisotropic etching of silicon and etching stop of (111) crystal planes in KOH aqueous solution. The capability of this fabrication method was further demonstrated by producing various tip arrays on silicon surface by selective etching of the site-controlled indent patterns, and the maximum height difference of these tips is less than 10 nm. The indentation-induced selective etching provides a new strategy to fabricate well site-controlled tip arrays for multi-probe SPM system, Si nanostructure-based sensors and high-quality information storage.

  8. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  9. The environmental radiation monitoring system and in-situ measurements for early notification and OIL (Operational Intervention Levels) calculations

    Energy Technology Data Exchange (ETDEWEB)

    Haquin, G.; Ne`eman, E.; Brenner, S.; Lavi, N. [Tel Aviv Univ. (Israel). Sackler School of Medicine. Inst. for Environmental Research

    1997-12-31

    The efficiency of the environmental radiation monitoring, low level laboratory and in-situ gamma-ray spectrometry are evaluated as the systems for early notification and for determination of dose rate in air, surface contamination and activity concentration in food during emergencies for Operational Intervention Levels (OIL) recalculation.The National Environmental Radiation Monitoring System has proved its efficiency in the early detection of unregistered radiography work. A mobile station of the network can be used for absorbed dose rate measurement during emergencies in contaminated areas. The calibrated in-situ gamma-ray spectrometry system in an open phosphate ore mine has showed the efficiency of this technique for fast and accurate determination of soil activity concentration. The calibration for an uniform depth distribution can be easily mathematically converted to an exponential depth distribution in cases of radioactive material fallout 7 refs., 3 figs., 1 tab.; e-mail: envirad at post.tau.ac.il; env{sub r}ad at netvision,net.il

  10. Ambient Dried Aerogels

    Science.gov (United States)

    Jones, Steven M.; Paik, Jong-Ah

    2013-01-01

    A method has been developed for creating aerogel using normal pressure and ambient temperatures. All spacecraft, satellites, and landers require the use of thermal insulation due to the extreme environments encountered in space and on extraterrestrial bodies. Ambient dried aerogels introduce the possibility of using aerogel as thermal insulation in a wide variety of instances where supercritically dried aerogels cannot be used. More specifically, thermoelectric devices can use ambient dried aerogel, where the advantages are in situ production using the cast-in ability of an aerogel. Previously, aerogels required supercritical conditions (high temperature and high pressure) to be dried. Ambient dried aerogels can be dried at room temperature and pressure. This allows many materials, such as plastics and certain metal alloys that cannot survive supercritical conditions, to be directly immersed in liquid aerogel precursor and then encapsulated in the final, dried aerogel. Additionally, the metalized Mylar films that could not survive the previous methods of making aerogels can survive the ambient drying technique, thus making multilayer insulation (MLI) materials possible. This results in lighter insulation material as well. Because this innovation does not require high-temperature or high-pressure drying, ambient dried aerogels are much less expensive to produce. The equipment needed to conduct supercritical drying costs many tens of thousands of dollars, and has associated running expenses for power, pressurized gasses, and maintenance. The ambient drying process also expands the size of the pieces of aerogel that can be made because a high-temperature, high-pressure system typically has internal dimensions of up to 30 cm in diameter and 60 cm in height. In the case of this innovation, the only limitation on the size of the aerogels produced would be in the ability of the solvent in the wet gel to escape from the gel network.

  11. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  12. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  13. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  14. In situ X-ray scattering studies of protein solution droplets drying on micro- and nanopatterned superhydrophobic PMMA surfaces.

    Science.gov (United States)

    Accardo, Angelo; Gentile, Francesco; Mecarini, Federico; De Angelis, Francesco; Burghammer, Manfred; Di Fabrizio, Enzo; Riekel, Christian

    2010-09-21

    Superhydrophobic poly(methyl methacrylate) surfaces with contact angles of ∼170° and high optical and X-ray transparencies have been fabricated through the use of optical lithography and plasma etching. The surfaces contain either a microscale pattern of micropillars or a random nanofibrillar pattern. Nanoscale asperities on top of the micropillars closely resemble Nelumbo nucifera lotus leaves. The evolution of the contact angle of water and lysozyme solution droplets during evaporation was studied on the micro- and nanopatterned surfaces, showing in particular contact-line pinning for the protein solution droplet on the nanopatterned surface. The microstructural evolution of lysozyme solution droplets was studied on both types of surfaces in situ under nearly contact-free conditions by synchrotron radiation microbeam wide-angle and small-angle X-ray scattering revealing the increasing protein concentration and the onset of precipitation. The solid residuals show hollow sphere morphologies. Rastermicrodiffraction of the detached residuals suggests about a 1/3 volume fraction of ≥17 nm lysozyme nanocrystalline domains and about a 2/3 short-range-order volume fraction. About 5-fold larger nanocrystalline domains were observed at the attachment points of the sphere to the substrates, which is attributed to particle growth in a shear flow. Such surfaces represent nearly contact-free sample supports for studies of inorganic and organic solution droplets, which find applications in biochips.

  15. No-waiting dentine self-etch concept-Merit or hype.

    Science.gov (United States)

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  16. Field Tests of Real-time In-situ Dissolved CO2 Monitoring for CO2 Leakage Detection in Groundwater

    Science.gov (United States)

    Yang, C.; Zou, Y.; Delgado, J.; Guzman, N.; Pinedo, J.

    2016-12-01

    Groundwater monitoring for detecting CO2 leakage relies on groundwater sampling from water wells drilled into aquifers. Usually groundwater samples are required be collected periodically in field and analyzed in the laboratory. Obviously groundwater sampling is labor and cost-intensive for long-term monitoring of large areas. Potential damage and contamination of water samples during the sampling process can degrade accuracy, and intermittent monitoring may miss changes in the geochemical parameters of groundwater, and therefore signs of CO2 leakage. Real-time in-situ monitoring of geochemical parameters with chemical sensors may play an important role for CO2 leakage detection in groundwater at a geological carbon sequestration site. This study presents field demonstration of a real-time in situ monitoring system capable of covering large areas for detection of low levels of dissolved CO2 in groundwater and reliably differentiating natural variations of dissolved CO2 concentration from small changes resulting from leakage. The sand-alone system includes fully distributed fiber optic sensors for carbon dioxide detection with a unique sensor technology developed by Intelligent Optical Systems. The systems were deployed to the two research sites: the Brackenridge Field Laboratory where the aquifer is shallow at depths of 10-20 ft below surface and the Devine site where the aquifer is much deeper at depths of 140 to 150 ft. Groundwater samples were periodically collected from the water wells which were installed with the chemical sensors and further compared to the measurements of the chemical sensors. Our study shows that geochemical monitoring of dissolved CO2 with fiber optic sensors could provide reliable CO2 leakage signal detection in groundwater as long as CO2 leakage signals are stronger than background noises at the monitoring locations.

  17. In situ ice and structure thickness monitoring using integrated and flexible ultrasonic transducers

    International Nuclear Information System (INIS)

    Liu, Q; Wu, K-T; Kobayashi, M; Jen, C-K; Mrad, N

    2008-01-01

    Two types of ultrasonic sensors are presented for in situ capability development of ice detection and structure thickness measurement. These piezoelectric film based sensors have been fabricated by a sol–gel spray technique for aircraft environments and for temperatures ranging from −80 to 100 °C. In one sensor type, piezoelectric films of thickness greater than 40 µm are deposited directly onto the interior of a 1.3 mm thick aluminum (Al) alloy control surface (stabilizer) of an aircraft wing structure as integrated ultrasonic transducers (UTs). In the other sensor type, piezoelectric films are coated onto a 50 µm thick polyimide membrane as flexible UTs. These were subsequently glued onto similar locations at the same control surfaces. In situ monitoring of stabilizer outer skin thickness was performed. Ice build-up ranging from a fraction of 1 mm to less than 1.5 mm was also detected on a 3 mm thick Al plate. Measurements using these ultrasonic sensors agreed well with those obtained by a micrometer. Tradeoffs of these two approaches are presented

  18. What's new in dentine bonding? Self-etch adhesives.

    Science.gov (United States)

    Burke, F J Trevor

    2004-12-01

    Bonding to dentine is an integral part of contemporary restorative dentistry, but early systems were not user-friendly. The introduction of new systems which have a reduced number of steps--the self-etch adhesives--could therefore be an advantage to clinicians, provided that they are as effective as previous adhesives. These new self-etch materials appear to form hybrid layers as did the previous generation of materials. However, there is a need for further clinical research on these new materials. Advantages of self-etch systems include, no need to etch and rinse, reduced post-operative sensitivity and low technique sensitivity. Disadvantages include, the inhibition of set of self- or dual-cure resin materials and the need to roughen untreated enamel surfaces prior to bonding.

  19. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  20. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  1. Long-term measurements of equilibrium factor with electrochemically etched CR-39 SSNTD

    International Nuclear Information System (INIS)

    Ng, F.M.F.; Nikezic, D.; Yu, K.N.

    2007-01-01

    Recently, our group proposed a method (proxy equilibrium factor method) using a bare LR 115 detector for long-term monitoring of the equilibrium factor. Due to the presence of an upper alpha-particle energy threshold for track formation in the LR 115 detector, the partial sensitivities to 222 Rn, 218 Po and 214 Po were the same, which made possible measurements of a proxy equilibrium factor F p that was well correlated with the equilibrium factor. In the present work, the method is extended to CR-39 detectors which have better-controlled etching properties but do not have an upper energy threshold. An exposed bare CR-39 detector is first pre-etched in 6.25 N NaOH solution at 70 o C for 6 h, and then etched electrochemically in a 6.25 N NaOH solution with ac voltage of 400 V (peak to peak) and 5 kHz applied across the detectors for 1 h at room temperature. Under these conditions, for tracks corresponding to incident angles larger than or equal to 50 deg., the treeing efficiency is 0% and 100% for incident energies smaller than and larger than 4 MeV, respectively. A simple method is then proposed to obtain the total number of tracks formed below the upper energy threshold of 4 MeV, from which the proxy equilibrium factor method can apply

  2. 3D shape measurements with a single interferometric sensor for in-situ lathe monitoring

    Science.gov (United States)

    Kuschmierz, R.; Huang, Y.; Czarske, J.; Metschke, S.; Löffler, F.; Fischer, A.

    2015-05-01

    Temperature drifts, tool deterioration, unknown vibrations as well as spindle play are major effects which decrease the achievable precision of computerized numerically controlled (CNC) lathes and lead to shape deviations between the processed work pieces. Since currently no measurement system exist for fast, precise and in-situ 3d shape monitoring with keyhole access, much effort has to be made to simulate and compensate these effects. Therefore we introduce an optical interferometric sensor for absolute 3d shape measurements, which was integrated into a working lathe. According to the spindle rotational speed, a measurement rate of 2,500 Hz was achieved. In-situ absolute shape, surface profile and vibration measurements are presented. While thermal drifts of the sensor led to errors of several mµm for the absolute shape, reference measurements with a coordinate machine show, that the surface profile could be measured with an uncertainty below one micron. Additionally, the spindle play of 0.8 µm was measured with the sensor.

  3. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  4. Single Mode Optical Fiber based Refractive Index Sensor using Etched Cladding

    OpenAIRE

    Kumar, Ajay; Gupta, Geeta; Mallik, Arun; Bhatnagar, Anuj

    2011-01-01

    The use of optical fiber for sensor applications is a topic of current interest. We report the fabrication of etched single mode optical fiber based refractive index sensor. Experiments are performed to determine the etch rate of fiber in buffered hydrofluoric acid, which can be high or low depending upon the temperature at which etching is carried out. Controlled wet etching of fiber cladding is performed using these measurements and etched fiber region is tested for refractive index sensing...

  5. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  6. VAMOS: The verification and monitoring options study: Current research options for in-situ monitoring and verification of contaminant remediation and containment within the vadose zone

    International Nuclear Information System (INIS)

    Betsill, J.D.; Gruebel, R.D.

    1995-09-01

    The Verification and Monitoring Options Study Project (VAMOS) was established to identify high-priority options for future vadose-zone environmental research in the areas of in-situ remediation monitoring, post-closure monitoring, and containment emplacement and verification monitoring. VAMOS examined projected needs not currently being met with applied technology in order to develop viable monitoring and verification research options. The study emphasized a compatible systems approach to reinforce the need for utilizing compatible components to provide user friendly site monitoring systems. To identify the needs and research options related to vadose-zone environmental monitoring and verification, a literature search and expert panel forums were conducted. The search included present drivers for environmental monitoring technology, technology applications, and research efforts. The forums included scientific, academic, industry, and regulatory environmental professionals as well as end users of environmental technology. The experts evaluated current and future monitoring and verification needs, methods for meeting these needs, and viable research options and directions. A variety of high-priority technology development, user facility, and technology guidance research options were developed and presented as an outcome of the literature search and expert panel forums

  7. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  8. Preparation of Track Etch Membrane Filters Using Polystyrene Film

    International Nuclear Information System (INIS)

    Kaewsaenee, Jerawut; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2007-08-01

    Full text: Polystyrene nuclear track etch membrane filters was prepared by exposed 13 .m thin film polystyrene with fission fragment. Nuclear latent track was enlarged to through hole on the film by etching with 80 o C 40% H 2 SO 4 with K 2 Cr 2 O 7 solution for 6-10 hour. The hole size was depend on concentration of etching solution and etching time with 1.3-3.4 .m hole diameter. The flow rate test of water was 0.79-1.56 mm cm-2 min-1 at 109.8-113.7 kPa pressure

  9. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  10. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  11. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  12. In situ monitoring using Lab on Chip devices, with particular reference to dissolved silica.

    Science.gov (United States)

    Turner, G. S. C.; Loucaides, S.; Slavik, G. J.; Owsianka, D. R.; Beaton, A.; Nightingale, A.; Mowlem, M. C.

    2016-02-01

    In situ sensors are attractive alternatives to discrete sampling of natural waters, offering the potential for sustained long term monitoring and eliminating the need for sample handling. This can reduce sample contamination and degradation. In addition, sensors can be clustered into multi-parameter observatories and networked to provide both spatial and time series coverage. High resolution, low cost, and long term monitoring are the biggest advantages of these technologies to oceanographers. Microfluidic technology miniaturises bench-top assay systems into portable devices, known as a `lab on a chip' (LOC). The principle advantages of this technology are low power consumption, simplicity, speed, and stability without compromising on quality (accuracy, precision, selectivity, sensitivity). We have successfully demonstrated in situ sensors based on this technology for the measurement of pH, nitrate and nitrite. Dissolved silica (dSi) is an important macro-nutrient supporting a major fraction of oceanic primary production carried out by diatoms. The biogeochemical Si cycle is undergoing significant modifications due to human activities, which affects availability of dSi, and consequently primary production. Monitoring dSi concentrations is therefore critical in increasing our understanding of the biogeochemical Si cycle to predict and manage anthropogenic perturbations. The standard bench top air segmented flow technique utilising the reduction of silicomolybdic acid with spectrophotometric detection has been miniaturised into a LOC system; the target limit of detection is 1 nM, with ± 5% accuracy and 3% precision. Results from the assay optimisation are presented along with reagent shelf life to demonstrate the robustness of the chemistry. Laboratory trials of the sensor using ideal solutions and environmental samples in environmentally relevant conditions (temperature, pressure) are discussed, along with an overview of our current LOC analytical capabilities.

  13. Opto-electrochemical In Situ Monitoring of the Cathodic Formation of Single Cobalt Nanoparticles.

    Science.gov (United States)

    Brasiliense, Vitor; Clausmeyer, Jan; Dauphin, Alice L; Noël, Jean-Marc; Berto, Pascal; Tessier, Gilles; Schuhmann, Wolfgang; Kanoufi, Fréderic

    2017-08-21

    Single-particle electrochemistry at a nanoelectrode is explored by dark-field optical microscopy. The analysis of the scattered light allows in situ dynamic monitoring of the electrodeposition of single cobalt nanoparticles down to a radius of 65 nm. Larger sub-micrometer particles are directly sized optically by super-localization of the edges and the scattered light contains complementary information concerning the particle redox chemistry. This opto-electrochemical approach is used to derive mechanistic insights about electrocatalysis that are not accessible from single-particle electrochemistry. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Electrical resistivity tomography to quantify in situ liquid content in a full-scale dry anaerobic digestion reactor.

    Science.gov (United States)

    André, L; Lamy, E; Lutz, P; Pernier, M; Lespinard, O; Pauss, A; Ribeiro, T

    2016-02-01

    The electrical resistivity tomography (ERT) method is a non-intrusive method widely used in landfills to detect and locate liquid content. An experimental set-up was performed on a dry batch anaerobic digestion reactor to investigate liquid repartition in process and to map spatial distribution of inoculum. Two array electrodes were used: pole-dipole and gradient arrays. A technical adaptation of ERT method was necessary. Measured resistivity data were inverted and modeled by RES2DINV software to get resistivity sections. Continuous calibration along resistivity section was necessary to understand data involving sampling and physicochemical analysis. Samples were analyzed performing both biochemical methane potential and fiber quantification. Correlations were established between the protocol of reactor preparation, resistivity values, liquid content, methane potential and fiber content representing liquid repartition, high methane potential zones and degradations zones. ERT method showed a strong relevance to monitor and to optimize the dry batch anaerobic digestion process. Copyright © 2015 Elsevier Ltd. All rights reserved.

  15. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  16. Defect sensitive etching of hexagonal boron nitride single crystals

    Science.gov (United States)

    Edgar, J. H.; Liu, S.; Hoffman, T.; Zhang, Yichao; Twigg, M. E.; Bassim, Nabil D.; Liang, Shenglong; Khan, Neelam

    2017-12-01

    Defect sensitive etching (DSE) was developed to estimate the density of non-basal plane dislocations in hexagonal boron nitride (hBN) single crystals. The crystals employed in this study were precipitated by slowly cooling (2-4 °C/h) a nickel-chromium flux saturated with hBN from 1500 °C under 1 bar of flowing nitrogen. On the (0001) planes, hexagonal-shaped etch pits were formed by etching the crystals in a eutectic mixture of NaOH and KOH between 450 °C and 525 °C for 1-2 min. There were three types of pits: pointed bottom, flat bottom, and mixed shape pits. Cross-sectional transmission electron microscopy revealed that the pointed bottom etch pits examined were associated with threading dislocations. All of these dislocations had an a-type burgers vector (i.e., they were edge dislocations, since the line direction is perpendicular to the [ 2 11 ¯ 0 ]-type direction). The pit widths were much wider than the pit depths as measured by atomic force microscopy, indicating the lateral etch rate was much faster than the vertical etch rate. From an Arrhenius plot of the log of the etch rate versus the inverse temperature, the activation energy was approximately 60 kJ/mol. This work demonstrates that DSE is an effective method for locating threading dislocations in hBN and estimating their densities.

  17. Dynamic Mechanical Behavior of Dry and Water Saturated Igneous Rock with Acoustic Emission Monitoring

    Directory of Open Access Journals (Sweden)

    Jun Guo

    2018-01-01

    Full Text Available The uniaxial cyclic loading tests have been conducted to study the mechanical behavior of dry and water saturated igneous rock with acoustic emission (AE monitoring. The igneous rock samples are dried, naturally immersed, and boiled to get specimens with different water contents for the testing. The mineral compositions and the microstructures of the dry and water saturated igneous rock are also presented. The dry specimens present higher strength, fewer strains, and rapid increase of AE count subjected to the cyclic loading, which reflects the hard and brittle behavior and strong burst proneness of igneous rock. The water saturated specimens have lower peak strength, more accumulated strains, and increase of AE count during the cyclic loading. The damage of the igneous rocks with different water contents has been identified by the Felicity Ratio Analysis. The cyclic loading and unloading increase the dislocation between the mineral aggregates and the water-rock interactions further break the adhesion of the clay minerals, which jointly promote the inner damage of the igneous rock. The results suggest that the groundwater can reduce the burst proneness of the igneous rock but increase the potential support failure of the surrounding rock in igneous invading area. In addition, the results inspire the fact that the water injection method is feasible for softening the igneous rock and for preventing the dynamic disasters within the roadways and working faces located in the igneous intrusion area.

  18. Rapid in situ synthesis of spherical microflower Pt/C catalyst via spray-drying for high performance fuel cell application

    Energy Technology Data Exchange (ETDEWEB)

    Balgis, R.; Ogi, T.; Okuyama, K. [Department of Chemical Engineering, Graduate School of Engineering, Hiroshima University, Higashi Hiroshima, Hiroshima (Japan); Anilkumar, G.M.; Sago, S. [Research and Development Centre, Noritake Co., Ltd., Higashiyama, Miyoshi, Aichi (Japan)

    2012-08-15

    A facile route for the rapid in situ synthesis of platinum nanoparticles on spherical microflower carbon has been developed. An aqueous precursor slurry containing carbon black, polystyrene latex (PSL), polyvinyl alcohol, and platinum salt was spray-dried, followed by calcination to simultaneously reduce platinum salt and to decompose PSL particles. Prepared Pt/C catalyst showed high-performance electrocatalytic activity with excellent durability. The mass activity and specific activity values were 132.26 mA mg{sup -1} Pt and 207.62 {mu}A cm{sup -2} Pt, respectively. This work presents a future direction for the production of high-performance Pt/C catalyst in an industrial scale. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Cerenkov Counter for In-Situ Groundwater Monitoring of 90Sr

    Directory of Open Access Journals (Sweden)

    Lindsay C. Todd

    2005-02-01

    Full Text Available Groundwater contamination from 90Sr is an environmental challenge posed topresent and former nuclear weapons related sites. Traditional methods of extractinggroundwater samples and performing laboratory analyses are expensive, time-consumingand induce significant disposal challenges. The authors present here a prototype countercapable of measuring 90Sr groundwater concentrations in-situ at or below the drinking waterlimit of 8 pCi/liter. The 90Y daughter of 90Sr produces high-energy electrons, which cancreate Cerenkov light. Photomultiplier tubes convert the Cerenkov light into an electronicpulse, which then undergoes signal processing with standard electronics. Strontium-90concentrations near the drinking water limit can be measured in a matter of hours if it is insecular equilibrium with the 90Y daughter. The prototype counter is compact, can bedeployed in an American Standard 6-inch, well while operated by a single person, andtransmits the results to a central monitoring location.

  20. The aquatic real-time monitoring network; in-situ optical sensors for monitoring the nation's water quality

    Science.gov (United States)

    Pellerin, Brian A.; Bergamaschi, Brian A.; Murdoch, Peter S.; Downing, Bryan D.; Saraceno, John Franco; Aiken, George R.; Striegl, Robert G.

    2011-01-01

    Floods, hurricanes, and longer-term changes in climate and land use can have profound effects on water quality due to shifts in hydrologic flow paths, water residence time, precipitation patterns, connectivity between rivers and uplands, and many other factors. In order to understand and respond to changes in hydrology and water quality, resource managers and policy makers have a need for accurate and early indicators, as well as the ability to assess possible mechanisms and likely outcomes. In-situ optical sensors-those making continuous measurements of constituents by absorbance or fluorescence properties in the environment at timescales of minutes to years-have a long history in oceanography for developing highly resolved concentrations and fluxes, but are not commonly used in freshwater systems. The United States Geological Survey (USGS) has developed the Aquatic Real-Time Monitoring Network, with high-resolution optical data collection for organic carbon, nutrients, and sediment in large coastal rivers, along with continuous measurements of discharge, water temperature, and dissolved inorganic carbon. The collecting of continuous water-quality data in the Nation?s waterways has revealed temporal trends and spatial patterns in constituents that traditional sampling approaches fail to capture, and will serve a critical role in monitoring, assessment and decision-making in a rapidly changing landscape.

  1. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  2. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  3. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  4. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. In situ observations from STEREO/PLASTIC: a test for L5 space weather monitors

    Directory of Open Access Journals (Sweden)

    K. D. C. Simunac

    2009-10-01

    Full Text Available Stream interaction regions (SIRs that corotate with the Sun (corotating interaction regions, or CIRs are known to cause recurrent geomagnetic storms. The Earth's L5 Lagrange point, separated from the Earth by 60 degrees in heliographic longitude, is a logical location for a solar wind monitor – nearly all SIRs/CIRs will be observed at L5 several days prior to their arrival at Earth. Because the Sun's heliographic equator is tilted about 7 degrees with respect to the ecliptic plane, the separation in heliographic latitude between L5 and Earth can be more than 5 degrees. In July 2008, during the period of minimal solar activity at the end of solar cycle 23, the two STEREO observatories were separated by about 60 degrees in longitude and more than 4 degrees in heliographic latitude. This time period affords a timely test for the practical application of a solar wind monitor at L5. We compare in situ observations from PLASTIC/AHEAD and PLASTIC/BEHIND, and report on how well the BEHIND data can be used as a forecasting tool for in situ conditions at the AHEAD spacecraft with the assumptions of ideal corotation and minimal source evolution. Preliminary results show the bulk proton parameters (density and bulk speed are not in quantitative agreement from one observatory to the next, but the qualitative profiles are similar.

  6. Temperature increase beneath etched dentin discs during composite polymerization.

    Science.gov (United States)

    Karaarslan, Emine Sirin; Secilmis, Asli; Bulbul, Mehmet; Yildirim, Cihan; Usumez, Aslihan

    2011-01-01

    The purpose of this in vitro study was to measure the temperature increase during the polymerization of a composite resin beneath acid-etched or laser-etched dentin discs. The irradiation of dentin with an Er:YAG laser may have a positive effect on the thermal conductivity of dentin. This technique has not been studied extensively. Forty dentin discs (5 mm in diameter and 0.5 or 1 mm in height) were prepared from extracted permanent third molars. These dentin discs were etched with 20% orthophosphoric acid or an Er:YAG laser, and were then placed on an apparatus developed to measure temperature increases. The composite resin was polymerized with a high-intensity quartz tungsten halogen (HQTH) or light-emitting diode unit (LED). The temperature increase was measured under the dentin disc with a J-type thermocouple wire that was connected to a data logger. Five measurements were made for each dentin disc, curing unit, and etching system combination. Differences between the initial and the highest temperature readings were taken, and the five calculated temperature changes were averaged to determine the value of the temperature increase. Statistical analysis was performed with a three-way ANOVA and Tukey HSD tests at a 0.05 level of significance. Further SEM examinations were performed. The temperature increase values varied significantly, depending on etching systems (p < 0.05), dentin thicknesses (p < 0.05), and curing units (p < 0.05). Temperature increases measured beneath laser-etched discs were significantly higher than those for acid-etched dentin discs (p < 0.05). The HQTH unit induced significantly higher temperature increases than the LED unit (p < 0.05). The LED unit induced the lowest temperature change (5.2°C) in the 1-mm, acid-etched dentin group. The HQTH unit induced the highest temperature change (10.4°C) for the 0.5-mm, laser-etched dentin group. The risk of heat-induced pulpal damage should be taken into consideration

  7. A Lab-On-Chip Phosphate Analyzer for Long-term In Situ Monitoring at Fixed Observatories: Optimization and Performance Evaluation in Estuarine and Oligotrophic Coastal Waters

    Directory of Open Access Journals (Sweden)

    Maxime M. Grand

    2017-08-01

    Full Text Available The development of phosphate sensors suitable for long-term in situ deployments in natural waters, is essential to improve our understanding of the distribution, fluxes, and biogeochemical role of this key nutrient in a changing ocean. Here, we describe the optimization of the molybdenum blue method for in situ work using a lab-on-chip (LOC analyzer and evaluate its performance in the laboratory and at two contrasting field sites. The in situ performance of the LOC sensor is evaluated using hourly time-series data from a 56-day trial in Southampton Water (UK, as well as a month-long deployment in the subtropical oligotrophic waters of Kaneohe Bay (Hawaii, USA. In Kaneohe Bay, where phosphate concentrations were characteristic of the dry season (0.13 ± 0.03 μM, n = 704, the in situ sensor accuracy was 16 ± 12% and a potential diurnal cycle in phosphate concentrations was observed. In Southampton Water, the sensor data (1.02 ± 0.40 μM, n = 1,267 were accurate to ±0.10 μM relative to discrete reference samples. Hourly in situ monitoring revealed striking tidal and storm derived fluctuations in phosphate concentrations in Southampton Water that would not have been captured via discrete sampling. We show the impact of storms on phosphate concentrations in Southampton Water is modulated by the spring-neap tidal cycle and that the 10-fold decline in phosphate concentrations observed during the later stages of the deployment was consistent with the timing of a spring phytoplankton bloom in the English Channel. Under controlled laboratory conditions in a 250 L tank, the sensor demonstrated an accuracy and precision better than 10% irrespective of the salinity (0–30, turbidity (0–100 NTU, colored dissolved organic matter (CDOM concentration (0–10 mg/L, and temperature (5–20°C of the water (0.3–13 μM phosphate being analyzed. This work demonstrates that the LOC technology is mature enough to quantify the influence of stochastic events on

  8. Level Set Approach to Anisotropic Wet Etching of Silicon

    Directory of Open Access Journals (Sweden)

    Branislav Radjenović

    2010-05-01

    Full Text Available In this paper a methodology for the three dimensional (3D modeling and simulation of the profile evolution during anisotropic wet etching of silicon based on the level set method is presented. Etching rate anisotropy in silicon is modeled taking into account full silicon symmetry properties, by means of the interpolation technique using experimentally obtained values for the etching rates along thirteen principal and high index directions in KOH solutions. The resulting level set equations are solved using an open source implementation of the sparse field method (ITK library, developed in medical image processing community, extended for the case of non-convex Hamiltonians. Simulation results for some interesting initial 3D shapes, as well as some more practical examples illustrating anisotropic etching simulation in the presence of masks (simple square aperture mask, convex corner undercutting and convex corner compensation, formation of suspended structures are shown also. The obtained results show that level set method can be used as an effective tool for wet etching process modeling, and that is a viable alternative to the Cellular Automata method which now prevails in the simulations of the wet etching process.

  9. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  10. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  11. Optimization of some electrochemical etching parameters for cellulose derivatives

    International Nuclear Information System (INIS)

    Chowdhury, Annis; Gammage, R.B.

    1978-01-01

    Electrochemical etching of fast neutron induced recoil particle tracks in cellulose derivatives and other polymers provides an inexpensive and sensitive means of fast neutron personnel dosimetry. A study of the shape, clarity, and size of the tracks in Transilwrap polycarbonate indicated that the optimum normality of the potassium hydroxide etching solution is 9 N. Optimizations have also been attempted for cellulose nitrate, triacetate, and acetobutyrate with respect to such electrochemical etching parameters as frequency, voltage gradient, and concentration of the etching solution. The measurement of differential leakage currents between the undamaged and the neutron damaged foils aided in the selection of optimum frequencies. (author)

  12. Rehydration kinetics of freeze-dried carrots

    NARCIS (Netherlands)

    Vergeldt, F.J.; Dalen, van G.; Duijster, A.J.; Voda, A.; Khalloufi, S.; Vliet, van L.J.; As, van H.; Duynhoven, van J.P.M.; Sman, van der R.G.M.

    2014-01-01

    Rehydration kinetics by two modes of imbibition is studied in pieces of freeze-dried winter carrot, after different thermal pre-treatments. Water ingress at room temperature is measured in real time by in situ MRI and NMR relaxometry. Blanched samples rehydrate substantially faster compared to

  13. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  14. Etch induction time in cellulose nitrate: a new particle identification parameter

    International Nuclear Information System (INIS)

    Ruddy, F.H.; Knowles, H.B.; Luckstead, S.C.; Tripard, G.E.

    1977-01-01

    By the use of a 'continuous etch' method, it has been ascertained that particle tracks do not appear in cellulose nitrate track detectors until a certain finite time after etch has been started: this etch induction time may provide a unique signal for distinguishing ions of different atomic number, Z, and possibly also resolving the mass, M, of such ions. Empirical relations between etch induction time and various experimental quantities are described, as is a simple theory of the cause of etch induction time, which can be related to experimental evidence on hand. There is reason to believe that etch induction time appears in other types of plastic track detectors and may indeed be a general phenomenon in all track detectors. (Auth.)

  15. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  16. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  17. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  18. Development of self-powered wireless high temperature electrochemical sensor for in situ corrosion monitoring of coal-fired power plant.

    Science.gov (United States)

    Aung, Naing Naing; Crowe, Edward; Liu, Xingbo

    2015-03-01

    Reliable wireless high temperature electrochemical sensor technology is needed to provide in situ corrosion information for optimal predictive maintenance to ensure a high level of operational effectiveness under the harsh conditions present in coal-fired power generation systems. This research highlights the effectiveness of our novel high temperature electrochemical sensor for in situ coal ash hot corrosion monitoring in combination with the application of wireless communication and an energy harvesting thermoelectric generator (TEG). This self-powered sensor demonstrates the successful wireless transmission of both corrosion potential and corrosion current signals to a simulated control room environment. Copyright © 2014 ISA. All rights reserved.

  19. Develop an piezoelectric sensing based on SHM system for nuclear dry storage system

    Science.gov (United States)

    Ma, Linlin; Lin, Bin; Sun, Xiaoyi; Howden, Stephen; Yu, Lingyu

    2016-04-01

    In US, there are over 1482 dry cask storage system (DCSS) in use storing 57,807 fuel assemblies. Monitoring is necessary to determine and predict the degradation state of the systems and structures. Therefore, nondestructive monitoring is in urgent need and must be integrated into the fuel cycle to quantify the "state of health" for the safe operation of nuclear power plants (NPP) and radioactive waste storage systems (RWSS). Innovative approaches are desired to evaluate the degradation and damage of used fuel containers under extended storage. Structural health monitoring (SHM) is an emerging technology that uses in-situ sensory system to perform rapid nondestructive detection of structural damage as well as long-term integrity monitoring. It has been extensively studied in aerospace engineering over the past two decades. This paper presents the development of a SHM and damage detection methodology based on piezoelectric sensors technologies for steel canisters in nuclear dry cask storage system. Durability and survivability of piezoelectric sensors under temperature influence are first investigated in this work by evaluating sensor capacitance and electromechanical admittance. Toward damage detection, the PES are configured in pitch catch setup to transmit and receive guided waves in plate-like structures. When the inspected structure has damage such as a surface defect, the incident guided waves will be reflected or scattered resulting in changes in the wave measurements. Sparse array algorithm is developed and implemented using multiple sensors to image the structure. The sparse array algorithm is also evaluated at elevated temperature.

  20. Annual Report: 2011-2012 Storm Season Sampling, Non-Dry Dock Stormwater Monitoring for Puget Sound Naval Shipyard, Bremerton, WA

    Energy Technology Data Exchange (ETDEWEB)

    Brandenberger, Jill M.; Metallo, David; Rupert, Brian; Johnston, Robert K.; Gebhart, Christine

    2013-07-03

    Annual PSNS non-dry dock storm water monitoring results for 2011-2012 storm season. Included are a brief description of the sampling procedures, storm event information, laboratory methods and data collection, a results and discussion section, and the conclusions and recommendations.