WorldWideScience

Sample records for sio2 gate oxide

  1. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  2. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  3. Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO2 nanogranular films

    International Nuclear Information System (INIS)

    Zhu, Li Qiang; Chao, Jin Yu; Xiao, Hui

    2014-01-01

    Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO 2 nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics

  4. Improved linearity and reliability in GaN metal-oxide-semiconductor high-electron-mobility transistors using nanolaminate La2O3/SiO2 gate dielectric

    Science.gov (United States)

    Hsu, Ching-Hsiang; Shih, Wang-Cheng; Lin, Yueh-Chin; Hsu, Heng-Tung; Hsu, Hisang-Hua; Huang, Yu-Xiang; Lin, Tai-Wei; Wu, Chia-Hsun; Wu, Wen-Hao; Maa, Jer-Shen; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2016-04-01

    Improved device performance to enable high-linearity power applications has been discussed in this study. We have compared the La2O3/SiO2 AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with other La2O3-based (La2O3/HfO2, La2O3/CeO2 and single La2O3) MOS-HEMTs. It was found that forming lanthanum silicate films can not only improve the dielectric quality but also can improve the device characteristics. The improved gate insulation, reliability, and linearity of the 8 nm La2O3/SiO2 MOS-HEMT were demonstrated.

  5. Memory and learning behaviors mimicked in nanogranular SiO2-based proton conductor gated oxide-based synaptic transistors.

    Science.gov (United States)

    Wan, Chang Jin; Zhu, Li Qiang; Zhou, Ju Mei; Shi, Yi; Wan, Qing

    2013-11-07

    In neuroscience, signal processing, memory and learning function are established in the brain by modifying ionic fluxes in neurons and synapses. Emulation of memory and learning behaviors of biological systems by nanoscale ionic/electronic devices is highly desirable for building neuromorphic systems or even artificial neural networks. Here, novel artificial synapses based on junctionless oxide-based protonic/electronic hybrid transistors gated by nanogranular phosphorus-doped SiO2-based proton-conducting films are fabricated on glass substrates by a room-temperature process. Short-term memory (STM) and long-term memory (LTM) are mimicked by tuning the pulse gate voltage amplitude. The LTM process in such an artificial synapse is due to the proton-related interfacial electrochemical reaction. Our results are highly desirable for building future neuromorphic systems or even artificial networks via electronic elements.

  6. Radiation-induced interface state generation in MOS devices with reoxidised nitrided SiO2 gate dielectrics

    International Nuclear Information System (INIS)

    Lo, G.Q.; Shih, D.K.; Ting, W.; Kwong, D.L.

    1989-01-01

    In this letter, the radiation-induced interface state generation ΔD it in MOS devices with reoxidised nitrided gate oxides has been studied. The reoxidised nitrided oxides were fabricated by rapid thermal reoxidation (RTO) of rapidly thermal nitrided (RTN) SiO 2 . The devices were irradiated by exposure to X-rays at doses of 0.5-5.0 Mrad (Si). It is found that the RTO process improves the radiation hardness of RTN oxides in terms of interface state generation. The enhanced interface ''hardness'' of reoxidised nitrided oxides is attributed to the strainless interfacial oxide regrowth or reduction of hydrogen concentration during RTO of RTN oxides. (author)

  7. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  8. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    Science.gov (United States)

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  9. Role of Oxygen in Ionic Liquid Gating on Two-Dimensional Cr2Ge2Te6: A Non-oxide Material.

    Science.gov (United States)

    Chen, Yangyang; Xing, Wenyu; Wang, Xirui; Shen, Bowen; Yuan, Wei; Su, Tang; Ma, Yang; Yao, Yunyan; Zhong, Jiangnan; Yun, Yu; Xie, X C; Jia, Shuang; Han, Wei

    2018-01-10

    Ionic liquid gating can markedly modulate a material's carrier density so as to induce metallization, superconductivity, and quantum phase transitions. One of the main issues is whether the mechanism of ionic liquid gating is an electrostatic field effect or an electrochemical effect, especially for oxide materials. Recent observation of the suppression of the ionic liquid gate-induced metallization in the presence of oxygen for oxide materials suggests the electrochemical effect. However, in more general scenarios, the role of oxygen in the ionic liquid gating effect is still unclear. Here, we perform ionic liquid gating experiments on a non-oxide material: two-dimensional ferromagnetic Cr 2 Ge 2 Te 6 . Our results demonstrate that despite the large increase of the gate leakage current in the presence of oxygen, the oxygen does not affect the ionic liquid gating effect on  the channel resistance of Cr 2 Ge 2 Te 6 devices (ionic liquid gating is more effective on the modulation of the channel resistances compared to the back gating across the 300 nm thick SiO 2 .

  10. The InP - SiO2 interface: Electron tunneling into oxide traps

    International Nuclear Information System (INIS)

    Prasad, S.J.; Owen, S.J.T.

    1985-01-01

    Indium Phosphide is an attractive material for high-speed devices. Though many successful devices have been built and demonstrated, InP MISFET's still suffer from drain current drift. From the data current drift measurements, the shift in the threshold voltage ΔV was computed for different times. It was found that a linear relationship exists between √ΔV and log(t). When a positive bias-stress was applied to the gate of an MIS capacitor for a time t, the C-V cure shifted by an amount ΔV and again, a linear relationship was observed between √ΔV and log(t). This was verified on four different gate insulators: pyrolytic SiO 2 at 320 0 C and 360 0 C, plasma oxide at 300 0 C and photo CVD oxide at 225 0 C. These results can only be explained by a model in which electrons tunnel from the substrate into oxide traps

  11. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    Science.gov (United States)

    Leung, T. C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-01-01

    Studies of SiO2-Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO2-Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown.

  12. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    International Nuclear Information System (INIS)

    Leung, T.C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K.G.

    1993-01-01

    Studies of SiO 2 -Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO 2 -Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown

  13. Densification of ∼5 nm-thick SiO_2 layers by nitric acid oxidation

    International Nuclear Information System (INIS)

    Choi, Jaeyoung; Joo, Soyeong; Park, Tae Joo; Kim, Woo-Byoung

    2017-01-01

    Highlights: • Leakage current density of the commercial PECVD grown ∼5 nm SiO_2 layer has been decreased about three orders of magnitude by densification. • The densification of SiO_2 layer is achieved by high oxidation ability of O·. • Densities of suboxide, fixed charge (N_f) and defect state (N_d) in SiO_2/Si interface are decreased by NAOS and PMA. • Tunneling barrier height (Φ_t) is increased because of the increase of atomic density in SiO_2 layer. - Abstract: Low-temperature nitric acid (HNO_3) oxidation of Si (NAOS) has been used to improve the interface and electrical properties of ∼5 nm-thick SiO_2/Si layers produced by plasma-enhanced chemical vapor deposition (PECVD). Investigations of the physical properties and electrical characteristics of these thin films revealed that although their thickness is not changed by NAOS, the leakage current density at a gate bias voltage of −1 V decreases by about two orders of magnitude from 1.868 × 10"−"5 A/cm"2. This leakage current density was further reduced by post-metallization annealing (PMA) at 250 °C for 10 min in a 5 vol.% hydrogen atmosphere, eventually reaching a level (5.2 × 10"−"8 A/cm"2) approximately three orders of magnitude less than the as-grown SiO_2 layer. This improvement is attributed to a decrease in the concentration of suboxide species (Si"1"+, Si"2"+ and Si"3"+) in the SiO_2/Si interface, as well as a decrease in the equilibrium density of defect sites (N_d) and fixed charge density (N_f). The barrier height (Φ_t) generated by a Poole-Frenkel mechanism also increased from 0.205 to 0.371 eV after NAOS and PMA. The decrease in leakage current density is therefore attributed to a densification of the SiO_2 layer in combination with the removal of OH species and increase in interfacial properties at the SiO_2/Si interface.

  14. Molecular-beam-deposited yttrium-oxide dielectrics in aluminum-gated metal - oxide - semiconductor field-effect transistors: Effective electron mobility

    International Nuclear Information System (INIS)

    Ragnarsson, L.-A degree.; Guha, S.; Copel, M.; Cartier, E.; Bojarczuk, N. A.; Karasinski, J.

    2001-01-01

    We report on high effective mobilities in yttrium-oxide-based n-channel metal - oxide - semiconductor field-effect transistors (MOSFETs) with aluminum gates. The yttrium oxide was grown in ultrahigh vacuum using a reactive atomic-beam-deposition system. Medium-energy ion-scattering studies indicate an oxide with an approximate composition of Y 2 O 3 on top of a thin layer of interfacial SiO 2 . The thickness of this interfacial oxide as well as the effective mobility are found to be dependent on the postgrowth anneal conditions. Optimum conditions result in mobilities approaching that of SiO 2 -based MOSFETs at higher fields with peak mobilities at approximately 210 cm 2 /Vs. [copyright] 2001 American Institute of Physics

  15. Electronic States of High-k Oxides in Gate Stack Structures

    Science.gov (United States)

    Zhu, Chiyu

    In this dissertation, in-situ X-ray and ultraviolet photoemission spectroscopy have been employed to study the interface chemistry and electronic structure of potential high-k gate stack materials. In these gate stack materials, HfO2 and La2O3 are selected as high-k dielectrics, VO2 and ZnO serve as potential channel layer materials. The gate stack structures have been prepared using a reactive electron beam system and a plasma enhanced atomic layer deposition system. Three interrelated issues represent the central themes of the research: 1) the interface band alignment, 2) candidate high-k materials, and 3) band bending, internal electric fields, and charge transfer. 1) The most highlighted issue is the band alignment of specific high-k structures. Band alignment relationships were deduced by analysis of XPS and UPS spectra for three different structures: a) HfO2/VO2/SiO2/Si, b) HfO 2-La2O3/ZnO/SiO2/Si, and c) HfO 2/VO2/ HfO2/SiO2/Si. The valence band offset of HfO2/VO2, ZnO/SiO2 and HfO 2/SiO2 are determined to be 3.4 +/- 0.1, 1.5 +/- 0.1, and 0.7 +/- 0.1 eV. The valence band offset between HfO2-La2O3 and ZnO was almost negligible. Two band alignment models, the electron affinity model and the charge neutrality level model, are discussed. The results show the charge neutrality model is preferred to describe these structures. 2) High-k candidate materials were studied through comparison of pure Hf oxide, pure La oxide, and alloyed Hf-La oxide films. An issue with the application of pure HfO2 is crystallization which may increase the leakage current in gate stack structures. An issue with the application of pure La2O3 is the presence of carbon contamination in the film. Our study shows that the alloyed Hf-La oxide films exhibit an amorphous structure along with reduced carbon contamination. 3) Band bending and internal electric fields in the gate stack structure were observed by XPS and UPS and indicate the charge transfer during the growth and process. The oxygen

  16. Surface properties of SiO2 with and without H2O2 treatment as gate dielectrics for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of H2O2 treatment on the surface properties of SiO2 is studied. H2O2 treatment leads to the formation of Si(sbnd OH)x at the SiO2 surface that serves to reduce the number of trap states, inducing the shift of the Fermi level toward the conduction band minimum. H2O2 treatment also leads to a noticeable reduction in the value of the SiO2 capacitance per unit area. The effect of SiO2 layers with H2O2 treatment on the behavior of carrier transports for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. Experimental identification confirms that the shift of the threshold voltage towards negative gate-source voltages is due to the reduced number of trap states in SiO2 near the pentacene/SiO2 interface. The existence of a hydrogenated layer between pentacene and SiO2 leads to a change in the pentacene-SiO2 interaction, increasing the value of the carrier mobility.

  17. SiO 2/SiC interface proved by positron annihilation

    Science.gov (United States)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Itoh, H.

    2003-06-01

    We have studied positron annihilation in a Silicon carbide (SiC)-metal/oxide/semiconductor (MOS) structure using a monoenergetic positron beam. The Doppler broadening of annihilation quanta were measured as functions of the incident positron energy and the gate bias. Applying negative gate bias, significant increases in S-parameters were observed. This indicates the migration of implanted positrons towards SiO 2/SiC interface and annihilation at open-volume type defects. The behavior of S-parameters depending on the bias voltage was well correlated with the capacitance-voltage ( C- V) characteristics. We observed higher S-parameters and the interfacial trap density in MOS structures fabricated using the dry oxidation method as compared to those by pyrogenic oxidation method.

  18. SiO2/SiC interface proved by positron annihilation

    International Nuclear Information System (INIS)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Itoh, H.

    2003-01-01

    We have studied positron annihilation in a Silicon carbide (SiC)-metal/oxide/semiconductor (MOS) structure using a monoenergetic positron beam. The Doppler broadening of annihilation quanta were measured as functions of the incident positron energy and the gate bias. Applying negative gate bias, significant increases in S-parameters were observed. This indicates the migration of implanted positrons towards SiO 2 /SiC interface and annihilation at open-volume type defects. The behavior of S-parameters depending on the bias voltage was well correlated with the capacitance-voltage (C-V) characteristics. We observed higher S-parameters and the interfacial trap density in MOS structures fabricated using the dry oxidation method as compared to those by pyrogenic oxidation method

  19. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.; Wang, H.; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2012-01-01

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  20. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.

    2012-09-10

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  1. The effect of the gate electrode on the C-V- characteristics of the structure M-TmF3-SiO2-Si

    International Nuclear Information System (INIS)

    Basily, R.R.

    1979-09-01

    The C-V characteristics of the structure M-TmF 3 -SiO 2 -Si, thermally treated at a temperature of 300 0 C for 15 minutes, were investigated. At higher temperatures to about 150 0 C, the hysteresis of the C-V characteristics is completely absent, whereas at room temperature hysteresis depends on the applied voltage and on the material of the gate electrode. The dependence of the flat band voltage shift on the applied voltage, the thickness of SiO 2 layer and the material of the gate electrode were measured. (author)

  2. Dielectric strength of SiO2 in a CMOS transistor structure

    International Nuclear Information System (INIS)

    Soden, J.M.

    1979-01-01

    The distribution of experimental dielectric strengths of SiO 2 gate dielectric in a CMOS transistor structure is shown to be composed of a primary, statistically-normal distribution of high dielectric strength and a secondary distribution spread through the lower dielectric strength region. The dielectric strength was not significantly affected by high level (1 x 10 6 RADS (Si)) gamma radiation or high temperature (200 0 C) stress. The primary distribution breakdowns occurred at topographical edges, mainly at the gate/field oxide interface, and the secondary distribution breakdowns occurred at random locations in the central region of the gate

  3. Observing the semiconducting band-gap alignment of MoS2 layers of different atomic thicknesses using a MoS2/SiO2/Si heterojunction tunnel diode

    NARCIS (Netherlands)

    Nishiguchi, K.; Castellanos-Gomez, A.; Yamaguchi, H.; Fujiwara, A.; Van der Zant, H.S.J.; Steele, G.A.

    2015-01-01

    We demonstrate a tunnel diode composed of a vertical MoS2/SiO2/Si heterostructure. A MoS2 flake consisting four areas of different thicknesses functions as a gate terminal of a silicon field-effect transistor. A thin gate oxide allows tunneling current to flow between the n-type MoS2 layers and

  4. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.

    2010-11-19

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  5. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.; Caraveo-Frescas, J. A.; Cha, D. K.

    2010-01-01

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  6. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  7. Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric

    Science.gov (United States)

    Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna

    2016-10-01

    In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.

  8. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    Science.gov (United States)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  9. Physical and Chemical Properties of TiOxNy Prepared by Low-Temperature Oxidation of Ultrathin Metal Nitride Directly Deposited on SiO2

    Institute of Scientific and Technical Information of China (English)

    HAN Yue-Ping; HAN Yan

    2009-01-01

    Physical and chemical properties of titanium oxynitride (TiOxNy) formed by low-temperature oxidation of titanium nitride (TIN) layer are investigated for advanced metal-oxide--semiconductor (MOS) gate dielectric application.TiOx Ny exhibits polycrystalline properties after the standard thermal process for MOS device fabrication,showing the preferred orientation at [200].Superior electrical properties of TiOxNy can be maintained before and after the annealing,probably due to the nitrogen incorporation in the oxide bulk and at the interface.Naturally formed transition layer between TiOxNy and SiO2 is also confirmed.

  10. Thermal response of Ru electrodes in contact with SiO2 and Hf-based high-k gate dielectrics

    International Nuclear Information System (INIS)

    Wen, H.-C.; Lysaght, P.; Alshareef, H.N.; Huffman, C.; Harris, H.R.; Choi, K.; Senzaki, Y.; Luan, H.; Majhi, P.; Lee, B.H.; Campin, M. J.; Foran, B.; Lian, G.D.; Kwong, D.-L.

    2005-01-01

    A systematic experimental evaluation of the thermal stability of Ru metal gate electrodes in direct contact with SiO 2 and Hf-based dielectric layers was performed and correlated with electrical device measurements. The distinctly different interfacial reactions in the Ru/SiO 2 , Ru/HfO 2 , and Ru/HfSiO x film systems were observed through cross-sectional high-resolution transmission electron microscopy, high angle annular dark field scanning transmission electron microscopy with electron-energy-loss spectra, and energy dispersive x-ray spectra analysis. Ru interacted with SiO 2 , but remained stable on HfO 2 at 1000 deg. C. The onset of Ru/SiO 2 interfacial interactions is identified via silicon substrate pitting possibly from Ru diffusion into the dielectric in samples exposed to a 900 deg. C/10-s anneal. The dependence of capacitor device degradation with decreasing SiO 2 thickness suggests Ru diffuses through SiO 2 , followed by an abrupt, rapid, nonuniform interaction of ruthenium silicide as Ru contacts the Si substrate. Local interdiffusion detected on Ru/HfSiO x samples may be due to phase separation of HfSiO x into HfO 2 grains within a SiO 2 matrix, suggesting that SiO 2 provides a diffusion pathway for Ru. Detailed evidence consistent with a dual reaction mechanism for the Ru/SiO 2 system at 1000 deg. C is presented

  11. Cleaning Challenges of High-κ/Metal Gate Structures

    KAUST Repository

    Hussain, Muhammad Mustafa; Shamiryan, Denis G.; Paraschiv, Vasile; Sano, Kenichi; Reinhardt, Karen A.

    2010-01-01

    High-κ/metal gates are used as transistors for advanced logic applications to improve speed and eliminate electrical issues associated with polySi and SiO2 gates. Various integration schemes are possible and will be discussed, such as dual gate, gate-first, and gate-last, both of which require specialized cleaning and etching steps. Specific areas of discussion will include cleaning and conditioning of the silicon surface, forming a high-quality chemical oxide, removal of the high-κ dielectric with selectivity to the SiO2 layer, cleaning and residue removal after etching, and prevention of galvanic corrosion during cleaning. © 2011 Scrivener Publishing LLC. All rights reserved.

  12. Cleaning Challenges of High-κ/Metal Gate Structures

    KAUST Repository

    Hussain, Muhammad Mustafa

    2010-12-20

    High-κ/metal gates are used as transistors for advanced logic applications to improve speed and eliminate electrical issues associated with polySi and SiO2 gates. Various integration schemes are possible and will be discussed, such as dual gate, gate-first, and gate-last, both of which require specialized cleaning and etching steps. Specific areas of discussion will include cleaning and conditioning of the silicon surface, forming a high-quality chemical oxide, removal of the high-κ dielectric with selectivity to the SiO2 layer, cleaning and residue removal after etching, and prevention of galvanic corrosion during cleaning. © 2011 Scrivener Publishing LLC. All rights reserved.

  13. Structural Evaluation of 5,5′-Bis(naphth-2-yl)-2,2′-bithiophene in Organic Field-Effect Transistors with n-Octadecyltrichlorosilane Coated SiO2 Gate Dielectric

    DEFF Research Database (Denmark)

    Lauritzen, Andreas E.; Torkkeli, Mika; Bikondoa, Oier

    2018-01-01

    We report on the structure and morphology of 5,5′-bis(naphth-2-yl)-2,2′-bithiophene (NaT2) films in bottom-contact organic field-effect transistors (OFETs) with octadecyltrichlorosilane (OTS) coated SiO2 gate dielectric, characterized by atomic force microscopy (AFM), grazing-incidence X......-ray diffraction (GIXRD), and electrical transport measurements. Three types of devices were investigated with the NaT2 thin-film deposited either on (1) pristine SiO2 (corresponding to higher surface energy, 47 mJ/m2) or on OTS deposited on SiO2 under (2) anhydrous or (3) humid conditions (corresponding to lower...... surface energies, 20–25 mJ/m2). NaT2 films grown on pristine SiO2 form nearly featureless three-dimensional islands. NaT2 films grown on OTS/SiO2 deposited under anhydrous conditions form staggered pyramid islands where the interlayer spacing corresponds to the size of the NaT2 unit cell. At the same time...

  14. Plasma-Induced Damage on the Reliability of Hf-Based High-k/Dual Metal-Gates Complementary Metal Oxide Semiconductor Technology

    International Nuclear Information System (INIS)

    Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.

    2009-01-01

    This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.

  15. Low-voltage high-speed programming gate-all-around floating gate memory cell with tunnel barrier engineering

    Science.gov (United States)

    Hamzah, Afiq; Ezaila Alias, N.; Ismail, Razali

    2018-06-01

    The aim of this study is to investigate the memory performances of gate-all-around floating gate (GAA-FG) memory cell implementing engineered tunnel barrier concept of variable oxide thickness (VARIOT) of low-k/high-k for several high-k (i.e., Si3N4, Al2O3, HfO2, and ZrO2) with low-k SiO2 using three-dimensional (3D) simulator Silvaco ATLAS. The simulation work is conducted by initially determining the optimized thickness of low-k/high-k barrier-stacked and extracting their Fowler–Nordheim (FN) coefficients. Based on the optimized parameters the device performances of GAA-FG for fast program operation and data retention are assessed using benchmark set by 6 and 8 nm SiO2 tunnel layer respectively. The programming speed has been improved and wide memory window with 30% increment from conventional SiO2 has been obtained using SiO2/Al2O3 tunnel layer due to its thin low-k dielectric thickness. Furthermore, given its high band edges only 1% of charge-loss is expected after 10 years of ‑3.6/3.6 V gate stress.

  16. SiO2/AlON stacked gate dielectrics for AlGaN/GaN MOS heterojunction field-effect transistors

    Science.gov (United States)

    Watanabe, Kenta; Terashima, Daiki; Nozaki, Mikito; Yamada, Takahiro; Nakazawa, Satoshi; Ishida, Masahiro; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    Stacked gate dielectrics consisting of wide bandgap SiO2 insulators and thin aluminum oxynitride (AlON) interlayers were systematically investigated in order to improve the performance and reliability of AlGaN/GaN metal–oxide–semiconductor (MOS) devices. A significantly reduced gate leakage current compared with that in a single AlON layer was achieved with these structures, while maintaining the superior thermal stability and electrical properties of the oxynitride/AlGaN interface. Consequently, distinct advantages in terms of the reliability of the gate dielectrics, such as an improved immunity against electron injection and an increased dielectric breakdown field, were demonstrated for AlGaN/GaN MOS capacitors with optimized stacked structures having a 3.3-nm-thick AlON interlayer.

  17. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2015-01-01

    Full Text Available We investigated amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using different high-k gate dielectric materials such as silicon nitride (Si3N4 and aluminum oxide (Al2O3 at low temperature process (<300°C and compared them with low temperature silicon dioxide (SiO2. The IGZO device with high-k gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, postannealing treatment is an essential process for completing the process. The chemical reaction of the high-k/IGZO interface due to heat formation in high-k/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-k gate dielectric materials and explained the interface effect by charge band diagram.

  18. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    International Nuclear Information System (INIS)

    Lin, Y. H.; Chou, J. C.

    2015-01-01

    We investigated amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT_s) using different high-Κ gate dielectric materials such as silicon nitride (Si_3N_4) and aluminum oxide (Al_2O_3) at low temperature process (<300 degree) and compared them with low temperature silicon dioxide (SiO_2). The IGZO device with high-Κ gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, post annealing treatment is an essential process for completing the process. The chemical reaction of the high-κ/IGZO interface due to heat formation in high-Κ/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-Κ gate dielectric materials and explained the interface effect by charge band diagram.

  19. Gate-stack engineering for self-organized Ge-dot/SiO2/SiGe-shell MOS capacitors

    Directory of Open Access Journals (Sweden)

    Wei-Ting eLai

    2016-02-01

    Full Text Available We report the first-of-its-kind, self-organized gate-stack heterostructure of Ge-dot/SiO2/SiGe-shell on Si fabricated in a single step through the selective oxidation of a SiGe nano-patterned pillar over a Si3N4 buffer layer on a Si substrate. Process-controlled tunability of the Ge-dot size (7.5−90 nm, the SiO2 thickness (3−4 nm, and as well the SiGe-shell thickness (2−15 nm has been demonstrated, enabling a practically-achievable core building block for Ge-based metal-oxide-semiconductor (MOS devices. Detailed morphologies, structural, and electrical interfacial properties of the SiO2/Ge-dot and SiO2/SiGe interfaces were assessed using transmission electron microscopy, energy dispersive x-ray spectroscopy, and temperature-dependent high/low-frequency capacitance-voltage measurements. Notably, NiGe/SiO2/SiGe and Al/SiO2/Ge-dot/SiO2/SiGe MOS capacitors exhibit low interface trap densities of as low as 3-5x10^11 cm^-2·eV^-1 and fixed charge densities of 1-5x10^11 cm^-2, suggesting good-quality SiO2/SiGe-shell and SiO2/Ge-dot interfaces. In addition, the advantage of having single-crystalline Si1-xGex shell (x > 0.5 in a compressive stress state in our self-aligned gate-stack heterostructure has great promise for possible SiGe (or Ge MOS nanoelectronic and nanophotonic applications.

  20. Oxidation of atomically thin MoS2 on SiO2

    Science.gov (United States)

    Yamamoto, Mahito; Cullen, William; Einstein, Theodore; Fuhrer, Michael

    2013-03-01

    Surface oxidation of MoS2 markedly affects its electronic, optical, and tribological properties. However, oxidative reactivity of atomically thin MoS2 has yet to be addressed. Here, we investigate oxidation of atomic layers of MoS2 using atomic force microscopy and Raman spectroscopy. MoS2 is mechanically exfoliated onto SiO2 and oxidized in Ar/O2 or Ar/O3 (ozone) at 100-450 °C. MoS2 is much more reactive to O2 than an analogous atomic membrane of graphene and monolayer MoS2 is completely etched very rapidly upon O2 treatment above 300 °C. Thicker MoS2 (> 15 nm) transforms into MoO3 after oxidation at 400 °C, which is confirmed by a Raman peak at 820 cm-1. However, few-layer MoS2 oxidized below 400 °C exhibits no MoO3 Raman mode but etch pits are formed, similar to graphene. We find atomic layers of MoS2 shows larger reactivity to O3 than to O2 and monolayer MoS2 transforms chemically upon O3 treatment even below 100 °C. Work supported by the U. of Maryland NSF-MRSEC under Grant No. DMR 05-20741.

  1. Electrocatalytic oxidation of alcohols on single gold particles in highly ordered SiO2 cavities

    International Nuclear Information System (INIS)

    Li, Na; Zhou, Qun; Tian, Shu; Zhao, Hong; Li, Xiaowei; Adkins, Jason; Gu, Zhuomin; Zhao, Lili; Zheng, Junwei

    2013-01-01

    In the present work, we report a new and simple approach for preparing a highly ordered Au (1 1 1) nanoparticle (NP) array in SiO 2 cavities on indium-doped tin oxide (ITO) electrodes. We fabricated a SiO 2 cavity array on the surface of an ITO electrode using highly ordered self-assembly of polystyrene spheres as a template. Gold NPs were electrodeposited at the bottom of the SiO 2 cavities, and single gold NPs dominated with (1 1 1) facets were generated in each cavity by annealing the electrode at a high temperature. Such (1 1 1) facets were the predominate trait of the single gold particle which exhibited considerable electrocatalytic activity toward oxidation of methanol, ethanol, and glycerol. This has been attributed to the formation of incipient hydrous oxides at unusually low potential on the specific (1 1 1) facet of the gold particles. Moreover, each cavity of the SiO 2 possibly behaves as an independent electrochemical cell in which the methanol molecules are trapped; this produces an environment advantageous to catalyzing electrooxidation. The oxidation of methanol on the electrodes is a mixed control mechanism (both by diffusion and electrode kinetics). This strategy both provided an approach to study electrochemical reactions on a single particle in a microenvironment and may supply a way to construct alcohols sensors

  2. Graphene-graphite oxide field-effect transistors.

    Science.gov (United States)

    Standley, Brian; Mendez, Anthony; Schmidgall, Emma; Bockrath, Marc

    2012-03-14

    Graphene's high mobility and two-dimensional nature make it an attractive material for field-effect transistors. Previous efforts in this area have used bulk gate dielectric materials such as SiO(2) or HfO(2). In contrast, we have studied the use of an ultrathin layered material, graphene's insulating analogue, graphite oxide. We have fabricated transistors comprising single or bilayer graphene channels, graphite oxide gate insulators, and metal top-gates. The graphite oxide layers show relatively minimal leakage at room temperature. The breakdown electric field of graphite oxide was found to be comparable to SiO(2), typically ~1-3 × 10(8) V/m, while its dielectric constant is slightly higher, κ ≈ 4.3. © 2012 American Chemical Society

  3. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  4. VO x /SiO 2 Catalyst Prepared by Grafting VOCl 3 on Silica for Oxidative Dehydrogenation of Propane

    KAUST Repository

    Zhu, Haibo; Ould-Chikh, Samy; Dong, Hailin; Llorens, Isabelle; Saih, Youssef; Anjum, Dalaver H.; Hazemann, Jean Louis; Basset, Jean-Marie

    2015-01-01

    The VOx/SiO2 catalysts for oxidative dehydrogenation of propane were synthesized by a simple grafting method. The VOCl3 was first grafted at the surface of SiO2, which was dehydrated at different temperature (from 200 to 1000°C). The formed grafted complexes were then calcined in air, leading to the formation of VOx/SiO2 catalysts. The synthesized catalysts were characterized by nitrogen adsorption, SEM, Raman spectroscopy, temperature-programmed reduction, and extended X-ray absorption fine structure analysis. The SiO2 pretreatment temperature has an evident effect on the loading and dispersion of VOx on SiO2, which finally affects their catalytic performance. High SiO2 treatment temperature is beneficial to dispersing the vanadium oxide species at the SiO2 surface. These materials are efficient catalysts for the catalytic oxidative dehydrogenation of propane to propylene. The best selectivity to propylene is achieved on the VOx/SiO2-(1000) catalyst. The high selectivity and activity are well maintained for three days catalytic reaction. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. VO x /SiO 2 Catalyst Prepared by Grafting VOCl 3 on Silica for Oxidative Dehydrogenation of Propane

    KAUST Repository

    Zhu, Haibo

    2015-09-07

    The VOx/SiO2 catalysts for oxidative dehydrogenation of propane were synthesized by a simple grafting method. The VOCl3 was first grafted at the surface of SiO2, which was dehydrated at different temperature (from 200 to 1000°C). The formed grafted complexes were then calcined in air, leading to the formation of VOx/SiO2 catalysts. The synthesized catalysts were characterized by nitrogen adsorption, SEM, Raman spectroscopy, temperature-programmed reduction, and extended X-ray absorption fine structure analysis. The SiO2 pretreatment temperature has an evident effect on the loading and dispersion of VOx on SiO2, which finally affects their catalytic performance. High SiO2 treatment temperature is beneficial to dispersing the vanadium oxide species at the SiO2 surface. These materials are efficient catalysts for the catalytic oxidative dehydrogenation of propane to propylene. The best selectivity to propylene is achieved on the VOx/SiO2-(1000) catalyst. The high selectivity and activity are well maintained for three days catalytic reaction. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Simulation of dual-gate SOI MOSFET with different dielectric layers

    Science.gov (United States)

    Yadav, Jyoti; Chaudhary, R.; Mukhiya, R.; Sharma, R.; Khanna, V. K.

    2016-04-01

    The paper presents the process design and simulation of silicon-on-insulator (SOI)-based dual-gate metal oxide field-effect transistor (DG-MOSFET) stacked with different dielectric layers on the top of gate oxide. A detailed 2D process simulation of SOI-MOSFETs and its electrical characterization has been done using SILVACO® TCAD tool. A variation in transconductance was observed with different dielectric layers, AlN-gate MOSFET having the highest tranconductance value as compared to other three dielectric layers (SiO2, Si3N4 and Al2O3).

  7. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  8. High performance top-gated indium–zinc–oxide thin film transistors with in-situ formed HfO{sub 2} gate insulator

    Energy Technology Data Exchange (ETDEWEB)

    Song, Yang, E-mail: yang_song@brown.edu [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); Zaslavsky, A. [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States); Paine, D.C. [School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States)

    2016-09-01

    We report on top-gated indium–zinc–oxide (IZO) thin film transistors (TFTs) with an in-situ formed HfO{sub 2} gate dielectric insulator. Building on our previous demonstration of high-performance IZO TFTs with Al{sub 2}O{sub 3}/HfO{sub 2} gate dielectric, we now report on a one-step process, in which Hf is evaporated onto the 20 nm thick IZO channel, forming a partially oxidized HfO{sub x} layer, without any additional insulator in-between. After annealing in air at 300 °C, the in-situ reaction between partially oxidized Hf and IZO forms a high quality HfO{sub 2} gate insulator with a low interface trapped charge density N{sub TC} ~ 2.3 × 10{sup 11} cm{sup −2} and acceptably low gate leakage < 3 × 10{sup −7} A/cm{sup 2} at gate voltage V{sub G} = 1 V. The annealed TFTs with gate length L{sub G} = 50 μm have high mobility ~ 95 cm{sup 2}/V ∙ s (determined via the Y-function technique), high on/off ratio ~ 10{sup 7}, near-zero threshold voltage V{sub T} = − 0.02 V, and a subthreshold swing of 0.062 V/decade, near the theoretical limit. The on-current of our proof-of-concept TFTs is relatively low, but can be improved by reducing L{sub G}, indicating that high-performance top-gated HfO{sub 2}-isolated IZO TFTs can be fabricated using a single-step in-situ dielectric formation approach. - Highlights: • High-performance indium–zinc–oxide (IZO) thin film transistors (TFTs). • Single-step in-situ dielectric formation approach simplifies fabrication process. • During anneal, reaction between HfO{sub x} and IZO channel forms a high quality HfO{sub 2} layer. • Gate insulator HfO{sub 2} shows low interface trapped charge and small gate leakage. • TFTs have high mobility, near-zero threshold voltage, and a low subthreshold swing.

  9. Channel mobility degradation and charge trapping in high-k/metal gate NMOSFETs

    International Nuclear Information System (INIS)

    Mathew, Shajan; Bera, L.K.; Balasubramanian, N.; Joo, M.S.; Cho, B.J.

    2004-01-01

    NMOSFETs with Metalo-Organic Chemical Vapor Deposited (MOCVD) HfAlO gate dielectric and TiN metal gate have been fabricated. Channel electron mobility was measured using the split-CV method and compared with SiO 2 devices. All high-k devices showed lower mobility compared with SiO 2 reference devices. High-k MOSFETs exhibited significant charge trapping and threshold instability. Threshold voltage recovery with time was studied on devices with oxide/nitride interfacial layer between high-k film and silicon substrate

  10. Electrical characteristics of AlO sub x N sub y prepared by oxidation of sub-10-nm-thick AlN films for MOS gate dielectric applications

    CERN Document Server

    Jeon, S H; Kim, H S; Noh, D Y; Hwang, H S

    2000-01-01

    In this research, the feasibility of ultrathin AlO sub x N sub y prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO sub x N sub y , respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO sub 2. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO sub 2. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.

  11. Positron annihilation in SiO 2-Si studied by a pulsed slow positron beam

    Science.gov (United States)

    Suzuki, R.; Ohdaira, T.; Uedono, A.; Kobayashi, Y.

    2002-06-01

    Positron and positronium (Ps) behavior in SiO 2-Si have been studied by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The PALS study of SiO 2-Si samples, which were prepared by a dry-oxygen thermal process, revealed that the positrons implanted in the Si substrate and diffused back to the interface do not contribute to the ortho-Ps long-lived component, and the lifetime spectrum of the interface has at least two components. From the AMOC study, the momentum distribution of the ortho-Ps pick-off annihilation in SiO 2, which shows broader momentum distribution than that of crystalline Si, was found to be almost the same as that of free positron annihilation in SiO 2. A varied interface model was proposed to interpret the results of the metal-oxide-semiconductor (MOS) experiments. The narrow momentum distribution found in the n-type MOS with a negative gate bias voltage could be attributed to Ps formation and rapid spin exchange in the SiO 2-Si interface. We have developed a two-dimensional positron lifetime technique, which measures annihilation time and pulse height of the scintillation gamma-ray detector for each event. Using this technique, the positronium behavior in a porous SiO 2 film, grown by a sputtering method, has been studied.

  12. Effect of re-oxidation annealing process on the SiO2/SiC interface characteristics

    International Nuclear Information System (INIS)

    Yan Hongli; Jia Renxu; Tang Xiaoyan; Song Qingwen; Zhang Yuming

    2014-01-01

    The effect of the different re-oxidation annealing (ROA) processes on the SiO 2 /SiC interface characteristics has been investigated. With different annealing processes, the flat band voltage, effective dielectric charge density and interface trap density are obtained from the capacitance—voltage curves. It is found that the lowest interface trap density is obtained by the wet-oxidation annealing process at 1050 °C for 30 min, while a large number of effective dielectric charges are generated. The components at the SiO 2 /SiC interface are analyzed by X-ray photoelectron spectroscopy (XPS) testing. It is found that the effective dielectric charges are generated due to the existence of the C and H atoms in the wet-oxidation annealing process. (semiconductor technology)

  13. Stability analysis of SiO2/SiC multilayer coatings

    International Nuclear Information System (INIS)

    Fu Zhiqiang; Jean-Charles, R.

    2006-01-01

    The stability behaviours of SiC coatings and SiO 2 /SiC coatings in helium with little impurities are studied by HSC Chemistry 4.1, the software for analysis of Chemical reaction and equilibrium in multi-component complex system. It is found that in helium with a low partial pressure of oxidative impurities under different total pressure, the key influence factor controlling T cp of SiC depends is the partial pressure of oxidative impurities; T cp of SiC increases with the partial pressure of oxidative impurities. In helium with a low partial pressure of different impurities, the key influence factor of T cs of SiO 2 are both the partial pressure of impurities and the amount of impurities for l mol SiO 2 ; T cs of SiO 2 increases with the partial pressure of oxidative impurities at the same amount of the impurities for 1 mol SiO 2 while it decreases with the amount of the impurities for 1 mm SiO 2 at the same partial pressure of the impurities. The influence of other impurities on T cp of SiC in He-O 2 is studied and it is found that CO 2 , H 2 O and N-2 increase T cp of SiC in He-O 2 while H 2 , CO and CH 4 decrease T cp of SiC He-O 2 . When there exist both oxidative impurities and reductive impurities, their effect on T cs of SiO 2 can be suppressed by the other. In HTR-10 operation atmosphere, SiO 2 /SiC coatings can keep stable status at higher temperature than SiC coatings, so SiO 2 /SiC coatings is more suitable to improve the oxidation resistance of graphite in HTR-10 operation atmosphere compared with SiC coatings. (authors)

  14. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  15. Synthesis and Luminescence Properties of Yellow-emitting SiO2/Zn2SiO4: Mn Nanocomposite

    Directory of Open Access Journals (Sweden)

    Karim OMRI

    2014-05-01

    Full Text Available Yellow light emitting Mn2+-doped b-Zn2SiO4 phosphor nanoparticles embedded in SiO2 host matrix, were prepared by a simple solid-phase reaction under natural atmosphere at 1500 °C for 2 hours after the incorporation of manganese doped zinc oxide nanoparticles in silica using sol-gel method. The SiO2/Zn2SiO4:Mn nanocomposite was characterized by X-ray diffraction (XRD, transmission electron microscopy (TEM, scanning electron microscopy (SEM and photoluminescence (PL. The nanopowder was crystallized in triclinic b-Zn2SiO4 phase with a particles size varies between 70 nm and 84 nm. The SiO2/b-Zn2SiO4:Mn nanocomposite exhibited a broad yellow emission band at 575 nm under UV excitation light. The dependence of the intensity and energy position of the obtained PL band on measurement temperature and power excitation will be discussed.

  16. Investigation of pentacene growth on SiO2 gate insulator after photolithography for nitrogen-doped LaB6 bottom-contact electrode formation

    Science.gov (United States)

    Maeda, Yasutaka; Hiroki, Mizuha; Ohmi, Shun-ichiro

    2018-04-01

    Nitrogen-doped (N-doped) LaB6 is a candidate material for the bottom-contact electrode of n-type organic field-effect transistors (OFETs). However, the formation of a N-doped LaB6 electrode affects the surface morphology of a pentacene film. In this study, the effects of surface treatments and a N-doped LaB6 interfacial layer (IL) were investigated to improve the pentacene film quality after N-doped LaB6 electrode patterning with diluted HNO3, followed by resist stripping with acetone and methanol. It was found that the sputtering damage during N-doped LaB6 deposition on a SiO2 gate insulator degraded the crystallinity of pentacene. The H2SO4 and H2O2 (SPM) and diluted HF treatments removed the damaged layer on the SiO2 gate insulator surface. Furthermore, the N-doped LaB6 IL improved the crystallinity of pentacene and realized dendritic grain growth. Owing to these surface treatments, the hole mobility improved from 2.8 × 10-3 to 0.11 cm2/(V·s), and a steep subthreshold swing of 78 mV/dec for the OFET with top-contact configuration was realized in air even after bottom-contact electrode patterning.

  17. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO2 for non-volatile memory device

    International Nuclear Information System (INIS)

    Stepina, N.P.; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V.

    2008-01-01

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO 2 , have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO 2 /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots

  18. Band alignments and improved leakage properties of (La2O3)0.5(SiO2)0.5/SiO2/GaN stacks for high-temperature metal-oxide-semiconductor field-effect transistor applications

    Science.gov (United States)

    Gao, L. G.; Xu, B.; Guo, H. X.; Xia, Y. D.; Yin, J.; Liu, Z. G.

    2009-06-01

    The band alignments of (La2O3)0.5(SiO2)0.5(LSO)/GaN and LSO/SiO2/GaN gate dielectric stacks were investigated comparatively by using x-ray photoelectron spectroscopy. The valence band offsets for LSO/GaN stack and LSO/SiO2/GaN stack are 0.88 and 1.69 eV, respectively, while the corresponding conduction band offsets are found to be 1.40 and 1.83 eV, respectively. Measurements of the leakage current density as function of temperature revealed that the LSO/SiO2/GaN stack has much lower leakage current density than that of the LSO/GaN stack, especially at high temperature. It is concluded that the presence of a SiO2 buffer layer increases band offsets and reduces the leakage current density effectively.

  19. A Grand Challenge for CMOS Scaling: Alternate Gate Dielectrics

    Science.gov (United States)

    Wallace, Robert M.

    2001-03-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.13 um complementary metal oxide semiconductor (CMOS) technology. The prospect of replacing SiO2 is a formidable task because the alternate gate dielectric must provide many properties that are, at a minimum, comparable to those of SiO2 yet with a much higher permittivity. A systematic examination of the required performance of gate dielectrics suggests that the key properties to consider in the selection an alternative gate dielectric candidate are (a) permittivity, band gap and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. We will review the performance requirements for materials associated with CMOS scaling, the challenges associated with these requirements, and the state-of-the-art in current research for alternate gate dielectrics. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  20. Role of the SiO2 buffer layer thickness in the formation of Si/SiO2/nc-Ge/SiO2 structures by dry oxidation

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Prieto, A.C.; Rodriguez, A.; Rodriguez, T.; Jimenez, J.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Nanomemories, containing Ge-nanoparticles in a SiO 2 matrix, can be produced by dry thermal oxidation of a SiGe layer deposited onto a Si-wafer with a barrier SiO 2 layer on its top. Rutherford backscattering spectrometry has been used to characterize the kinetics of the oxidation process, the composition profile of the growing oxide, the Ge-segregation and its diffusion into the barrier oxide in samples with thin and thick barrier oxide layers. The Ge segregated during the oxidation of the SiGe layer diffuses into the barrier oxide. In the first case the diffusion through the thin oxide is enhanced by the proximity of the substrate that acts as a sink for the Ge, resulting in the formation of a low Ge concentration SiGe layer in the surface of the Si-wafer. In the second case, the Ge-diffusion progresses as slowly as in bulk SiO 2 . Since barrier oxide layers as thin as possible are favoured for device fabrication, the structures should be oxidized at lower temperatures and the initial SiGe layer thickness reduced to minimize the Ge-diffusion

  1. Metabolomic effects of CeO2, SiO2 and CuO metal oxide nanomaterials on HepG2 cells

    Data.gov (United States)

    U.S. Environmental Protection Agency — The data set is a matrix of cellular biochemical (metabolites) in HepG2 cells treated with various metal oxide nanomaterials composed of CeO2, SiO2 and CuO. This...

  2. Study of bulk Hafnium oxide (HfO2) under compression

    Science.gov (United States)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  3. Interfacial microstructure of NiSi x/HfO2/SiO x/Si gate stacks

    International Nuclear Information System (INIS)

    Gribelyuk, M.A.; Cabral, C.; Gusev, E.P.; Narayanan, V.

    2007-01-01

    Integration of NiSi x based fully silicided metal gates with HfO 2 high-k gate dielectrics offers promise for further scaling of complementary metal-oxide- semiconductor devices. A combination of high resolution transmission electron microscopy and small probe electron energy loss spectroscopy (EELS) and energy dispersive X-ray analysis has been applied to study interfacial reactions in the undoped gate stack. NiSi was found to be polycrystalline with the grain size decreasing from top to bottom of NiSi x film. Ni content varies near the NiSi/HfO x interface whereby both Ni-rich and monosilicide phases were observed. Spatially non-uniform distribution of oxygen along NiSi x /HfO 2 interface was observed by dark field Scanning Transmission Electron Microscopy and EELS. Interfacial roughness of NiSi x /HfO x was found higher than that of poly-Si/HfO 2 , likely due to compositional non-uniformity of NiSi x . No intermixing between Hf, Ni and Si beyond interfacial roughness was observed

  4. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  5. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  6. Energy stored in BeO, MgO, Al2O3 and SiO2 oxides irradiated with neutrons

    International Nuclear Information System (INIS)

    Roux, Andre

    1969-01-01

    Within the field of researches on refractory oxides which may be used in structure materials in atomic pile, the objective of this research thesis has been the measurement of the energy stored in some specific oxides (BeO, MgO, Al 2 O 3 and SiO 2 ) after their irradiation in a nuclear reactor. This measurement is performed by 'healing' the irradiated substance by means of thermal treatments during which sample initial mass and morphologies are preserved. The measurement of the Wigner energy is then performed by differential enthalpy analysis. The first part reports the experimental determination of Wigner energies (measurement apparatus, method of ballistic differential enthalpy analysis, thermo-gram compensation). The second part presents the Wigner energies obtained for the sintered BeO, the sintered MgO, the sintered Al 2 O 3 , and the vitreous SiO 2 . The third part reports the result interpretation

  7. Fabrication of graphene oxide decorated with Fe3O4@SiO2 for immobilization of cellulase

    Science.gov (United States)

    Li, Yue; Wang, Xiang-Yu; Jiang, Xiao-Ping; Ye, Jing-Jing; Zhang, Ye-Wang; Zhang, Xiao-Yun

    2015-01-01

    Fe3O4@SiO2-graphene oxide (GO) composites were successfully fabricated by chemical binding of functional Fe3O4@SiO2 and GO and applied to immobilization of cellulase via covalent attachment. The prepared composites were further characterized by transmission electron microscopy and Fourier transform infrared spectroscopy. Fe3O4 nanoparticles (NPs) were monodisperse spheres with a mean diameter of 17 ± 0.2 nm. The thickness of SiO2 layer was calculated as being 6.5 ± 0.2 nm. The size of Fe3O4@SiO2 NPs was 24 ± 0.3 nm, similar to that of Fe3O4@SiO2-NH2. Fe3O4@SiO2-GO composites were synthesized by linking of Fe3O4@SiO2-NH2 NPs to GO with the catalysis of EDC and NHS. The prepared composites were used for immobilization of cellulase. A high immobilization yield and efficiency of above 90 % were obtained after the optimization. The half-life of immobilized cellulase (722 min) was 3.34-fold higher than that of free enzyme (216 min) at 50 °C. Compared with the free cellulase, the optimal temperature of the immobilized enzyme was not changed; but the optimal pH was shifted from 5.0 to 4.0, and the thermal stability was enhanced. The immobilized cellulase could be easily separated and reused under magnetic field. These results strongly indicate that the cellulase immobilized onto the Fe3O4@SiO2-GO composite has potential applications in the production of bioethanol.

  8. Thickness and composition of ultrathin SiO2 layers on Si

    International Nuclear Information System (INIS)

    Marel, C. van der; Verheijen, M.A.; Tamminga, Y.; Pijnenburg, R.H.W.; Tombros, N.; Cubaynes, F.

    2004-01-01

    investigated [D. A. Muller, T. Sorsch, S. Moccio, F. H. Baumann, K. Evans-Lutterodt, and G. Timp, Nature (London) 399, 758 (1999); D. A. Muller and J. B. Neaton, Structure and Energetics of the Interface Between Si and Amorphous SiO 2 in Fundamental Aspects of Silicon Oxidation, edited by Y. J. Chabal (Springer, Berlin, 2001), pp. 219-246.] by means of high-resolution electron energy loss spectroscopy measurements of the O K edge in ultrathin gate oxides of SiO 2

  9. Fabrication of graphene oxide decorated with Fe3O4@SiO2 for immobilization of cellulase

    International Nuclear Information System (INIS)

    Li, Yue; Wang, Xiang-Yu; Jiang, Xiao-Ping; Ye, Jing-Jing; Zhang, Ye-Wang; Zhang, Xiao-Yun

    2015-01-01

    Fe 3 O 4 @SiO 2 –graphene oxide (GO) composites were successfully fabricated by chemical binding of functional Fe 3 O 4 @SiO 2 and GO and applied to immobilization of cellulase via covalent attachment. The prepared composites were further characterized by transmission electron microscopy and Fourier transform infrared spectroscopy. Fe 3 O 4 nanoparticles (NPs) were monodisperse spheres with a mean diameter of 17 ± 0.2 nm. The thickness of SiO 2 layer was calculated as being 6.5 ± 0.2 nm. The size of Fe 3 O 4 @SiO 2 NPs was 24 ± 0.3 nm, similar to that of Fe 3 O 4 @SiO 2 –NH 2 . Fe 3 O 4 @SiO 2 –GO composites were synthesized by linking of Fe 3 O 4 @SiO 2 –NH 2 NPs to GO with the catalysis of EDC and NHS. The prepared composites were used for immobilization of cellulase. A high immobilization yield and efficiency of above 90 % were obtained after the optimization. The half-life of immobilized cellulase (722 min) was 3.34-fold higher than that of free enzyme (216 min) at 50 °C. Compared with the free cellulase, the optimal temperature of the immobilized enzyme was not changed; but the optimal pH was shifted from 5.0 to 4.0, and the thermal stability was enhanced. The immobilized cellulase could be easily separated and reused under magnetic field. These results strongly indicate that the cellulase immobilized onto the Fe 3 O 4 @SiO 2 –GO composite has potential applications in the production of bioethanol

  10. Low-temperature formation of high-quality gate oxide by ultraviolet irradiation on spin-on-glass

    International Nuclear Information System (INIS)

    Usuda, R.; Uchida, K.; Nozaki, S.

    2015-01-01

    Although a UV cure was found to effectively convert a perhydropolysilazane (PHPS) spin-on-glass film into a dense SiO x film at low temperature, the electrical characteristics were never reported in order to recommend the use of PHPS as a gate-oxide material that can be formed at low temperature. We have formed a high-quality gate oxide by UV irradiation on the PHPS film, and obtained an interface midgap trap density of 3.4 × 10 11  cm −2 eV −1 by the UV wet oxidation and UV post-metallization annealing (PMA), at a temperature as low as 160 °C. In contrast to the UV irradiation using short-wavelength UV light, which is well known to enhance oxidation by the production of the excited states of oxygen, the UV irradiation was carried out using longer-wavelength UV light from a metal halide lamp. The UV irradiation during the wet oxidation of the PHPS film generates electron-hole pairs. The electrons ionize the H 2 O molecules and facilitate dissociation of the molecules into H and OH − . The OH − ions are highly reactive with Si and improve the stoichiometry of the oxide. The UV irradiation during the PMA excites the electrons from the accumulation layer, and the built-in electric field makes the electron injection into the oxide much easier. The electrons injected into the oxide recombine with the trapped holes, which have caused a large negative flat band voltage shift after the UV wet oxidation, and also ionize the H 2 O molecules. The ionization results in the electron stimulated dissociation of H 2 O molecules and the decreased interface trap density

  11. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  12. TiO2, SiO2 and ZrO2 Nanoparticles Synergistically Provoke Cellular Oxidative Damage in Freshwater Microalgae

    Directory of Open Access Journals (Sweden)

    Yinghan Liu

    2018-02-01

    Full Text Available Metal-based nanoparticles (NPs are the most widely used engineered nanomaterials. The individual toxicities of metal-based NPs have been plentifully studied. However, the mixture toxicity of multiple NP systems (n ≥ 3 remains much less understood. Herein, the toxicity of titanium dioxide (TiO2 nanoparticles (NPs, silicon dioxide (SiO2 NPs and zirconium dioxide (ZrO2 NPs to unicellular freshwater algae Scenedesmus obliquus was investigated individually and in binary and ternary combination. Results show that the ternary combination systems of TiO2, SiO2 and ZrO2 NPs at a mixture concentration of 1 mg/L significantly enhanced mitochondrial membrane potential and intracellular reactive oxygen species level in the algae. Moreover, the ternary NP systems remarkably increased the activity of the antioxidant defense enzymes superoxide dismutase and catalase, together with an increase in lipid peroxidation products and small molecule metabolites. Furthermore, the observation of superficial structures of S. obliquus revealed obvious oxidative damage induced by the ternary mixtures. Taken together, the ternary NP systems exerted more severe oxidative stress in the algae than the individual and the binary NP systems. Thus, our findings highlight the importance of the assessment of the synergistic toxicity of multi-nanomaterial systems.

  13. Lowered operation voltage in Pt/SBi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistors by oxynitriding Si

    International Nuclear Information System (INIS)

    Horiuchi, Takeshi; Takahashi, Mitsue; Li, Qiu-Hong; Wang, Shouyu; Sakai, Shigeki

    2010-01-01

    Oxynitrided Si (SiON) surfaces show smaller subthreshold swings than do directly nitrided Si (SiN) surfaces when used in ferroelectric-gate field-effect transistors (FeFETs) having the following stacked-gate structure: Pt/SrBi 2 Ta 2 O 9 (SBT)/HfO 2 /Si. SiON/Si substrates for FeFETs were prepared by rapid thermal oxidation (RTO) in O 2 at 1000 °C and subsequent rapid thermal nitridation (RTN) in NH 3 at various temperatures in the range 950–1150 °C. The electrical properties of the Pt/SBT/HfO 2 /SiON/Si FeFET were compared with those of reference FETs, i.e. Pt/SBT/HfO 2 gate stacks formed on Si substrates subjected to various treatments: SiN x /Si formed by RTN, SiO 2 /Si formed by RTO and untreated Si. The Pt/SBT/HfO 2 /SiON/Si FeFET had a larger memory window than all the other reference FeFETs, particularly at low operation voltages when the RTN temperature was 1050 °C

  14. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  15. Enhanced Photocatalytic Activity of ZrO2-SiO2 Nanoparticles by Platinum Doping

    Directory of Open Access Journals (Sweden)

    Mohammad W. Kadi

    2013-01-01

    Full Text Available ZrO2-SiO2 mixed oxides were prepared via the sol-gel method. Photo-assisted deposition was utilized for doping the prepared mixed oxide with 0.1, 0.2, 0.3, and 0.4 wt% of Pt. XRD spectra showed that doping did not result in the incorporation of Pt within the crystal structure of the material. UV-reflectance spectrometry showed that the band gap of ZrO2-SiO2 decreased from 3.04 eV to 2.48 eV with 0.4 wt% Pt doping. The results show a specific surface area increase of 20%. Enhanced photocatalysis of Pt/ZrO2-SiO2 was successfully tested on photo degradation of cyanide under illumination of visible light. 100% conversion was achieved within 20 min with 0.3 wt% of Pt doped ZrO2-SiO2.

  16. Selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation.

    Science.gov (United States)

    Miao, Guang; Ye, Feiyan; Wu, Luoming; Ren, Xiaoling; Xiao, Jing; Li, Zhong; Wang, Haihui

    2015-12-30

    This study investigates selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation. The TiO2/SiO2 adsorbents were prepared and then characterized by N2 adsorption, X-ray diffraction and X-ray photoelectron spectroscopy. Adsorption isotherms, selectivity and kinetics of TiO2/SiO2 were measured in a UV built-in batch reactor. It was concluded that (a) with the employment of UV-irradiation, high organosulfur uptake of 5.12 mg/g was achieved on the optimized 0.3TiO2/0.7SiO2 adsorbent at low sulfur concentration of 15 ppmw-S, and its adsorption selectivity over naphthalene was up to 325.5; (b) highly dispersed TiO2 served as the photocatalytic sites for DBT oxidation, while SiO2 acted as the selective adsorption sites for the corresponding oxidized DBT using TiO2 as a promoter, the two types of active sites worked cooperatively to achieve the high adsorption selectivity of TiO2/SiO2; (c) The kinetic rate-determining step for the UV photocatalysis-assisted adsorptive desulfurization (PADS) over TiO2/SiO2 was DBT oxidation; (d) consecutive adsorption-regeneration cycles suggested that the 0.3TiO2/0.7SiO2 adsorbent can be regenerated by acetonitrile washing followed with oxidative air treatment. This work demonstrated an effective PADS approach to greatly enhance adsorption capacity and selectivity of thiophenic compounds at low concentrations for deep desulfurization under ambient conditions. Copyright © 2015 Elsevier B.V. All rights reserved.

  17. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    Science.gov (United States)

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  18. Analysis of SiO2 nanoparticles binding proteins in rat blood and brain homogenate

    Directory of Open Access Journals (Sweden)

    Shim KH

    2014-12-01

    Full Text Available Kyu Hwan Shim,1 John Hulme,1 Eun Ho Maeng,2 Meyoung-Kon Kim,3 Seong Soo A An1 1Department of Bionano Technology, Gachon Medical Research Institute, Gachon University, Sungnam-si, 2Department of Analysis, KTR, Kimpo, Gyeonggi-do, 3Department of Biochemistry and Molecular Biology, Korea University Medical School and College, Seoul, South Korea Abstract: A multitude of nanoparticles, such as titanium oxide (TiO2, zinc oxide, aluminum oxide, gold oxide, silver oxide, iron oxide, and silica oxide, are found in many chemical, cosmetic, pharmaceutical, and electronic products. Recently, SiO2 nanoparticles were shown to have an inert toxicity profile and no association with an irreversible toxicological change in animal models. Hence, exposure to SiO2 nanoparticles is on the increase. SiO2 nanoparticles are routinely used in numerous materials, from strengthening filler for concrete and other construction composites, to nontoxic platforms for biomedical application, such as drug delivery and theragnostics. On the other hand, recent in vitro experiments indicated that SiO2 nanoparticles were cytotoxic. Therefore, we investigated these nanoparticles to identify potentially toxic pathways by analyzing the adsorbed protein corona on the surface of SiO2 nanoparticles in the blood and brain of the rat. Four types of SiO2 nanoparticles were chosen for investigation, and the protein corona of each type was analyzed using liquid chromatography-tandem mass spectrometry technology. In total, 115 and 48 plasma proteins from the rat were identified as being bound to negatively charged 20 nm and 100 nm SiO2 nanoparticles, respectively, and 50 and 36 proteins were found for 20 nm and 100 nm arginine-coated SiO2 nanoparticles, respectively. Higher numbers of proteins were adsorbed onto the 20 nm sized SiO2 nanoparticles than onto the 100 nm sized nanoparticles regardless of charge. When proteins were compared between the two charges, higher numbers of proteins were

  19. Thermodynamics of Bi2O3-SiO2 system

    Directory of Open Access Journals (Sweden)

    Onderka B.

    2017-01-01

    Full Text Available Thermodynamic properties of the liquid Bi2O3-SiO2 solutions were determined from the results of the electrochemical measurements by use of the solid oxide galvanic cells with YSZ (Yttria-Stabilized-Zirconia electrolyte. Activities of Bi2O3 in the solutions were determined for 0.2, 0.3, 0.4, and 0.5 SiO2 mole fractions in the temperature range 1073-1293 K from measured electromotive force (e.m.f of the solid electrolyte galvanic cell: Bi, Bi2O3-SiO2 | YSZ | air (pO2 = 0.213 bar Additionally, heat capacity data obtained for two solid phases 6Bi2O3•SiO2 and 2Bi2O3•3SiO2 were included into optimization of thermodynamic properties of the system. Optimization procedure was supported by differential thermal analysis (DTA data obtained in this work as well as those accepted from the literature. Using the data obtained in this work, and the information about phase equilibria found in the literature, binary system Bi2O3-SiO2 was assessed with the ThermoCalc software.

  20. Removal of Hazardous Pollutants from Wastewaters: Applications of TiO2-SiO2 Mixed Oxide Materials

    Directory of Open Access Journals (Sweden)

    Shivatharsiny Rasalingam

    2014-01-01

    Full Text Available The direct release of untreated wastewaters from various industries and households results in the release of toxic pollutants to the aquatic environment. Advanced oxidation processes (AOP have gained wide attention owing to the prospect of complete mineralization of nonbiodegradable organic substances to environmentally innocuous products by chemical oxidation. In particular, heterogeneous photocatalysis has been demonstrated to have tremendous promise in water purification and treatment of several pollutant materials that include naturally occurring toxins, pesticides, and other deleterious contaminants. In this work, we have reviewed the different removal techniques that have been employed for water purification. In particular, the application of TiO2-SiO2 binary mixed oxide materials for wastewater treatment is explained herein, and it is evident from the literature survey that these mixed oxide materials have enhanced abilities to remove a wide variety of pollutants.

  1. ZnO nanowire-based nano-floating gate memory with Pt nanocrystals embedded in Al2O3 gate oxides

    International Nuclear Information System (INIS)

    Yeom, Donghyuk; Kang, Jeongmin; Lee, Myoungwon; Jang, Jaewon; Yun, Junggwon; Jeong, Dong-Young; Yoon, Changjoon; Koo, Jamin; Kim, Sangsig

    2008-01-01

    The memory characteristics of ZnO nanowire-based nano-floating gate memory (NFGM) with Pt nanocrystals acting as the floating gate nodes were investigated in this work. Pt nanocrystals were embedded between Al 2 O 3 tunneling and control oxide layers deposited on ZnO nanowire channels. For a representative ZnO nanowire-based NFGM with embedded Pt nanocrystals, a threshold voltage shift of 3.8 V was observed in its drain current versus gate voltage (I DS -V GS ) measurements for a double sweep of the gate voltage, revealing that the deep effective potential wells built into the nanocrystals provide our NFGM with a large charge storage capacity. Details of the charge storage effect observed in this memory device are discussed in this paper

  2. Synthesis of TiO2-doped SiO2 composite films and its applications

    Indian Academy of Sciences (India)

    Wintec

    structure of the titanium oxide species in the TiO2-doped SiO2 composite films and the photocatalytic reactiv- ity in order to ... gaku D-max γA diffractometer with graphite mono- chromized ... FT–IR absorption spectra of TiO2-doped SiO2 com-.

  3. Nondegradative Dielectric Coating of Graphene using Thermal Evaporation of SiO

    Science.gov (United States)

    Suzuki, Seiya; Lee, Chien-Chung; Nagamori, Takashi; Schibli, Thomas; Yoshimura, Masamichi

    2013-03-01

    Deposition of dielectrics onto graphene is a challenging technique due to the difficulties of fabricating high quality oxide on pristine graphene without introducing atomic defects. Here we report on a novel method to fabricate silicon oxide layer on graphene by vacuum thermal evaporation of silicon monoxide (SiO). Raman spectroscopy and mapping showed the present method did not degrade graphene, in contrast to the e-beam evaporated SiO2 coating method previously reported. We fabricated graphene field effect transistor devices with four metal electrodes to measure gate voltage dependence of sheet resistance of the graphene, and deposited a top coating of SiO on the graphene channel. The electrical measurements before and after the top-coating revealed that the top coating suppressed chemical shift of the graphene from strong p-dope to nearly undoped. Since SiO is transparent for visible and infrared light, the coating can be available as a protection layer for optical devices of graphene such as photodetectors and electro-optic modulators. Since the SiO top coating is a simple vacuum evaporation, it is much easier than atomic-layer-deposition which requires additional functionalization of graphene, and compatible with industrial use. This research was supported in part by Toyoaki Scholarship Foundation

  4. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  5. Synthesis of LiFePO4/Li2SiO3/reduced Graphene Oxide (rGO) Composite via Hydrothermal Method

    Science.gov (United States)

    Arifin, M.; Iskandar, F.; Aimon, A. H.; Munir, M. M.; Nuryadin, B. W.

    2016-08-01

    LiFePO4 is a type of cathode active material used for lithium ion batteries. It has a high electrochemical performance. However, it suffers from certain disadvantages such as a very low intrinsic electronic conductivity and low ionic diffusion. This study was conducted to increase the conductivity of LiFePO4. We have investigated the addition of Li2SiO3 and reduced graphene oxide (rGO) to LiFePO4. The objective of this research was to synthesize LiFePO4/Li2SiO3/rGO via hydrothermal method. Fourier transform infrared spectroscopy (FTIR) measurement showed that the peaks corresponded to the vibration of LiFePO4/Li2SiO3. Further, X-ray diffraction (XRD) measurement confirmed a single phase of LiFePO4. Finally, scanning electron microscopy (SEM) images showed that rGO was distributed on the LiFePO4/Li2SiO3 structure.

  6. Synthesis of LiFePO4/Li2SiO3/reduced Graphene Oxide (rGO) Composite via Hydrothermal Method

    International Nuclear Information System (INIS)

    Arifin, M; Iskandar, F; Aimon, A H; Munir, M M; Nuryadin, B W

    2016-01-01

    LiFePO 4 is a type of cathode active material used for lithium ion batteries. It has a high electrochemical performance. However, it suffers from certain disadvantages such as a very low intrinsic electronic conductivity and low ionic diffusion. This study was conducted to increase the conductivity of LiFePO4. We have investigated the addition of Li2SiO3 and reduced graphene oxide (rGO) to LiFePO4. The objective of this research was to synthesize LiFePO 4 /Li 2 SiO 3 /rGO via hydrothermal method. Fourier transform infrared spectroscopy (FTIR) measurement showed that the peaks corresponded to the vibration of LiFePO 4 /Li 2 SiO 3 . Further, X-ray diffraction (XRD) measurement confirmed a single phase of LiFePO4. Finally, scanning electron microscopy (SEM) images showed that rGO was distributed on the LiFePO 4 /Li 2 SiO 3 structure. (paper)

  7. Mechanistic analysis of temperature-dependent current conduction through thin tunnel oxide in n+-polySi/SiO2/n+-Si structures

    Science.gov (United States)

    Samanta, Piyas

    2017-09-01

    We present a detailed investigation on temperature-dependent current conduction through thin tunnel oxides grown on degenerately doped n-type silicon (n+-Si) under positive bias ( VG ) on heavily doped n-type polycrystalline silicon (n+-polySi) gate in metal-oxide-semiconductor devices. The leakage current measured between 298 and 573 K and at oxide fields ranging from 6 to 10 MV/cm is primarily attributed to Poole-Frenkel (PF) emission of trapped electrons from the neutral electron traps located in the silicon dioxide (SiO2) band gap in addition to Fowler-Nordheim (FN) tunneling of electrons from n+-Si acting as the drain node in FLOating gate Tunnel OXide Electrically Erasable Programmable Read-Only Memory devices. Process-induced neutral electron traps are located at 0.18 eV and 0.9 eV below the SiO2 conduction band. Throughout the temperature range studied here, PF emission current IPF dominates FN electron tunneling current IFN at oxide electric fields Eox between 6 and 10 MV/cm. A physics based new analytical formula has been developed for FN tunneling of electrons from the accumulation layer of degenerate semiconductors at a wide range of temperatures incorporating the image force barrier rounding effect. FN tunneling has been formulated in the framework of Wentzel-Kramers-Brilloiun taking into account the correction factor due to abrupt variation of the energy barrier at the cathode/oxide interface. The effect of interfacial and near-interfacial trapped-oxide charges on FN tunneling has also been investigated in detail at positive VG . The mechanism of leakage current conduction through SiO2 films plays a crucial role in simulation of time-dependent dielectric breakdown of the memory devices and to precisely predict the normal operating field or applied floating gate (FG) voltage for lifetime projection of the devices. In addition, we present theoretical results showing the effect of drain doping concentration on the FG leakage current.

  8. The effect on phase separation of the oxidation state of molybdenum in a Na2O-B2O3-SiO2 glass

    International Nuclear Information System (INIS)

    Kawamoto, Y.; Clemens, K.; Tomozawa, M.; Warden, J.T.

    1981-01-01

    The effect of oxidation state on phase separation was studied for 13Na 2 O, 49B 2 O 3 , 38SiO 2 (mol%) glasses containing 1 mol% Mo oxide. The glasses were melted under various conditions to vary the oxidation states of Mo ions. The oxidation states of Mo ions were determined by chemical analysis and ESR. The crystallisation tendency, the immiscibility temperature, and the phase separation morphology of the glasses were examined by DTA, x-ray diffraction, opalescence method, and replica electron microscopy. Glasses containing Mo 4+ ions have a great tendency to precipitate MoO 2 crystals. The immiscibility temperature of glass goes through a minimum when the oxidation states of Mo ions are changed. It was suggested that there is an optimum oxidation state to prevent crystallisation and to suppress the phase separation tendency of this system. (author)

  9. ZnO nanowire-based nano-floating gate memory with Pt nanocrystals embedded in Al{sub 2}O{sub 3} gate oxides

    Energy Technology Data Exchange (ETDEWEB)

    Yeom, Donghyuk; Kang, Jeongmin; Lee, Myoungwon; Jang, Jaewon; Yun, Junggwon; Jeong, Dong-Young; Yoon, Changjoon; Koo, Jamin; Kim, Sangsig [Department of Electrical Engineering and Institute for Nano Science, Korea University, Seoul 136-701 (Korea, Republic of)], E-mail: sangsig@korea.ac.kr

    2008-10-01

    The memory characteristics of ZnO nanowire-based nano-floating gate memory (NFGM) with Pt nanocrystals acting as the floating gate nodes were investigated in this work. Pt nanocrystals were embedded between Al{sub 2}O{sub 3} tunneling and control oxide layers deposited on ZnO nanowire channels. For a representative ZnO nanowire-based NFGM with embedded Pt nanocrystals, a threshold voltage shift of 3.8 V was observed in its drain current versus gate voltage (I{sub DS}-V{sub GS}) measurements for a double sweep of the gate voltage, revealing that the deep effective potential wells built into the nanocrystals provide our NFGM with a large charge storage capacity. Details of the charge storage effect observed in this memory device are discussed in this paper.

  10. Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.

    Science.gov (United States)

    Tseng, Kuang-Hung; Chen, Kuan-Lung

    2012-08-01

    This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.

  11. The influence of SiO2 Addition on 2MgO-Al2O3-3.3P2O5 Glass

    DEFF Research Database (Denmark)

    Larsen, P.H.; Poulsen, F.W.; Berg, Rolf W.

    1999-01-01

    2MgO-Al2O3-3.3P2O5 glasses with increasing amounts of SiO2 are considered for sealing applications in Solid Oxide Fuel Cells (SOFC). The change in chemical durability under SOFC anode conditions and the linear thermal expansion is measured as functions of the SiO2 concentration. Raman spectroscopy...... analysis of the glasses reveals no sign of important changes in the glass structure upon SiO2 addition. Some increase in glass durability with SiO2 concentration is reported and its cause is discussed....

  12. A novel fabrication of a high performance SiO(2)-graphene oxide (GO) nanohybrids: Characterization of thermal properties of epoxy nanocomposites filled with SiO(2)-GO nanohybrids.

    Science.gov (United States)

    Haeri, S Z; Ramezanzadeh, B; Asghari, M

    2017-05-01

    In this study it has been aimed to enhance the thermal resistance of epoxy coating through incorporation of SiO 2 -GO nanohybrids. SiO 2 -GO nanohybrids were synthesized through one-step sol-gel route using a mixture of Tetraethylorthosilane (TEOS) and 3-Aminopropyl triethoxysilane (APTES) silanes. The SiO 2 -GO nanohybrids were prepared at various hydrolysis times of 24, 48 and 72h. Then 0.2wt.% of GO and SiO 2 -GO nanohybrids were separately incorporated into the epoxy coating. Results revealed that amino functionalized SiO 2 nanoparticles with particle size around 20-30nm successfully synthesized on the basal plane of GO. Results showed significant improvement of dispersion and interfacial interactions between nanohybrids and epoxy composite arising from covalent bonding between the SiO 2 -GO and the epoxy matrix. It was found that the thermal resistance of SiO 2 -GO nanohybrids and SiO 2 -GO/Epoxy nanocomposite was noticeably higher than GO and epoxy matrix, respectively. Copyright © 2017 Elsevier Inc. All rights reserved.

  13. Large-Area CVD-Grown Sub-2 V ReS2 Transistors and Logic Gates.

    Science.gov (United States)

    Dathbun, Ajjiporn; Kim, Youngchan; Kim, Seongchan; Yoo, Youngjae; Kang, Moon Sung; Lee, Changgu; Cho, Jeong Ho

    2017-05-10

    We demonstrated the fabrication of large-area ReS 2 transistors and logic gates composed of a chemical vapor deposition (CVD)-grown multilayer ReS 2 semiconductor channel and graphene electrodes. Single-layer graphene was used as the source/drain and coplanar gate electrodes. An ion gel with an ultrahigh capacitance effectively gated the ReS 2 channel at a low voltage, below 2 V, through a coplanar gate. The contact resistance of the ion gel-gated ReS 2 transistors with graphene electrodes decreased dramatically compared with the SiO 2 -devices prepared with Cr electrodes. The resulting transistors exhibited good device performances, including a maximum electron mobility of 0.9 cm 2 /(V s) and an on/off current ratio exceeding 10 4 . NMOS logic devices, such as NOT, NAND, and NOR gates, were assembled using the resulting transistors as a proof of concept demonstration of the applicability of the devices to complex logic circuits. The large-area synthesis of ReS 2 semiconductors and graphene electrodes and their applications in logic devices open up new opportunities for realizing future flexible electronics based on 2D nanomaterials.

  14. Synthesis of mesoporous TS-1 using a hybrid SiO2–TiO2 xerogel for catalytic oxidative desulfurization

    International Nuclear Information System (INIS)

    Yang, Seung-Tae; Jeong, Kwang-Eun; Jeong, Soon-Yong; Ahn, Wha-Seung

    2012-01-01

    Graphical abstract: Display Omitted Highlights: ► Meso-TS-1 catalyst was synthesized using a SiO 2 –TiO 2 xerogel with an organosilane precursor. ► Hierarchical pore structure was confirmed by characterization of the materials. ► Catalytic activity was tested using oxidative desulfurization of the model sulfur compounds. ► Meso-TS-1 demonstrated significantly improved catalytic activity than TS-1. -- Abstract: Mesoporous TS-1 (M-TS-1) was synthesized using a hybrid SiO 2 –TiO 2 xerogel combined with an organosilane precursor. Prepared samples were characterized by XRD, UV–vis spectroscopy, SEM, and N 2 adsorption–desorption measurement. M-TS-1, prepared in 2 days, showed high crystallinity and the best textural properties among the samples. The N 2 adsorption–desorption isotherms of M-TS-1 exhibited a hysteresis loop at pressure higher than P/P 0 = 0.4, clearly indicating the existence of mesopores. M-TS-1 has significantly larger mesopore volume (0.48 cm 3 /g) than that of conventional TS-1 (0.07 cm 3 /g), and showed a narrow peak centered at ca. 6.3 nm. In the oxidative desulfurization reaction, M-TS-1 was more active than conventional TS-1 at the same Ti-loading; M-TS-1 produced a dibenzothiophene (DBT) conversion of 96%, whereas conventional TS-1 produced a final DBT conversion of 5.6% after a reaction time of 180 min. Oxidative desulfurization over TS-1 was influenced both by electron density and steric hindrance in the sulfur compounds tested.

  15. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  16. Synthesis and Characterization of Ti-Phenyl at SiO2 Core-Shell Nanoparticles Catalyst

    International Nuclear Information System (INIS)

    Syamsi Aini; Jon Efendi; Syamsi Aini; Jon Efendi

    2012-01-01

    This study highlights the potential use of Ti-Phenyl at SiO 2 core-shell nanoparticles as heterogeneous catalysis in oxidation reaction. The Ti-Phenyl at SiO 2 was synthesized by reduction of TiCl 4 and diazonium salt with sodium borohydride to produce phenyl titanium nanoparticles (Ti-Phenyl), followed by the silica shell coating using tetraethyl orthosilicate (TEOS). The Ti-Phenyl at SiO 2 nanoparticles were characterized by Fourier transform infrared (FTIR) spectrometer, diffuse reflectance (DR) UV-visible spectrometer, thermogravimetric analyzer (TGA), X-ray diffraction (XRD) spectrometer, field emission scanning electron microscope (FESEM) and transmission electron microscope (TEM). The core-shell size of Ti-Phenyl at SiO 2 was in the range of 40 to 100 nm with its core composed with an agglomeration of Ti-Phenyl. The Ti-Phenyl at SiO 2 was active as a catalyst in the liquid phase epoxidation of 1-octene with aqueous hydrogen peroxide as an oxidant. (author)

  17. Characterization, integration and reliability of HfO2 and LaLuO3 high-κ/metal gate stacks for CMOS applications

    International Nuclear Information System (INIS)

    Nichau, Alexander

    2013-01-01

    The continued downscaling of MOSFET dimensions requires an equivalent oxide thickness (EOT) of the gate stack below 1 nm. An EOT below 1.4 nm is hereby enabled by the use of high-κ/metal gate stacks. LaLuO 3 and HfO 2 are investigated as two different high-κ oxides on silicon in conjunction with TiN as the metal electrode. LaLuO 3 and its temperature-dependent silicate formation are characterized by hard X-ray photoemission spectroscopy (HAXPES). The effective attenuation length of LaLuO 3 is determined between 7 and 13 keV to enable future interface and diffusion studies. In a first investigation of LaLuO 3 on germanium, germanate formation is shown. LaLuO 3 is further integrated in a high-temperature MOSFET process flow with varying thermal treatment. The devices feature drive currents up to 70μA/μm at 1μm gate length. Several optimization steps are presented. The effective device mobility is related to silicate formation and thermal budget. At high temperature the silicate formation leads to mobility degradation due to La-rich silicate formation. The integration of LaLuO 3 in high-T processes delicately connects with the optimization of the TiN metal electrode. Hereby, stoichiometric TiN yields the best results in terms of thermal stability with respect to Si-capping and high-κ oxide. Different approaches are presented for a further EOT reduction with LaLuO 3 and HfO 2 . Thereby the thermodynamic and kinetic predictions are employed to estimate the behavior on the nanoscale. Based on thermodynamics, excess oxygen in the gate stack, especially in oxidized metal electrodes, is identified to prevent EOT scaling below 1.2 nm. The equivalent oxide thickness of HfO 2 gate stacks is scalable below 1 nm by the use of thinned interfacial SiO 2 . The prevention of oxygen incorporation into the metal electrode by Si-capping maintains the EOT after high temperature annealing. Redox systems are employed within the gate electrode to decrease the EOT of HfO 2 gate stacks

  18. Processing of SiO2 protective layer using HMDS precursor by combustion CVD.

    Science.gov (United States)

    Park, Kyoung-Soo; Kim, Youngman

    2011-08-01

    Hexamethyldisilazane (HMDS, [(CH3)3Si]2NH) was used as a precursor to form SiO2 protective coatings on IN738LC alloys by combustion chemical vapor deposition (CCVD). SEM and XPS showed that the processed coatings were composed mainly of SiO2. The amount of HMDS had the largest effect on the size of the SiO2 agglomerates and the thickness of the deposited coatings. The specimens coated with SiO2 using the 0.05 mol/l HMDS solution showed a significantly higher temperature oxidation resistance than those deposited under other conditions.

  19. Composited reduced graphene oxide into LiFePO4/Li2SiO3 and its electrochemical impedance spectroscopy properties

    Science.gov (United States)

    Arifin, M.; Rus, Y. B.; Aimon, A. H.; Iskandar, F.; Winata, T.; Abdullah, M.; Khairurrijal, K.

    2017-03-01

    LiFePO4 is commonly used as cathode material for Li-ion batteries due to its stable operational voltage and high specific capacity. However, it suffers from certain disadvantages such as low intrinsic electronic conductivity and low ionic diffusion. This study was conducted to analyse the effect of reduced graphene oxide (rGO) on the electrochemical properties of LiFePO4/Li2SiO3 composite. This composite was synthesized by a hydrothermal method. Fourier transform infrared spectroscopy measurement identified the O-P-O, Fe-O, P-O, and O-Si-O- bands in the LiFePO4/Li2SiO3 composite. X-ray diffraction measurement confirmed the formation of LiFePO4. Meanwhile, Raman spectroscopy confirmed the number of rGO layers. Further, scanning electron microscopy images showed that rGO was distributed around the LiFePO4/Li2SiO3 particles. Finally, the electrochemical impedance spectroscopy results showed that the addition of 1 wt% of rGO to the LiFePO4/Li2SiO3 composite reduced charge transfer resistance. It may be concluded that the addition of 1 wt% rGO to LiFePO4/Li2SiO3 composite can enhance its electrochemical performance as a cathode material.

  20. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  1. Selective Dispersive Solid Phase Extraction of Ser-traline Using Surface Molecularly Imprinted Polymer Grafted on SiO2/Graphene Oxide

    Directory of Open Access Journals (Sweden)

    Faezeh Khalilian

    2017-01-01

    Full Text Available A surface molecularly imprinted dispersive solid phase extraction coupled with liquid chromatography–ultraviolet detection is proposed as a selective and fast clean-up technique for the determination of sertraline in biological sample. Surface sertraline-molecular imprinted polymer was grafted and synthesized on the SiO2/graphene oxide surface. Firstly SiO2 was coated on synthesized graphene oxide sheet using sol-gel technique. Prior to polymerization, the vinyl group was incorporated on to the surface of SiO2/graphene oxide to direct selective polymerization on the surface. Methacrylic acid, ethylene glycol dimethacrylate and ethanol were used as monomer, cross-linker and progen, respectively. Non-imprinted polymer was also prepared for comparing purposes. The properties of the molecular imprinted polymer were characterized using field emission-scanning electron microscopy and Fourier transform infrared spectroscopy methods. The surface molecular imprinted polymer was utilized as an adsorbent of dispersive solid phase extraction for separation and preconcentration of sertraline. The effects of the different parameters influencing the extraction efficiency, such as sample pH were investigated and optimized. The specificity of the molecular imprinted polymer over the non-imprinted polymer was examined in absence and presence of competitive drugs. Sertraline calibration curve showed linearity in the ranges 1–500 µg L-1. The limits of detection and quantification under optimized conditions were obtained 0.2 and 0.5 µg L-1. The within-day and between-day relative standard deviations (n=3 were 4.3 and 7.1%, respectively. Furthermore, the relative recoveries for spiked biological samples were above 92%.

  2. The improvement of SiO2 nanotubes electrochemical behavior by hydrogen atmosphere thermal treatment

    Science.gov (United States)

    Spataru, Nicolae; Anastasescu, Crina; Radu, Mihai Marian; Balint, Ioan; Negrila, Catalin; Spataru, Tanta; Fujishima, Akira

    2018-06-01

    Highly defected SiO2 nanotubes (SiO2-NT) were obtained by a simple sol-gel procedure followed by calcination. Boron-doped diamond (BDD) polycrystalline films coated with SiO2-NT were used as working electrodes and, unexpectedly, cyclic voltammetric experiments have shown that the concentration of both positive and negative defects at the surface is high enough to enable redox processes involving positively charged Ru(bpy)32+/3+ to occur. Conversely, no electrochemical activity was put into evidence for Fe(CN)63-/4- species, most likely as a result of the strong electrostatic repulsion exerted by the negatively charged SiO2 surface. The concentration of surface defects was further increased by a subsequent thermal treatment in a hydrogen atmosphere which, as EIS measurements have shown, significantly promotes Ru(bpy)32+ anodic oxidation. Digital simulation of the voltammetric responses demonstrated that this treatment does not lead to a similar increase of the number of electron-donor sites. It was also found that methanol anodic oxidation at hydrogenated SiO2-NT-supported platinum results in Tafel slopes of 116-220 mV decade-1, comparable to those reported for both conventional PtRu and Pt-oxide catalysts.

  3. SiO mass spectrometry and Si-2p photoemission spectroscopy for the study of oxidation reaction dynamics of Si(001) surface by supersonic O sub 2 molecular beams under 1000K

    CERN Document Server

    Teraoka, Y; Moritani, K

    2003-01-01

    The Si sup 1 sup 8 O desorption yield was measured in the Si(001) surface temperature region from 900K to 1300K at the sup 1 sup 8 O sub 2 incident energies of 0.7eV, 2.2eV and 3.3eV. The Si sup 1 sup 8 O desorption yield in a surface temperature region higher than 1000K increased with increasing incident energy, indicating the incident-energy-induced oxidation and the variation of angular distribution of Si sup 1 sup 8 O desorption. Inversely, the Si sup 1 sup 8 O desorption yield decreased with increasing incident energy in the region from 900K to 1000K, indicating the coexistence of the passive and the active oxidation. In order to clarify the reaction mechanisms of the later phenomenon, real-time in-situ Si-2p photoemission spectroscopy has been performed. The obtained Si-2p spectra showed the variation of the oxide-nuclei quality from the sub-oxide-rich structure to the SiO sub 2 -rich structure. The formation of the SiO sub 2 structure suppresses the SiO desorption due to the enhanced O sub 2 sticking a...

  4. On the Evaluation of Gate Dielectrics for 4H-SiC Based Power MOSFETs

    Directory of Open Access Journals (Sweden)

    Muhammad Nawaz

    2015-01-01

    Full Text Available This work deals with the assessment of gate dielectric for 4H-SiC MOSFETs using technology based two-dimensional numerical computer simulations. Results are studied for variety of gate dielectric candidates with varying thicknesses using well-known Fowler-Nordheim tunneling model. Compared to conventional SiO2 as a gate dielectric for 4H-SiC MOSFETs, high-k gate dielectric such as HfO2 reduces significantly the amount of electric field in the gate dielectric with equal gate dielectric thickness and hence the overall gate current density. High-k gate dielectric further reduces the shift in the threshold voltage with varying dielectric thicknesses, thus leading to better process margin and stable device operating behavior. For fixed dielectric thickness, a total shift in the threshold voltage of about 2.5 V has been observed with increasing dielectric constant from SiO2 (k=3.9 to HfO2 (k=25. This further results in higher transconductance of the device with the increase of the dielectric constant from SiO2 to HfO2. Furthermore, 4H-SiC MOSFETs are found to be more sensitive to the shift in the threshold voltage with conventional SiO2 as gate dielectric than high-k dielectric with the presence of interface state charge density that is typically observed at the interface of dielectric and 4H-SiC MOS surface.

  5. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  6. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  7. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    Science.gov (United States)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  8. Novel Dry-Type Glucose Sensor Based on a Metal-Oxide-Semiconductor Capacitor Structure with Horseradish Peroxidase + Glucose Oxidase Catalyzing Layer

    Science.gov (United States)

    Lin, Jing-Jenn; Wu, You-Lin; Hsu, Po-Yen

    2007-10-01

    In this paper, we present a novel dry-type glucose sensor based on a metal-oxide-semiconductor capacitor (MOSC) structure using SiO2 as a gate dielectric in conjunction with a horseradish peroxidase (HRP) + glucose oxidase (GOD) catalyzing layer. The tested glucose solution was dropped directly onto the window opened on the SiO2 layer, with a coating of HRP + GOD catalyzing layer on top of the gate dielectric. From the capacitance-voltage (C-V) characteristics of the sensor, we found that the glucose solution can induce an inversion layer on the silicon surface causing a gate leakage current flowing along the SiO2 surface. The gate current changes Δ I before and after the drop of glucose solution exhibits a near-linear relationship with increasing glucose concentration. The Δ I sensitivity is about 1.76 nA cm-2 M-1, and the current is quite stable 20 min after the drop of the glucose solution is tested.

  9. Gate tunneling current and quantum capacitance in metal-oxide-semiconductor devices with graphene gate electrodes

    Science.gov (United States)

    An, Yanbin; Shekhawat, Aniruddh; Behnam, Ashkan; Pop, Eric; Ural, Ant

    2016-11-01

    Metal-oxide-semiconductor (MOS) devices with graphene as the metal gate electrode, silicon dioxide with thicknesses ranging from 5 to 20 nm as the dielectric, and p-type silicon as the semiconductor are fabricated and characterized. It is found that Fowler-Nordheim (F-N) tunneling dominates the gate tunneling current in these devices for oxide thicknesses of 10 nm and larger, whereas for devices with 5 nm oxide, direct tunneling starts to play a role in determining the total gate current. Furthermore, the temperature dependences of the F-N tunneling current for the 10 nm devices are characterized in the temperature range 77-300 K. The F-N coefficients and the effective tunneling barrier height are extracted as a function of temperature. It is found that the effective barrier height decreases with increasing temperature, which is in agreement with the results previously reported for conventional MOS devices with polysilicon or metal gate electrodes. In addition, high frequency capacitance-voltage measurements of these MOS devices are performed, which depict a local capacitance minimum under accumulation for thin oxides. By analyzing the data using numerical calculations based on the modified density of states of graphene in the presence of charged impurities, it is shown that this local minimum is due to the contribution of the quantum capacitance of graphene. Finally, the workfunction of the graphene gate electrode is extracted by determining the flat-band voltage as a function of oxide thickness. These results show that graphene is a promising candidate as the gate electrode in metal-oxide-semiconductor devices.

  10. Preparation and characterization of amorphous SiO2 coatings deposited by mirco-arc oxidation on sintered NdFeB permanent magnets

    International Nuclear Information System (INIS)

    Xu, J.L.; Xiao, Q.F.; Mei, D.D.; Zhong, Z.C.; Tong, Y.X.; Zheng, Y.F.; Li, L.

    2017-01-01

    Amorphous SiO 2 coatings were prepared on sintered NdFeB magnets by micro-arc oxidation (MAO) in silicate solution. The surface and cross-sectional morphologies, element and phase composition, corrosion resistance and magnetic properties of the coatings were investigated by scanning electron microscopy (SEM), energy dispersive X-ray spectrometer (EDS), X-ray photoelectron spectroscopy (XPS), potentiodynamic polarization test and physical properties measurements system (PPMS). The results showed that the surface morphologies of the coatings exhibited the “coral reef” like structure, different from the typical MAO porous structure. With increasing the voltages, the thickness of the coatings increased from 12.72 to 19.90 µm, the content of Si element increased, while the contents of Fe, Nd and P elements decreased. The coatings were mainly composed of amorphous SiO 2 and a few amorphous Fe 2 O 3 and Nd 2 O 3 . The amorphous SiO 2 coatings presented excellent thermal shock resistance, while the thermal shock resistance decreased with increasing the voltages. The corrosion resistance of the coatings increased with increasing the voltages, and it could be enhanced by one order of magnitude compared to the uncoated NdFeB magnets. The MAO coatings slightly decreased the magnetic properties of the NdFeB samples in different degrees. - Highlights: • Amorphous SiO 2 coatings were prepared on sintered NdFeB magnets by micro-arc oxidation. • The coatings presented excellent thermal shock resistance. • The corrosion resistance could be enhanced by one order of magnitude. • The MAO coatings slightly decreased the magnetic properties of the NdFeB samples.

  11. Analysis of chemical bond states and electrical properties of stacked AlON/HfO{sub 2} gate oxides formed by using a layer-by-layer technique

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Wonjoon; Lee, Jonghyun; Yang, Jungyup; Kim, Chaeok; Hong, Jinpyo; Nahm, Tschanguh; Byun, Byungsub; Kim, Moseok [Hanyang University, Seoul (Korea, Republic of)

    2006-06-15

    Stacked AlON/HfO{sub 2} thin films for gate oxides in metal-oxide-semiconductor devices are successfully prepared on Si substrates by utilizing a layer-by-layer technique integrated with an off-axis RF remote plasma sputtering process at room temperature. This off-axis structure is designed to improve the uniformity and the quality of gate oxide films. Also, a layer-by-layer technique is used to control the interface layer between the gate oxide and the Si substrate. The electrical properties of our stacked films are characterized by using capacitance versus voltage and leakage current versus voltage measurements. The stacked AlON/HfO{sub 2} gate oxide exhibits a low leakage current of about 10{sup -6} A/cm{sup 2} and a high dielectric constant value of 14.26 by effectively suppressing the interface layer between gate oxide and Si substrate. In addition, the chemical bond states and the optimum thickness of each AlON and HfO{sub 2} thin film are analyzed using X-ray photoemission spectroscopy and transmission electron microscopy measurement.

  12. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

    International Nuclear Information System (INIS)

    Metzler, Dominik; Oehrlein, Gottlieb S; Li, Chen; Lai, C Steven; Hudson, Eric A

    2017-01-01

    The evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO 2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF 4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H 2 /Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO 2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO 2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO 2 layer is prevented by the high reactivity of low energy Ar + ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O 2 process conditions. (paper)

  13. Experimental observations of the chemistry of the SiO2/Si interface

    Science.gov (United States)

    Grunthaner, F. J.; Maserjian, J.

    1977-01-01

    Changes in silicon surface preparation prior to thermal oxidation are shown to leave a signature by altering the final SiO2/Si interface structure. Surface analytical techniques, including XPS, static SIMS, ion milling, and newly developed wet-chemical profiling procedures are used to obtain detailed information on the chemical structure of the interface. The oxides are shown to be essentially SiO2 down to a narrow transitional interface layer (3-7 A). A number of discrete chemical species are observed in this interface layer, including different silicon bonds (e.g., C-, OH-, H-) and a range of oxidation states of silicon (0 to +4). The effect of surface preparation and the observed chemical species are correlated with oxide growth rate, surface-state density, and flatband shifts after irradiation.

  14. The gate oxide integrity of CVD tungsten polycide

    International Nuclear Information System (INIS)

    Wu, N.W.; Su, W.D.; Chang, S.W.; Tseng, M.F.

    1988-01-01

    CVD tungsten polycide has been demonstrated as a good gate material in recent very large scale integration (VLSI) technology. CVD tungsten silicide offers advantages of low resistivity, high temperature stability and good step coverage. On the other hand, the polysilicon underlayer preserves most characteristics of the polysilicon gate and acts as a stress buffer layer to absorb part of the thermal stress origin from the large thermal expansion coefficient of tungsten silicide. Nevertheless, the gate oxide of CVD tungsten polycide is less stable or reliable than that of polysilicon gate. In this paper, the gate oxide integrity of CVD tungsten polycide with various thickness combinations and different thermal processes have been analyzed by several electrical measurements including breakdown yield, breakdown fluence, room temperature TDDB, I-V characteristics, electron traps and interface state density

  15. High fluence swift heavy ion structure modification of the SiO{sub 2}/Si interface and gate insulator in 65 nm MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Yao [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Gao, Bo, E-mail: gaobo@scu.edu.cn [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Gong, Min [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Willis, Maureen [College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Yang, Zhimei [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); Guan, Mingyue [College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Li, Yun [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China)

    2017-04-01

    In this work, a study of the structure modification, induced by high fluence swift heavy ion radiation, of the SiO{sub 2}/Si structures and gate oxide interface in commercial 65 nm MOSFETs is performed. A key and novel point in this study is the specific use of the transmission electron microscopy (TEM) technique instead of the conventional atomic force microscope (AFM) or scanning electron microscope (SEM) techniques which are typically performed following the chemical etching of the sample to observe the changes in the structure. Using this method we show that after radiation, the appearance of a clearly visible thin layer between the SiO{sub 2} and Si is observed presenting as a variation in the TEM intensity at the interface of the two materials. Through measuring the EDX line scans we reveal that the Si:O ratio changed and that this change can be attributed to the migration of the Si towards interface after the Si-O bond is destroyed by the swift heavy ions. For the 65 nm MOSFET sample, the silicon substrate, the SiON insulator and the poly-silicon gate interfaces become blurred under the same irradiation conditions.

  16. Defect layer in SiO2-Sic interface proved by a slow positron beam

    International Nuclear Information System (INIS)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Miyashita, A.; Suzuki, R.; Ohdaira, T.

    2006-01-01

    The structure of the SiO 2 -4ph-SiC interface layer produced by dry oxidation has been studied by positron annihilation spectroscopy using slow positron beams. From Doppler broadening measurements, the interface layer was clearly distinguished from the SiO 2 and SiC layers and was observed to be defective. At the interface layer, a single long positron lifetime of 451 ps, which is close to the second lifetime in the SiO 2 layer, was obtained, thus suggesting that the structure of the interface layer resembles an amorphous SiO 2 network. A comparison was made between the obtained electron momentum distribution at the interface layer and the theoretical calculation. It was found that positrons annihilate with oxygen valence electrons. By annealing after the oxidation, the annihilation probability of the positrons with oxygen valence electrons and the number of interface traps decreased in the same temperature range, thus suggesting a correlation between interface traps and positron annihilation sites

  17. A Structural Molar Volume Model for Oxide Melts Part I: Li2O-Na2O-K2O-MgO-CaO-MnO-PbO-Al2O3-SiO2 Melts—Binary Systems

    Science.gov (United States)

    Thibodeau, Eric; Gheribi, Aimen E.; Jung, In-Ho

    2016-04-01

    A structural molar volume model was developed to accurately reproduce the molar volume of molten oxides. As the non-linearity of molar volume is related to the change in structure of molten oxides, the silicate tetrahedral Q-species, calculated from the modified quasichemical model with an optimized thermodynamic database, were used as basic structural units in the present model. Experimental molar volume data for unary and binary melts in the Li2O-Na2O-K2O-MgO-CaO-MnO-PbO-Al2O3-SiO2 system were critically evaluated. The molar volumes of unary oxide components and binary Q-species, which are model parameters of the present structural model, were determined to accurately reproduce the experimental data across the entire binary composition in a wide range of temperatures. The non-linear behavior of molar volume and thermal expansivity of binary melt depending on SiO2 content are well reproduced by the present model.

  18. Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for Advanced CMOS Devices

    Directory of Open Access Journals (Sweden)

    Yi Zhao

    2012-08-01

    Full Text Available High permittivity (k gate dielectric films are widely studied to substitute SiO2 as gate oxides to suppress the unacceptable gate leakage current when the traditional SiO2 gate oxide becomes ultrathin. For high-k gate oxides, several material properties are dominantly important. The first one, undoubtedly, is permittivity. It has been well studied by many groups in terms of how to obtain a higher permittivity for popular high-k oxides, like HfO2 and La2O3. The second one is crystallization behavior. Although it’s still under the debate whether an amorphous film is definitely better than ploy-crystallized oxide film as a gate oxide upon considering the crystal boundaries induced leakage current, the crystallization behavior should be well understood for a high-k gate oxide because it could also, to some degree, determine the permittivity of the high-k oxide. Finally, some high-k gate oxides, especially rare earth oxides (like La2O3, are not stable in air and very hygroscopic, forming hydroxide. This topic has been well investigated in over the years and significant progresses have been achieved. In this paper, I will intensively review the most recent progresses of the experimental and theoretical studies for preparing higher-k and more stable, in terms of hygroscopic tolerance and crystallization behavior, Hf- and La-based ternary high-k gate oxides.

  19. High-κ gate dielectrics: Current status and materials properties considerations

    Science.gov (United States)

    Wilk, G. D.; Wallace, R. M.; Anthony, J. M.

    2001-05-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  20. Temperature dependence of the work function of ruthenium-based gate electrodes

    International Nuclear Information System (INIS)

    Alshareef, H.N.; Wen, H.C.; Luan, H.F.; Choi, K.; Harris, H.R.; Senzaki, Y.; Majhi, P.; Lee, B.H.; Foran, B.; Lian, G.

    2006-01-01

    The effect of device fabrication temperature on the work function of ruthenium (Ru) metal gate and its bilayers was investigated. The work function shows strong temperature dependence when Ru electrodes are deposited on silicon oxide, SiO 2 , but not on hafnium silicates (HfSiO x ). Specifically, the work function of Ru on SiO 2 increased from 4.5 eV at 500 deg. C to 5.0 eV at 700 deg. C. On further annealing to 900 deg. C or higher, the work function dropped to about 4.4 eV. In the case of HfSiO x , the work function of Ru changed by less than 100 mV over the same temperature range. Identical temperature dependence was observed using hafnium (Hf)/Ru and tantalum (Ta)/Ru bilayers. However, the peak values of the work function decreased with increasing Hf/Ru and Ta/Ru thickness ratios. Materials analysis suggests that these trends are driven by interactions at the Ru metal gate-dielectric interface

  1. Effects of (NH4)2S x treatment on the surface properties of SiO2 as a gate dielectric for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of (NH4)2S x treatment on the surface properties of SiO2 is studied. (NH4)2S x treatment leads to the formation of S-Si bonds on the SiO2 surface that serves to reduce the number of donor-like trap states, inducing the shift of the Fermi level toward the conduction band minimum. A finding in this case is the noticeably reduced value of the SiO2 capacitance as the sulfurated layer is formed at the SiO2 surface. The effect of SiO2 layers with (NH4)2S x treatment on the carrier transport behaviors for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. The pentacene/as-cleaned SiO2-based OTFT shows depletion-mode behavior, whereas the pentacene/(NH4)2S x -treated SiO2-based OTFT exhibits enhancement-mode behavior. Experimental identification confirms that the depletion-/enhancement-mode conversion is due to the dominance competition between donor-like trap states in SiO2 near the pentacene/SiO2 interface and acceptor-like trap states in the pentacene channel. A sulfurated layer between pentacene and SiO2 is expected to give significant contributions to carrier transport for pentacene/SiO2-based OTFTs.

  2. High-Mobility 6,13-Bis(triisopropylsilylethynyl) Pentacene Transistors Using Solution-Processed Polysilsesquioxane Gate Dielectric Layers.

    Science.gov (United States)

    Matsuda, Yu; Nakahara, Yoshio; Michiura, Daisuke; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) is a low-temperature curable polymer that is compatible with low-cost plastic substrates. We cured PSQ gate dielectric layers by irradiation with ultraviolet light at ~60 °C, and used them for 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) thin film transistors (TFTs). The fabricated TFTs have shown the maximum and average hole mobility of 1.3 and 0.78 ± 0.3 cm2V-1s-1, which are comparable to those of the previously reported transistors using single-crystalline TIPS-pentacene micro-ribbons for their active layers and thermally oxidized SiO2 for their gate dielectric layers. Itis therefore demonstrated that PSQ is a promising polymer gate dielectric material for low-cost organic TFTs.

  3. Memory characteristics of Au nanocrystals embedded in metal-oxide-semiconductor structure by using atomic-layer-deposited Al2O3 as control oxide

    International Nuclear Information System (INIS)

    Wang, C.-C.; Chiou, Y.-K.; Chang, C.-H.; Tseng, J.-Y.; Wu, L.-J.; Chen, C.-Y.; Wu, T.-B.

    2007-01-01

    The nonvolatile memory characteristics of metal-oxide-semiconductor (MOS) structures containing Au nanocrystals in the Al 2 O 3 /SiO 2 matrix were studied. In this work, we have demonstrated that the use of Al 2 O 3 as control oxide prepared by atomic-layer-deposition enhances the erase speed of the MOS capacitors. A giant capacitance-voltage hysteresis loop and a very short erase time which is lower than 1 ms can be obtained. Compared with the conventional floating-gate electrically erasable programmable read-only memories, the erase speed was promoted drastically. In addition, very low leakage current and large turn-around voltage resulting from electrons or holes stored in the Au nanocrystals were found in the current-voltage relation of the MOS capacitors

  4. Flexible Proton-Gated Oxide Synaptic Transistors on Si Membrane.

    Science.gov (United States)

    Zhu, Li Qiang; Wan, Chang Jin; Gao, Ping Qi; Liu, Yang Hui; Xiao, Hui; Ye, Ji Chun; Wan, Qing

    2016-08-24

    Ion-conducting materials have received considerable attention for their applications in fuel cells, electrochemical devices, and sensors. Here, flexible indium zinc oxide (InZnO) synaptic transistors with multiple presynaptic inputs gated by proton-conducting phosphorosilicate glass-based electrolyte films are fabricated on ultrathin Si membranes. Transient characteristics of the proton gated InZnO synaptic transistors are investigated, indicating stable proton-gating behaviors. Short-term synaptic plasticities are mimicked on the proposed proton-gated synaptic transistors. Furthermore, synaptic integration regulations are mimicked on the proposed synaptic transistor networks. Spiking logic modulations are realized based on the transition between superlinear and sublinear synaptic integration. The multigates coupled flexible proton-gated oxide synaptic transistors may be interesting for neuroinspired platforms with sophisticated spatiotemporal information processing.

  5. Photolithographically Patterned TiO2 Films for Electrolyte-Gated Transistors.

    Science.gov (United States)

    Valitova, Irina; Kumar, Prajwal; Meng, Xiang; Soavi, Francesca; Santato, Clara; Cicoira, Fabio

    2016-06-15

    Metal oxides constitute a class of materials whose properties cover the entire range from insulators to semiconductors to metals. Most metal oxides are abundant and accessible at moderate cost. Metal oxides are widely investigated as channel materials in transistors, including electrolyte-gated transistors, where the charge carrier density can be modulated by orders of magnitude upon application of relatively low electrical bias (2 V). Electrolyte gating offers the opportunity to envisage new applications in flexible and printed electronics as well as to improve our current understanding of fundamental processes in electronic materials, e.g. insulator/metal transitions. In this work, we employ photolithographically patterned TiO2 films as channels for electrolyte-gated transistors. TiO2 stands out for its biocompatibility and wide use in sensing, electrochromics, photovoltaics and photocatalysis. We fabricated TiO2 electrolyte-gated transistors using an original unconventional parylene-based patterning technique. By using a combination of electrochemical and charge carrier transport measurements we demonstrated that patterning improves the performance of electrolyte-gated TiO2 transistors with respect to their unpatterned counterparts. Patterned electrolyte-gated (EG) TiO2 transistors show threshold voltages of about 0.9 V, ON/OFF ratios as high as 1 × 10(5), and electron mobility above 1 cm(2)/(V s).

  6. Hydrogen and chlorine detection at the SiO2/Si interface

    International Nuclear Information System (INIS)

    Tsong, I.S.T.; Monkowski, M.D.; Monkowski, J.R.; Wintenberg, A.L.; Miller, P.D.; Moak, C.D.

    1981-01-01

    Hydrogen and chlorine depth profiles were obtained on a series of silicon oxides thermally grown in HCl/O 2 and Cl 2 /O 2 ambients at 1100 0 C for 15 minutes using the 19 F nuclear reaction and SIMS techniques. The data show close correlation between the H and Cl profiles in both the HCl/O 2 and Cl 2 /O 2 oxides. While the H and Cl appear to be enriched at the SiO 2 /Si interface of the HCl/O 2 oxides, they are higher in concentration and more evenly distributed in the oxide bulk of the Cl 2 /O 2 oxides

  7. Study of the tunnelling initiated leakage current through the carbon nanotube embedded gate oxide in metal oxide semiconductor structures

    International Nuclear Information System (INIS)

    Chakraborty, Gargi; Sarkar, C K; Lu, X B; Dai, J Y

    2008-01-01

    The tunnelling currents through the gate dielectric partly embedded with semiconducting single-wall carbon nanotubes in a silicon metal-oxide-semiconductor (MOS) structure have been investigated. The application of the gate voltage to such an MOS device results in the band bending at the interface of the partly embedded oxide dielectric and the surface of the silicon, initiating tunnelling through the gate oxide responsible for the gate leakage current whenever the thickness of the oxide is scaled. A model for silicon MOS structures, where carbon nanotubes are confined in a narrow layer embedded in the gate dielectric, is proposed to investigate the direct and the Fowler-Nordheim (FN) tunnelling currents of such systems. The idea of embedding such elements in the gate oxide is to assess the possibility for charge storage for memory device applications. Comparing the FN tunnelling onset voltage between the pure gate oxide and the gate oxide embedded with carbon nanotubes, it is found that the onset voltage decreases with the introduction of the nanotubes. The direct tunnelling current has also been studied at very low gate bias, for the thin oxide MOS structure which plays an important role in scaling down the MOS transistors. The FN tunnelling current has also been studied with varying nanotube diameter

  8. Ordered micro/macro porous K-OMS-2/SiO2 nanocatalysts: Facile synthesis, low cost and high catalytic activity for diesel soot combustion

    Science.gov (United States)

    Yu, Xuehua; Zhao, Zhen; Wei, Yuechang; Liu, Jian

    2017-04-01

    A series of novel oxide catalysts, which contain three-dimensionally ordered macroporous (3DOM) and microporous structure, were firstly designed and successfully synthesized by simple method. In the as-prepared catalysts, 3DOM SiO2 is used as support and microporous K-OMS-2 oxide nanoparticles are supported on the wall of SiO2. 3DOM K-OMS-2/SiO2 oxide catalysts were firstly used in soot particle oxidation reaction and they show very high catalytic activities. The high activities of K-OMS-2/SiO2 oxide catalysts can be assigned to three possible reasons: macroporous effect of 3DOM structure for improving contact between soot and catalyst, microporous effect of K-OMS-2 for adsorption of small gas molecules and interaction of K and Mn for activation of gas molecules. The catalytic activities of catalysts are comparable to or even higher than noble metal catalyst in the medium and high temperature range. For example, the T50 of K-OMS-2/SiO2-50, 328 °C, is much lower than those of Pt/Al2O3 and 3DOM Au/LaFeO3, 464 and 356 °C,respectively. Moreover, catalysts exhibited high catalytic stability. It is attributed to that the K+ ions are introduced into the microporous structure of OMS-2 and stabilized in the catalytic reaction. Meanwhile, the K+ ions play an important role in templating and stabilizing the tunneled framework of OMS-2.

  9. Carbon nanotube transistors with graphene oxide films as gate dielectrics

    Institute of Scientific and Technical Information of China (English)

    2010-01-01

    Carbon nanomaterials,including the one-dimensional(1-D) carbon nanotube(CNT) and two-dimensional(2-D) graphene,are heralded as ideal candidates for next generation nanoelectronics.An essential component for the development of advanced nanoelectronics devices is processing-compatible oxide.Here,in analogy to the widespread use of silicon dioxide(SiO2) in silicon microelectronic industry,we report the proof-of-principle use of graphite oxide(GO) as a gate dielectrics for CNT field-effect transistor(FET) via a fast and simple solution-based processing in the ambient condition.The exceptional transistor characteristics,including low operation voltage(2 V),high carrier mobility(950 cm2/V-1 s-1),and the negligible gate hysteresis,suggest a potential route to the future all-carbon nanoelectronics.

  10. Pseudo 2-transistor active pixel sensor using an n-well/gate-tied p-channel metal oxide semiconductor field eeffect transistor-type photodetector with built-in transfer gate

    Science.gov (United States)

    Seo, Sang-Ho; Seo, Min-Woong; Kong, Jae-Sung; Shin, Jang-Kyoo; Choi, Pyung

    2008-11-01

    In this paper, a pseudo 2-transistor active pixel sensor (APS) has been designed and fabricated by using an n-well/gate-tied p-channel metal oxide semiconductor field effect transistor (PMOSFET)-type photodetector with built-in transfer gate. The proposed sensor has been fabricated using a 0.35 μm 2-poly 4-metal standard complementary metal oxide semiconductor (CMOS) logic process. The pseudo 2-transistor APS consists of two NMOSFETs and one photodetector which can amplify the generated photocurrent. The area of the pseudo 2-transistor APS is 7.1 × 6.2 μm2. The sensitivity of the proposed pixel is 49 lux/(V·s). By using this pixel, a smaller pixel area and a higher level of sensitivity can be realized when compared with a conventional 3-transistor APS which uses a pn junction photodiode.

  11. Phosphorus oxide gate dielectric for black phosphorus field effect transistors

    Science.gov (United States)

    Dickerson, W.; Tayari, V.; Fakih, I.; Korinek, A.; Caporali, M.; Serrano-Ruiz, M.; Peruzzini, M.; Heun, S.; Botton, G. A.; Szkopek, T.

    2018-04-01

    The environmental stability of the layered semiconductor black phosphorus (bP) remains a challenge. Passivation of the bP surface with phosphorus oxide, POx, grown by a reactive ion etch with oxygen plasma is known to improve photoluminescence efficiency of exfoliated bP flakes. We apply phosphorus oxide passivation in the fabrication of bP field effect transistors using a gate stack consisting of a POx layer grown by reactive ion etching followed by atomic layer deposition of Al2O3. We observe room temperature top-gate mobilities of 115 cm2 V-1 s-1 in ambient conditions, which we attribute to the low defect density of the bP/POx interface.

  12. Heterogeneous Ag-TiO2-SiO2 composite materials as novel catalytic systems for selective epoxidation of cyclohexene by H2O2.

    Directory of Open Access Journals (Sweden)

    Xin Wang

    Full Text Available TiO2-SiO2 composites were synthesized using cetyl trimethyl ammonium bromide (CTAB as the structure directing template. Self-assembly hexadecyltrimethyl- ammonium bromide TiO2-SiO2/(CTAB were soaked into silver nitrate (AgNO3 aqueous solution. The Ag-TiO2-SiO2(Ag-TS composite were prepared via a precipitation of AgBr in soaking process and its decomposition at calcination stage. Structural characterization of the materials was carried out by various techniques including X-ray diffraction (XRD, scanning electron microscopy (SEM, transmission electron microscopy (TEM, N2 adsorption-desorption and ultraviolet visible spectroscopy (UV-Vis. Characterization results revealed that Ag particles were incorporated into hierarchical TiO2-SiO2 without significantly affecting the structures of the supports. Further heating-treatment at 723 K was more favorable for enhancing the stability of the Ag-TS composite. The cyclohexene oxide was the major product in the epoxidation using H2O2 as the oxidant over the Ag-TS catalysts. Besides, the optimum catalytic activity and stability of Ag-TS catalysts were obtained under operational conditions of calcined at 723 K for 2 h, reaction time of 120 min, reaction temperature of 353 K, catalyst amount of 80 mg, aqueous H2O2 (30 wt.% as oxidant and chloroform as solvent. High catalytic activity with conversion rate up to 99.2% of cyclohexene oxide could be obtainable in water-bathing. The catalyst was found to be stable and could be reused three times without significant loss of catalytic activity under the optimized reaction conditions.

  13. Catalytic combustion of trichloroethylene over TiO2-SiO2 supported catalysts

    NARCIS (Netherlands)

    Kulazynski, M.; van Ommen, J.G.; Trawczynski, J.; Walendziewski, J.

    2002-01-01

    Combustion of trichloroethylene (TCE) on Cr2O3, V2O5, Pt or Pd catalysts supported on TiO2-SiO2 as a carrier has been investigated. It was found that oxide catalysts are very active but their activity quickly diminishes due to loss of the active component, especially at higher reaction temperatures

  14. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  15. The far infrared radiation characteristics for Li2O.Al2O3.4SiO2(LAS) glass-ceramics and transition-metal oxide

    International Nuclear Information System (INIS)

    Huh, Nam Jung; Yang, Joong Sik

    1991-01-01

    The far infrared radiation characteristic for Li 2 O.Al 2 O 3 .4SiO 2 (LAS) glass, the LAS glass-ceramic and sintered transition metal oxides such as CuO, Fe 2 O 3 and Co 3 O 4 , were investigated. LAS glass and LAS glass-ceramic was higher than that of the LAS glass. Heat-treated CuO and Co 3 o 4 had radiation characteristic of high efficiency infrared radiant, and heat-treated Fe 2 O 3 had radiation characteristic that infrared emissivity decreased in higher was length above 15μm. (Author)

  16. The comparison of gamma-radiation and electrical stress influences on oxide and interface defects in power VDMOSFET

    Directory of Open Access Journals (Sweden)

    Đorić-Veljković Snežana M.

    2013-01-01

    Full Text Available The behaviour of oxide and interface defects in n-channel power vertical double-diffused metal-oxide-semiconductor field-effect transistors, firstly degraded by the gamma-irradiation and electric field and subsequently recovered and annealed, is presented. By analyzing the transfer characteristic shifts, the changes of threshold voltage and underlying changes of gate oxide and interface trap densities during the stress (recovery, annealing of investigated devices, it is shown that these two types of stress influence differently on the gate oxide and the SiO2-Si interface. [Projekat Ministarstva nauke Republike Srbije, br. OI171026

  17. Ni nanoparticles decorated onto graphene oxide with SiO2 as interlayer for high performance on histidine-rich protein separation

    Science.gov (United States)

    Yang, Xiaodan; Zhang, Min; Zheng, Jing; Li, Weizhen; Gan, Wenjun; Xu, Jingli; Hayat, Tasawar; Alharbi, Njud S.; Yang, Fan

    2018-05-01

    Sandwich-like structure of graphene oxide (GO) @SiO2@C-Ni nanosheets were prepared by combining an extended stöber method with subsequent carbonization treatment, in which polydopamine was used as reducing agent and carbon source. Firstly, the GO nanosheets were covered with SiO2 interlayer and finally coated with a outer shell of nickel ion doped polydopamine (PDA-Ni2+) with an extended stöber method. Followed by a carbonization to produce the GO@SiO2@C-Ni sheets with metallic nickel nanoparticles embedded in PDA-derived thin graphic carbon layer. Notably, silica interlayer played a vital role in the formation of such GO@SiO2@C-Ni sheets. Without the protection of SiO2, the hydrophobic graphene@C-Ni composites were obtained instead. While with silica layer as the spacer, the obtained hydrophilic GO@SiO2@C-Ni composites were not only well dispersed in the solution, but also can be adjusted in terms of the size and density of Ni nanoparticles (NPs) on surface by changing the calcination temperature or the molar ratio between dopamine and nickel salt. Furthermore, nickel nanoparticles decorated on GO@SiO2 sheets were employed to enrich His-rich proteins (BHb and BSA) via specific metal affinity force between polyhistidine groups and nickel nanoparticles.

  18. Crack-resistant Al2O3-SiO2 glasses.

    Science.gov (United States)

    Rosales-Sosa, Gustavo A; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-07

    Obtaining "hard" and "crack-resistant" glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3 • 40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  19. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    Science.gov (United States)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  20. Synthesis of Y2O3-ZrO2-SiO2 composite coatings on carbon fiber reinforced resin matrix composite by an electro-plasma process

    Science.gov (United States)

    Zhang, Yuping; Lin, Xiang; Chen, Weiwei; Cheng, Huanwu; Wang, Lu

    2016-05-01

    In the present paper the Y2O3-ZrO2-SiO2 composite coating was successfully synthesized on carbon fiber reinforced resin matrix composite by an electro-plasma process. The deposition process, microstructures and oxidation resistance of the coatings with different SiO2 concentrations were systematically investigated. A relatively dense microstructure was observed for the Y2O3-ZrO2-SiO2 composite coating with the SiO2 concentration above 5 g/L. The coating exhibited very good oxidation resistance at 1273 K with the mass loss rate as low as ∼30 wt.%, compared to 100 wt.% of the substrate. The formation of the ceramic composites was discussed in detail based on the electrochemical mechanism and the deposition dynamics in order to explain the effect of the plasma discharge. We believe that the electro-plasma process will find wide applications in preparing ceramics and coatings in industries.

  1. Characterization, modeling and physical mechanisms of different surface treatment methods at room temperature on the oxide and interfacial quality of the SiO2 film using the spectroscopic scanning capacitance microscopy

    Directory of Open Access Journals (Sweden)

    Kin Mun Wong

    Full Text Available In this article, a simple, low cost and combined surface treatment method [pre-oxidation immersion of the p-type silicon (Si substrate in hydrogen peroxide (H2O2 and post oxidation ultra-violet (UV irradiation of the silicon-dioxide (SiO2 film] at room temperature is investigated. The interface trap density at midgap [Dit(mg] of the resulting SiO2 film (denoted as sample 1A is quantified from the full width at half-maximum of the scanning capacitance microscopy (SCM differential capacitance (dC/dV characteristics by utilizing a previously validated theoretical model. The Dit(mg of sample 1A is significantly lower than the sample without any surface treatments which indicates that it is a viable technique for improving the interfacial quality of the thicker SiO2 films prepared by wet oxidation. Moreover, the proposed combined surface treatment method may possibly complement the commonly used forming gas anneal process to further improve the interfacial quality of the SiO2 films. The positive shift of the flatband voltage due to the overall oxide charges (estimated from the probe tip dc bias at the peak dC/dV spectra of sample 1A suggests the presence of negative oxide fixed charge density (Nf in the oxide. In addition, an analytical formula is derived to approximate the difference of the Nf values between the oxide samples that are immersed in H2O2 and UV irradiated from their measured SCM dC/dV spectra. Conversely, some physical mechanisms are proposed that result in the ionization of the SiO− species (which are converted from the neutral SiOH groups that originate from the pre-oxidation immersion in H2O2 and ensuing wet oxidation during the UV irradiation as well as the UV photo-injected electrons from the Si substrate (which did not interact with the SiOH groups. They constitute the source of mobile electrons which partially passivate the positively charged empty donor-like interface traps at the Si-SiO2 interface. Keywords: Dielectrics

  2. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    Science.gov (United States)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  3. Near interface traps in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors monitored by temperature dependent gate current transient measurements

    Energy Technology Data Exchange (ETDEWEB)

    Fiorenza, Patrick; La Magna, Antonino; Vivona, Marilena; Roccaforte, Fabrizio [Consiglio Nazionale delle Ricerche-Istituto per la Microelettronica e Microsistemi (CNR-IMM), Strada VIII 5, Zona Industriale 95121 Catania (Italy)

    2016-07-04

    This letter reports on the impact of gate oxide trapping states on the conduction mechanisms in SiO{sub 2}/4H-SiC metal-oxide-semiconductor field effect transistors (MOSFETs). The phenomena were studied by gate current transient measurements, performed on n-channel MOSFETs operated in “gate-controlled-diode” configuration. The measurements revealed an anomalous non-steady conduction under negative bias (V{sub G} > |20 V|) through the SiO{sub 2}/4H-SiC interface. The phenomenon was explained by the coexistence of a electron variable range hopping and a hole Fowler-Nordheim (FN) tunnelling. A semi-empirical modified FN model with a time-depended electric field is used to estimate the near interface traps in the gate oxide (N{sub trap} ∼ 2 × 10{sup 11} cm{sup −2}).

  4. Argon-plasma-controlled optical reset in the SiO2/Cu filamentary resistive memory stack

    Science.gov (United States)

    Kawashima, T.; Yew, K. S.; Zhou, Y.; Ang, D. S.; Zhang, H. Z.; Kyuno, K.

    2018-05-01

    We show that resistive switching in the SiO2/Cu stack can be modified by a brief exposure of the oxide to an Ar plasma. The set voltage of the SiO2/Cu stack is reduced by 33%, while the breakdown voltage of the SiO2/Si stack (control) is almost unchanged. Besides, the Ar plasma treatment suppresses the negative photoconductivity or optical resistance reset effect, where the electrically formed filamentary conductive path consisting of Cu-ion and oxygen-vacancy clusters is disrupted by the recombination of the oxygen vacancies with nearby light-excited oxygen ions. From the enhanced O-H peak in the Fourier-transform infrared spectrum of the plasma-treated oxide, it is proposed that the Ar plasma has created more oxygen vacancies in the surface region of the oxide. These vacancies in turn adsorb water molecules, which act as counter anions (OH-) promoting the migration of Cu cations into the oxide and forming a more complete Cu filament that is less responsive to light. The finding points to the prospect of a control over the optical resistance reset effect by a simple surface treatment step.

  5. Inorganic proton conducting electrolyte coupled oxide-based dendritic transistors for synaptic electronics.

    Science.gov (United States)

    Wan, Chang Jin; Zhu, Li Qiang; Zhou, Ju Mei; Shi, Yi; Wan, Qing

    2014-05-07

    Ionic/electronic hybrid devices with synaptic functions are considered to be the essential building blocks for neuromorphic systems and brain-inspired computing. Here, artificial synapses based on indium-zinc-oxide (IZO) transistors gated by nanogranular SiO2 proton-conducting electrolyte films are fabricated on glass substrates. Spike-timing dependent plasticity and paired-pulse facilitation are successfully mimicked in an individual bottom-gate transistor. Most importantly, dynamic logic and dendritic integration established by spatiotemporally correlated spikes are also mimicked in dendritic transistors with two in-plane gates as the presynaptic input terminals.

  6. Inhibitory Effect of Dissolved Silica on the H2O2 Decomposition by Iron(III) and Manganese(IV) Oxides: Implications for H2O2-based In Situ Chemical Oxidation

    Science.gov (United States)

    Pham, Anh Le-Tuan; Doyle, Fiona M.; Sedlak, David L.

    2011-01-01

    The decomposition of H2O2 on iron minerals can generate •OH, a strong oxidant that can transform a wide range of contaminants. This reaction is critical to In Situ Chemical Oxidation (ISCO) processes used for soil and groundwater remediation, as well as advanced oxidation processes employed in waste treatment systems. The presence of dissolved silica at concentrations comparable to those encountered in natural waters decreases the reactivity of iron minerals toward H2O2, because silica adsorbs onto the surface of iron minerals and alters catalytic sites. At circumneutral pH values, goethite, amorphous iron oxide, hematite, iron-coated sand and montmorillonite that were pre-equilibrated with 0.05 – 1.5 mM SiO2 were significantly less reactive toward H2O2 decomposition than their original counterparts, with the H2O2 loss rates inversely proportional to the SiO2 concentration. In the goethite/H2O2 system, the overall •OH yield, defined as the percentage of decomposed H2O2 producing •OH, was almost halved in the presence of 1.5 mM SiO2. Dissolved SiO2 also slows the H2O2 decomposition on manganese(IV) oxide. The presence of dissolved SiO2 results in greater persistence of H2O2 in groundwater, lower H2O2 utilization efficiency and should be considered in the design of H2O2-based treatment systems. PMID:22129132

  7. Negative charge induced degradation of PMOSFETs with BF2-implanted p+-poly gate

    International Nuclear Information System (INIS)

    Lu, C.Y.; Sung, J.M.

    1989-01-01

    A new degradation phenomenon on thin gate oxide PMOS-FETs with BF 2 implanted p + -poly gate has been demonstrated and investigated. The cause of this type of degradation is a combination of the boron penetration through the gate oxide and charge trap generation due to the presence of fluorine in the gate oxide and some other processing-induced effects. The negative charge-induced degradation other than enhanced boron diffusion has been studied in detail here. The impact of this process-sensitive p + -poly gate structure on deep submicron CMOS process integration has been discussed. (author)

  8. Electronic states of SiO2-MxOy (MxOy=P205, TiO2 and ZrO2) glasses

    Energy Technology Data Exchange (ETDEWEB)

    Kowada, Y [Hyogo Univ. of Teacher Education, Hyogo (Japan); Adachi, H [Kyoto Univ. (Japan). Faculty of Engineering; Minami, T [Univ. of Osaka Prefecture, Osaka (Japan). Faculty of Engineering

    1993-12-01

    Using the sol-gel method the surface of metal and glass substrates can be modified. For example, stainless steel sheets coated with the SiO2-ZrO2 glass films have higher resistance to corrosion and oxidation. The coating films contain high concentration of alkali ions diffusing from the glass substrates. It suggests that the sodium ions are trapped strongly within the coating films and are blocked to further diffuse to the surface. This behavior must be associated with the chemical bonding around the sodium ions in the SiO2-TiO2 and SiO2-ZrO2 films. For better understanding of the chemical bonding in the glasses, the electronic states of the SiO2-MxOy glasses were calculated by means of the DV-Xa cluster method. In this paper, the calculation method is explained, the results are discussed and the conclusion is stated. 17 refs., 6 figs.

  9. Fe3O4@SiO2@CS-TETA functionalized graphene oxide for the adsorption of methylene blue (MB) and Cu(II)

    Science.gov (United States)

    Wang, Fan; Zhang, Lijuan; Wang, Yeying; Liu, Xijian; Rohani, Sohrab; Lu, Jie

    2017-10-01

    The graphene oxide (GO) functionalized by Fe3O4@SiO2@CS-TETA nanoparticles, Fe3O4@SiO2@CS-TETA-GO, was firstly fabricated in a mild way as a novel adsorbent for the removal of Cu(II) ions and methylene blue (MB) from aqueous solutions. The magnetic composites showed a good dispersity in water and can be conveniently collected for reuse through magnetic separation due to its excellent magnetism. When the Fe3O4@SiO2@CS- TETA-GO was used as an absorbent for the absorption of MB and Cu(II), the adsorption kinetics and isotherms data well fitted the pseudo-second-order model and the Langmuir model, respectively. Under the optimized pH and initial concentration, the maximum adsorption capacity was about 529.1 mg g-1 for MB in 20 min and 324.7 mg g-1 for Cu(II) in 16 min, respectively, exhibiting a better adsorption performance than other GO-based adsorbents reported recently. More importantly, the synthesized adsorbent could be effectively regenerated and repeatedly utilized without significant capacity loss after six times cycles. All the results demonstrated that Fe3O4@SiO2@CS-TETA-GO could be used as an excellent adsorbent for the adsorption of Cu(II) and MB in many fields.

  10. The ceramic SiO2 and SiO2-TiO2 coatings on biomedical Ti6Al4VELI titanium alloy

    International Nuclear Information System (INIS)

    Surowska, B.; Walczak, M.; Bienias, J.

    2004-01-01

    The paper presents the study of intermediate SiO 2 and SiO 2 -TiO 2 sol-gel coatings and dental porcelain coatings on Ti6Al4VELI titanium alloy. Surface microstructures and wear behaviour by pin-on-disc method of the ceramic coatings were investigated. The analysis revealed: (1) a compact, homogeneous SiO 2 and SiO 2 -TiO 2 coating and (2) that intermediate coatings may provide a durable joint between metal and porcelain, and (3) that dental porcelain on SiO 2 and TiO 2 coatings shows high wear resistance. (author)

  11. Determination of phosphorus distribution in the region of a SiO2-Si interface by substoichiometric analysis

    International Nuclear Information System (INIS)

    Shigematsu, T.; Yonezawa, H.

    1994-01-01

    A simplified method for the substoichiometric analysis of phosphorus has been developed and applied to determine the concentration distribution of phosphorus in the region of a SiO 2 -Si interface in order to explain why phosphorus is lost from the ion-implanted silicon surface throughout the oxidation and oxide removal processes. It is revealed that phosphorus piles up on the SiO 2 side at the interface by the thermal oxidation of silicon surface and is removed with the oxide by wet etching and with the resulting silicon by RCA cleaning. This results in a total loss of ion-implanted phosphorus of 3.5%. (author) 11 refs.; 2 figs.; 3 tabs

  12. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  13. Preparation and characterization of amorphous SiO2 coatings deposited by mirco-arc oxidation on sintered NdFeB permanent magnets

    Science.gov (United States)

    Xu, J. L.; Xiao, Q. F.; Mei, D. D.; Zhong, Z. C.; Tong, Y. X.; Zheng, Y. F.; Li, L.

    2017-03-01

    Amorphous SiO2 coatings were prepared on sintered NdFeB magnets by micro-arc oxidation (MAO) in silicate solution. The surface and cross-sectional morphologies, element and phase composition, corrosion resistance and magnetic properties of the coatings were investigated by scanning electron microscopy (SEM), energy dispersive X-ray spectrometer (EDS), X-ray photoelectron spectroscopy (XPS), potentiodynamic polarization test and physical properties measurements system (PPMS). The results showed that the surface morphologies of the coatings exhibited the "coral reef" like structure, different from the typical MAO porous structure. With increasing the voltages, the thickness of the coatings increased from 12.72 to 19.90 μm, the content of Si element increased, while the contents of Fe, Nd and P elements decreased. The coatings were mainly composed of amorphous SiO2 and a few amorphous Fe2O3 and Nd2O3. The amorphous SiO2 coatings presented excellent thermal shock resistance, while the thermal shock resistance decreased with increasing the voltages. The corrosion resistance of the coatings increased with increasing the voltages, and it could be enhanced by one order of magnitude compared to the uncoated NdFeB magnets. The MAO coatings slightly decreased the magnetic properties of the NdFeB samples in different degrees.

  14. Functionalization of 2D macroporous silicon under the high-pressure oxidation

    Science.gov (United States)

    Karachevtseva, L.; Kartel, M.; Kladko, V.; Gudymenko, O.; Bo, Wang; Bratus, V.; Lytvynenko, O.; Onyshchenko, V.; Stronska, O.

    2018-03-01

    Addition functionalization after high-pressure oxidation of 2D macroporous silicon structures is evaluated. X-ray diffractometry indicates formation of orthorhombic SiO2 phase on macroporous silicon at oxide thickness of 800-1200 nm due to cylindrical symmetry of macropores and high thermal expansion coefficient of SiO2. Pb center concentration grows with the splitting energy of LO- and TO-phonons and SiO2 thickness in oxidized macroporous silicon structures. This increase EPR signal amplitude and GHz radiation absorption and is promising for development of high-frequency devices and electronically controlled elements.

  15. Impact of metal-ion contaminated silica particles on gate oxide integrity

    NARCIS (Netherlands)

    Rink, Ingrid; Wali, F.; Knotter, D.M.

    2009-01-01

    The impact of metal-ion contamination (present on wafer surface before oxidation) on gate oxide integrity (GOI) is well known in literature, which is not the case for clean silica particles [1, 2]. However, it is known that particles present in ultra-pure water (UPW) decrease the random yield in

  16. Self-aligned top-gate InGaZnO thin film transistors using SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Wong, Man; Kwok, Hoi Sing

    2013-12-02

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin film transistors (TFTs) utilizing SiO{sub 2}/Al{sub 2}O{sub 3} stack thin films as gate dielectric are developed in this paper. Due to high quality of the high-k Al{sub 2}O{sub 3} and good interface between active layer and gate dielectric, the resulting a-IGZO TFT exhibits good electrical performance including field-effect mobility of 9 cm{sup 2}/Vs, threshold voltage of 2.2 V, subthreshold swing of 0.2 V/decade, and on/off current ratio of 1 × 10{sup 7}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric is proposed. • The source/drain areas are hydrogen-doped by CHF{sub 3} plasma. • The devices show good electrical performance and scaling down behavior.

  17. In-Ga-Zn-oxide thin-film transistors with Sb2TeOx gate insulators fabricated by reactive sputtering using a metallic Sb2Te target

    International Nuclear Information System (INIS)

    Cheong, Woo-Seok

    2011-01-01

    Using reactive sputtering, we made transparent amorphous Sb 2 TeO x thin films from a metallic Sb 2 Te target in an oxidizing atmosphere. In-Ga-Zn-oxide thin-film transistors (IGZO TFTs) with Sb 2 TeO x gate insulators deposited at room temperature showed a large hysteresis with a counter clockwise direction, which was caused by mobile charges in the gate insulators. The problems of the mobile charges was solved by using Sb 2 TeO x films formed at 250 .deg. C. After the IGZO TFT had been annealed at 200 .deg. C for 1 hour in an O 2 ambient, the mobility of the IGZO TFT was 22.41 cm 2 /Vs, and the drain current on-off ratio was ∼10 8 .

  18. Investigation of hydrogen and chlorine at the SiO2/Si interface

    International Nuclear Information System (INIS)

    Tsong, I.S.T.; Monkowski, M.D.; Monkowski, J.R.; Miller, P.D.; Moak, C.D.; Appleton, B.R.; Wintenberg, A.L.

    1980-01-01

    Silicon oxides thermally grown in H 2 O, O 2 , HCl/O 2 and Cl 2 /O 2 ambients were analyzed, via 1 H( 19 F,αγ) 16 O nuclear reaction and SIMS, for the presence of hydrogen. In addition, those oxides grown in HCl/O 2 and Cl 2 /O 2 ambients were analyzed with SIMS for the presence of chlorine. The SIMS data show that the hydrogen levels in these oxides were below the limit of detection for nuclear reaction experiments. The 35 Cl + depth-profiles show that chlorine is enriched at the SiO 2 interface for the HCl/O 2 grown oxides while it is more evenly distributed in oxide bulk in the Cl 2 /O 2 grown samples

  19. First-principles simulations of the leakage current in metal-oxide-semiconductor structures caused by oxygen vacancies in HfO2 high-K gate dielectric

    International Nuclear Information System (INIS)

    Mao, L.F.; Wang, Z.O.

    2008-01-01

    HfO 2 high-K gate dielectric has been used as a new gate dielectric in metal-oxide-semiconductor structures. First-principles simulations are used to study the effects of oxygen vacancies on the tunneling current through the oxide. A level which is nearly 1.25 eV from the bottom of the conduction band is introduced into the bandgap due to the oxygen vacancies. The tunneling current calculations show that the tunneling currents through the gate oxide with different defect density possess the typical characteristic of stress-induced leakage current. Further analysis shows that the location of oxygen vacancies will have a marked effect on the tunneling current. The largest increase in the tunneling current caused by oxygen vacancies comes about at the middle oxide field when defects are located at the middle of the oxide. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Performance of organic field effect transistors with high-k gate oxide after application of consecutive bias stress

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sunwoo; Choi, Changhwan; Lee, Kilbock [Department of Materials Science and Engineering, Hanyang University, Seoul, 133-791 (Korea, Republic of); Cho, Joong Hwee [Department of Embedded Systems Engineering,University of Incheon, Incheon 406-722 (Korea, Republic of); Ko, Ki-Young [Korea Institute of Patent Information, Seoul, 146-8 (Korea, Republic of); Ahn, Jinho, E-mail: jhahn@hanyang.ac.kr [Department of Materials Science and Engineering, Hanyang University, Seoul, 133-791 (Korea, Republic of)

    2012-10-30

    We report the effect of consecutive electrical stress on the performance of organic field effect transistors (OFETs). Sputtered aluminum oxide (Al{sub 2}O{sub 3}) and hafnium oxide (HfO{sub 2}) were used as gate oxide layers. After the electrical stress, the threshold voltage, which strongly depends on bulk defects, was remarkably shifted to the negative direction, while the other performance characteristics of OFETs such as on-current, transconductance and mobility, which are sensitive to interface defects, were slightly decreased. This result implies that the defects in the bulk layer are significantly affected compared to the defects in the interface layer. Thus, it is important to control the defects in the pentacene bulk layer in order to maintain the good reliabilities of pentacene devices. Those defects in HfO{sub 2} gate oxide devices were larger compared to those in Al{sub 2}O{sub 3} gate oxide devices.

  1. Magnetic interactions in high-energy ball-milled NiZnFe2O4/SiO2 composites

    International Nuclear Information System (INIS)

    Pozo Lopez, G.; Silvetti, S.P.; Urreta, S.E.; Cabanillas, E.D.

    2007-01-01

    Composites Ni 0.5 Zn 0.5 Fe 2 O 4 /SiO 2 are obtained after high-energy ball milling precursor oxides, in stoichiometric proportions, for 200 h at room temperature and further isothermal annealing for 1 h at 1273 K, under air and argon atmosphere, respectively. After 200 h grinding, a complex microstructure develops with small hematite crystals mixed with SiO 2 and remanent NiO and ZnO particles, and very small NiZn ferrite clusters, reaching a mean size of ∼9 nm. The high temperature treatments remove the hematite grains from the powder and promote the growth of NiZn ferrite grains to reach mean sizes nearly ∼20 nm. For treatments in oxidizing atmospheres, the major phases are SiO 2 and NiZn ferrite, while for annealing in Ar a new phase appears, fayalite, which is paramagnetic at room temperature. The M-H loops are all well described by the sum of a ferromagnetic and a superparamagnetic-like contribution. The observed properties are interpreted considering the different magnetic phases obtained, their crystal sizes and their mutual interactions

  2. Formation and electrical characteristics of silicon dioxide layers by use of nitric acid oxidation method

    International Nuclear Information System (INIS)

    Imal, S.; Takahashi, M.; Matsuba, K.; Asuha; Ishikawa, Y.; Kobayashi, Hikaru

    2005-01-01

    SiO 2 /Si structure can be formed at low temperatures by use of nitric acid (HNO 3 ) oxidation of Si (NAOS) method. When Si wafers are immersed in ∼ 40 wt% HNO 3 solutions at 108 deg C, ∼ 1 nm SiO 2 layers are formed. The subsequent immersion in 68 wt% HNO 3 (i.e., azeotropic mixture of HNO 3 with water) at 121 deg C increases the SiO 2 thickness. The 3,5 nm-thick SiO 2 layers produced by this two-step NAOS method possess a considerably low leakage current density (e.g. 1 x 10 2 A/cmi 2 at the forward gate bias, V G , of 1.5 V), in spite of the low temperature oxidation, and further decreased (e.g., 8 x 10 4 A/cm 2 at V G = 1.5 V) by post-metallization annealing at 250 deg C in hydrogen atmosphere. In order to increase the SiO 2 thickness, a bias voltage is applied during the NAOS method. When 10 V is applied to Si with respect to a Pt counter electrode both immersed in 1 M HNO 3 solutions at 25 deg C, SiO 2 layers with 8 nm thickness can be formed for 1 h(Authors)

  3. Interface passivation and trap reduction via hydrogen fluoride for molybdenum disulfide on silicon oxide back-gate transistors

    Science.gov (United States)

    Hu, Yaoqiao; San Yip, Pak; Tang, Chak Wah; Lau, Kei May; Li, Qiang

    2018-04-01

    Layered semiconductor molybdenum disulfide (MoS2) has recently emerged as a promising material for flexible electronic and optoelectronic devices because of its finite bandgap and high degree of gate control. Here, we report a hydrogen fluoride (HF) passivation technique for improving the carrier mobility and interface quality of chemical vapor deposited monolayer MoS2 on a SiO2/Si substrate. After passivation, the fabricated MoS2 back-gate transistors demonstrate a more than double improvement in average electron mobility, a reduced gate hysteresis gap of 3 V, and a low interface trapped charge density of ˜5.8 × 1011 cm-2. The improvements are attributed to the satisfied interface dangling bonds, thus a reduction of interface trap states and trapped charges. Surface x-ray photoelectron spectroscopy analysis and first-principles simulation were performed to verify the HF passivation effect. The results here highlight the necessity of a MoS2/dielectric passivation strategy and provides a viable route for enhancing the performance of MoS2 nano-electronic devices.

  4. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  5. Positron annihilation in a metal-oxide semiconductor studied by using a pulsed monoenergetic positron beam

    Science.gov (United States)

    Uedono, A.; Wei, L.; Tanigawa, S.; Suzuki, R.; Ohgaki, H.; Mikado, T.; Ohji, Y.

    1993-12-01

    The positron annihilation in a metal-oxide semiconductor was studied by using a pulsed monoenergetic positron beam. Lifetime spectra of positrons were measured as a function of incident positron energy for a polycrystalline Si(100 nm)/SiO2(400 nm)/Si specimen. Applying a gate voltage between the polycrystalline Si film and the Si substrate, positrons implanted into the specimen were accumulated at the SiO2/Si interface. From the measurements, it was found that the annihilation probability of ortho-positronium (ortho-Ps) drastically decreased at the SiO2/Si interface. The observed inhibition of the Ps formation was attributed to an interaction between positrons and defects at the SiO2/Si interface.

  6. Effect of top gate potential on bias-stress for dual gate amorphous indium-gallium-zinc-oxide thin film transistor

    Energy Technology Data Exchange (ETDEWEB)

    Chun, Minkyu; Um, Jae Gwang; Park, Min Sang; Chowdhury, Md Delwar Hossain; Jang, Jin, E-mail: jjang@khu.ac.kr [Advanced Display Research Center and Department of Information Display, Kyung Hee University, Seoul 02447 (Korea, Republic of)

    2016-07-15

    We report the abnormal behavior of the threshold voltage (V{sub TH}) shift under positive bias Temperature stress (PBTS) and negative bias temperature stress (NBTS) at top/bottom gate in dual gate amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs). It is found that the PBTS at top gate shows negative transfer shift and NBTS shows positive transfer shift for both top and bottom gate sweep. The shift of bottom/top gate sweep is dominated by top gate bias (V{sub TG}), while bottom gate bias (V{sub BG}) is less effect than V{sub TG}. The X-ray photoelectron spectroscopy (XPS) depth profile provides the evidence of In metal diffusion to the top SiO{sub 2}/a-IGZO and also the existence of large amount of In{sup +} under positive top gate bias around top interfaces, thus negative transfer shift is observed. On the other hand, the formation of OH{sup −} at top interfaces under the stress of negative top gate bias shows negative transfer shift. The domination of V{sub TG} both on bottom/top gate sweep after PBTS/NBTS is obviously occurred due to thin active layer.

  7. Origin of the n -type and p -type conductivity of MoS 2 monolayers on a SiO 2 substrate

    KAUST Repository

    Dolui, Kapildeb

    2013-04-02

    Ab initio density functional theory calculations are performed to study the electronic properties of a MoS2 monolayer deposited over a SiO 2 substrate in the presence of interface impurities and defects. When MoS2 is placed on a defect-free substrate, the oxide plays an insignificant role since the conduction band top and the valence band minimum of MoS2 are located approximately in the middle of the SiO2 band gap. However, if Na impurities and O dangling bonds are introduced at the SiO2 surface, these lead to localized states, which modulate the conductivity of the MoS2 monolayer from n- to p-type. Our results show that the conductive properties of MoS2 deposited on SiO 2 are mainly determined by the detailed structure of the MoS 2/SiO2 interface, and suggest that doping the substrate can represent a viable strategy for engineering MoS2-based devices. © 2013 American Physical Society.

  8. Slow positron studies of hydrogen activation/passivation on SiO2/Si(100) interfaces

    International Nuclear Information System (INIS)

    Lynn, K.G.; Asoka-Kumar, P.

    1991-01-01

    The hydrogen atoms are one of the most common impurity species found in semiconductor systems owing to its large diffusivity, and are easily incorporated either in a controlled process like in ion implantation or in an uncontrolled process like the one at the fabrication stage. Hydrogen can passivate dangling bonds and dislocations in these systems and hence can be used to enhance the electrical properties. In a SiO 2 /Si system, hydrogen can passivate electronic states at the interface and can alter the fixed or mobile charges in the oxide layer. Since hydrogen is present in almost all of the environments of SiO 2 /Si wafer fabrication, the activation energy of hydrogen atoms is of paramount importance to a proper understanding of SiO 2 /Si based devices and has not been measured on the technologically most important Si(100) face. There are no direct, nondestructive methods available to observe hydrogen injection into the oxide layer and subsequent diffusion. This study uses the positrons as a ''sensitive'', nondestructive probe to observe hydrogen interaction in the oxide layer and the interface region. We also describe a new way of characterizing the changes in the density of the interface states under a low-temperature annealing using positrons. 9 refs., 6 figs

  9. Slow positron studies of hydrogen activation/passivation on SiO2/Si(100) interfaces

    Science.gov (United States)

    Lynn, K. G.; Asoka-Kumar, P.

    The hydrogen atoms are one of the most common impurity species found in semiconductor systems owing to its large diffusivity, and are easily incorporated either in a controlled process like in ion implantation or in an uncontrolled process like the one at the fabrication stage. Hydrogen can passivate dangling bonds and dislocations in these systems and hence can be used to enhance the electrical properties. In a SiO2/Si system, hydrogen can passivate electronic states at the interface and can alter the fixed or mobile charges in the oxide layer. Since hydrogen is present in almost all of the environments of SiO2/Si wafer fabrication, the activation energy of hydrogen atoms is of paramount importance to a proper understanding of SiO2/Si based devices and has not been measured on the technologically most important Si(100) face. There are no direct, nondestructive methods available to observe hydrogen injection into the oxide layer and subsequent diffusion. The positrons are used as a 'sensitive', nondestructive probe to observe hydrogen interaction in the oxide layer and the interface region. A new way is described of characterizing the changes in the density of the interface states under a low temperature annealing using positrons.

  10. Design of flexible PANI-coated CuO-TiO2-SiO2 heterostructure nanofibers with high ammonia sensing response values

    Science.gov (United States)

    Pang, Zengyuan; Nie, Qingxin; Lv, Pengfei; Yu, Jian; Huang, Fenglin; Wei, Qufu

    2017-06-01

    We report a room-temperature ammonia sensor with extra high response values and ideal flexibility, including polyaniline (PANI)-coated titanium dioxide-silicon dioxide (TiO2-SiO2) or copper oxide-titanium dioxide-silicon dioxide (CuO-TiO2-SiO2) composite nanofibers. Such flexible inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers were prepared by electrospinning, followed by calcination. Then, in situ polymerization of aniline monomers was carried out with inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers as templates. Gas sensing tests at room temperature indicated that the obtained CuO-TiO2-SiO2/PANI composite nanofibers had much higher response values to ammonia gas (ca. 45.67-100 ppm) than most of those reported before as well as the prepared TiO2-SiO2/PANI composite nanofibers here. These excellent sensing properties may be due to the P-N, P-P heterojunctions and a structure similar to field-effect transistors formed on the interfaces between PANI, TiO2, and CuO, which is p-type, n-type, and p-type semiconductor, respectively. In addition, the prepared free-standing CuO-TiO2-SiO2/PANI composite nanofiber membrane was easy to handle and possessed ideal flexibility, which is promising for potential applications in wearable sensors in the future.

  11. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  12. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  13. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  14. Comparative studies of MOS-gate/oxide-passivated AlGaAs/InGaAs pHEMTs by using ozone water oxidation technique

    International Nuclear Information System (INIS)

    Lee, Ching-Sung; Hung, Chun-Tse; Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Ho, Chiu-Sheng; Lai, Ying-Nan

    2012-01-01

    Al 0.22 Ga 0.78 As/In 0.24 Ga 0.76 As pseudomorphic high-electron-mobility transistors (pHEMTs) with metal-oxide-semiconductor (MOS)-gate structure or oxide passivation by using ozone water oxidation treatment have been comprehensively investigated. Annihilated surface states, enhanced gate insulating property and improved device gain have been achieved by the devised MOS-gate structure and oxide passivation. The present MOS-gated or oxide-passivated pHEMTs have demonstrated superior device performances, including superior breakdown, device gain, noise figure, high-frequency characteristics and power performance. Temperature-dependent device characteristics of the present designs at 300–450 K are also studied. (paper)

  15. Investigation of interface property in Al/SiO2/ n-SiC structure with thin gate oxide by illumination

    Science.gov (United States)

    Chang, P. K.; Hwu, J. G.

    2017-04-01

    The reverse tunneling current of Al/SiO2/ n-SiC structure employing thin gate oxide is introduced to examine the interface property by illumination. The gate current at negative bias decreases under blue LED illumination, yet increases under UV lamp illumination. Light-induced electrons captured by interface states may be emitted after the light sources are off, leading to the recovery of gate currents. Based on transient characteristics of gate current, the extracted trap level is close to the light energy for blue LED, indicating that electron capture induced by lighting may result in the reduction of gate current. Furthermore, bidirectional C- V measurements exhibit a positive voltage shift caused by electron trapping under blue LED illumination, while a negative voltage shift is observed under UV lamp illumination. Distinct trapping and detrapping behaviors can be observed from variations in I- V and C- V curves utilizing different light sources for 4H-SiC MOS capacitors with thin insulators.

  16. High permittivity materials for oxide gate stack in Ge-based metal oxide semiconductor capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Baldovino, Silvia [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy)

    2010-01-01

    In the effort to ultimately shrink the size of logic devices towards a post-Si era, the integration of Ge as alternative channel material for high-speed p-MOSFET devices and the concomitant coupling with high permittivity dielectrics (high-k) as gate oxides is currently a key-challenge in microelectronics. However, the Ge option still suffers from a number of unresolved drawbacks and open issues mainly related to the thermodynamic and electrical compatibility of Ge substrates with high-k gate stack. Strictly speaking, two main concerns can be emphasized. On one side is the dilemma on which chemical/physical passivation is more suitable to minimize the unavoidable presence of electrically active defects at the oxide/semiconductor interface. On the other side, overcoming the SiO{sub 2} gate stack opens the route to a number of potentially outperforming high-k oxides. Two deposition approaches were here separately adopted to investigate the high-k oxide growth on Ge substrates, the molecular beam deposition (MBD) of Gd{sub 2}O{sub 3} and the atomic layer deposition (ALD) of HfO{sub 2}. In the MBD framework epitaxial and amorphous Gd{sub 2}O{sub 3} films were grown onto GeO{sub 2}-passivated Ge substrates. In this case, Ge passivation was achieved by exploiting the Ge{sup 4+} bonding state in GeO{sub 2} ultra-thin interface layers intentionally deposited in between Ge and the high-k oxide by means of atomic oxygen exposure to Ge. The composition of the interface layer has been characterized as a function of the oxidation temperature and evidence of Ge dangling bonds at the GeO{sub 2}/Ge interface has been reported. Finally, the electrical response of MOS capacitors incorporating Gd{sub 2}O{sub 3} and GeO{sub 2}-passivated Ge substrates has been checked by capacitance-voltage measurements. On the other hand, the structural and electrical properties of HfO{sub 2} films grown by ALD on Ge by using different oxygen precursors, i.e. H{sub 2}O, Hf(O{sup t}Bu){sub 2}(mmp

  17. Hydrogen-terminated diamond vertical-type metal oxide semiconductor field-effect transistors with a trench gate

    Energy Technology Data Exchange (ETDEWEB)

    Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori; Saito, Toshiki; Shibata, Masanobu; Matsumura, Daisuke; Kudo, Takuya; Hiraiwa, Atsushi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kawarada, Hiroshi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Laboratory for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2016-07-18

    The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulation by the gate and pinch off.

  18. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  19. Preparation, characterization and catalytic behavior of hierachically porous CuO/α-Fe2O3/SiO2 composite material for CO and o-DCB oxidation

    Institute of Scientific and Technical Information of China (English)

    Xiaodong Ma; Xi Feng; Xuan He; Hongwen Guo; Lu Lü

    2011-01-01

    Hierachically porous (HP) CuO/α-Fe2O3/SiO2 composite material was fabricated by sol-gel method and multi-hydrothermal processes using HP-SiO2 as support.The resulting material was characterized by N2 adsorption-desorption,X-ray diffraction and scanning electron microscopy.The as-prepared CuO/Fe2O3/HP-SiO2 sample,with α-Fe2O3 and CuO nanocrystals,possessed a co-continuous skeleton,through-macroporous and mesoporous structure.Its catalytic behavior for CO and o-DCB oxidation was investigated.The result showed that CuO/Fe2O3/HP-SiO2 catalyst exhibited high catalytic activity for both CO and o-DCB oxidation,indicating its potential application in combined abatement of CO and chlorinated volatile organic compounds.

  20. Association behaviour of 241Am(III) on SiO2(amorphous) and SiO2(quartz) colloids

    International Nuclear Information System (INIS)

    Degueldre, C.; Wernli, B.

    1993-01-01

    SiO 2 colloids have been identified as a potential vector for enhancing radionuclide transport in granitic groundwater and in concrete pore water. The sorption behaviour of 241 Am(III) on SiO 2 colloids was studied as a function of americium concentration pH (5-12), colloid concentration, ionic strength, temperature and SiO 2 allotropic species. The Am(III) sorption mechanism on amorphous silica is different from that on quartz. For SiO 2(amorphous) solution, the variation of log K p (ml g -1 ) with pH is linear (pH=5-9) with a slope of +1 indicating a one proton exchange mechanism. The colloid concentration (ppm) affects the sorption and log K p 3.7-0.67 log [SiO 2 ] (pH = 6). K p increases insignificantly when the ionic strength decreases. It shows no significant variation, however, with the Am concentration. On amorphous silica, the Am(III) sorption is driven by proton exchange from the silanol groups. For SiO 2 (quartz), log K p is constant over a large range of quartz concentration in suspension and the variation of log K p with pH is about linear (pH = 5-12), with a slope of 0.28, indicating a more complex exchange mechanism. Reactions taking into account the interaction of positive Am(OH) w (3-w)+ species on to the negatively charged quartz surface are suggested. (author)

  1. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    Science.gov (United States)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  2. Effects of Gate Stack Structural and Process Defectivity on High-k Dielectric Dependence of NBTI Reliability in 32 nm Technology Node PMOSFETs

    Directory of Open Access Journals (Sweden)

    H. Hussin

    2014-01-01

    Full Text Available We present a simulation study on negative bias temperature instability (NBTI induced hole trapping in E′ center defects, which leads to depassivation of interface trap precursor in different geometrical structures of high-k PMOSFET gate stacks using the two-stage NBTI model. The resulting degradation is characterized based on the time evolution of the interface and hole trap densities, as well as the resulting threshold voltage shift. By varying the physical thicknesses of the interface silicon dioxide (SiO2 and hafnium oxide (HfO2 layers, we investigate how the variation in thickness affects hole trapping/detrapping at different stress temperatures. The results suggest that the degradations are highly dependent on the physical gate stack parameters for a given stress voltage and temperature. The degradation is more pronounced by 5% when the thicknesses of HfO2 are increased but is reduced by 11% when the SiO2 interface layer thickness is increased during lower stress voltage. However, at higher stress voltage, greater degradation is observed for a thicker SiO2 interface layer. In addition, the existence of different stress temperatures at which the degradation behavior differs implies that the hole trapping/detrapping event is thermally activated.

  3. Degradation of ultra-thin gate oxide LDD NMOSFET under GIDL stress

    International Nuclear Information System (INIS)

    Hu Shigang; Hao Yue; Cao Yanrong; Ma Xiaohua; Wu Xiaofeng; Chen Chi; Zhou Qingjun

    2009-01-01

    The degradation of device under GIDL (gate-induced drain leakage current) stress has been studied using LDD NMOSFETs with 1.4 nm gate oxides. Experimental result shows that the degradation of device parameters depends more strongly on V d than on V g . The characteristics of the GIDL current are used to analyze the damage generated during the stress. It is clearly found that the change of GIDL current before and after stress can be divided into two stages. The trapping of holes in the oxide is dominant in the first stage, but that of electrons in the oxide is dominant in the second stage. It is due to the common effects of edge direct tunneling and band-to-band tunneling. SILC (stress induced leakage current) in the NMOSFET decreases with increasing stress time under GIDL stress. The degradation characteristic of SILC also shows saturating time dependence. SILC is strongly dependent on the measured gate voltage. The higher the measured gate voltage, the less serious the degradation of the gate current. A likely mechanism is presented to explain the origin of SILC during GIDL stress.

  4. Positron mobility in thermally grown SiO2 measured by Doppler broadening technique

    International Nuclear Information System (INIS)

    Kong, Y.; Leung, T.C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K.G.

    1991-01-01

    The positron mobility in thermally grown SiO 2 is deduced from Doppler broadening lineshape data on a metal-oxide-semiconductor sample for positrons implanted into the oxide layer. The fitted mobility is ∼13(10)x10 -3 cm 2 /s V. This value is between that of the electron and hole mobilities in the same system and is two orders of magnitude smaller than the previous estimate from positron measurements

  5. Solvothermal synthesis of gallium-indium-zinc-oxide nanoparticles for electrolyte-gated transistors.

    Science.gov (United States)

    Santos, Lídia; Nunes, Daniela; Calmeiro, Tomás; Branquinho, Rita; Salgueiro, Daniela; Barquinha, Pedro; Pereira, Luís; Martins, Rodrigo; Fortunato, Elvira

    2015-01-14

    Solution-processed field-effect transistors are strategic building blocks when considering low-cost sustainable flexible electronics. Nevertheless, some challenges (e.g., processing temperature, reliability, reproducibility in large areas, and cost effectiveness) are requirements that must be surpassed in order to achieve high-performance transistors. The present work reports electrolyte-gated transistors using as channel layer gallium-indium-zinc-oxide nanoparticles produced by solvothermal synthesis combined with a solid-state electrolyte based on aqueous dispersions of vinyl acetate stabilized with cellulose derivatives, acrylic acid ester in styrene and lithium perchlorate. The devices fabricated using this approach display a ION/IOFF up to 1 × 10(6), threshold voltage (VTh) of 0.3-1.9 V, and mobility up to 1 cm(2)/(V s), as a function of gallium-indium-zinc-oxide ink formulation and two different annealing temperatures. These results validates the usage of electrolyte-gated transistors as a viable and promising alternative for nanoparticle based semiconductor devices as the electrolyte improves the interface and promotes a more efficient step coverage of the channel layer, reducing the operating voltage when compared with conventional dielectrics gating. Moreover, it is shown that by controlling the applied gate potential, the operation mechanism of the electrolyte-gated transistors can be modified from electric double layer to electrochemical doping.

  6. Silicon dioxide with a silicon interfacial layer as an insulating gate for highly stable indium phosphide metal-insulator-semiconductor field effect transistors

    Science.gov (United States)

    Kapoor, V. J.; Shokrani, M.

    1991-01-01

    A novel gate insulator consisting of silicon dioxide (SiO2) with a thin silicon (Si) interfacial layer has been investigated for high-power microwave indium phosphide (InP) metal-insulator-semiconductor field effect transistors (MISFETs). The role of the silicon interfacial layer on the chemical nature of the SiO2/Si/InP interface was studied by high-resolution X-ray photoelectron spectroscopy. The results indicated that the silicon interfacial layer reacted with the native oxide at the InP surface, thus producing silicon dioxide, while reducing the native oxide which has been shown to be responsible for the instabilities in InP MISFETs. While a 1.2-V hysteresis was present in the capacitance-voltage (C-V) curve of the MIS capacitors with silicon dioxide, less than 0.1 V hysteresis was observed in the C-V curve of the capacitors with the silicon interfacial layer incorporated in the insulator. InP MISFETs fabricated with the silicon dioxide in combination with the silicon interfacial layer exhibited excellent stability with drain current drift of less than 3 percent in 10,000 sec, as compared to 15-18 percent drift in 10,000 sec for devices without the silicon interfacial layer. High-power microwave InP MISFETs with Si/SiO2 gate insulators resulted in an output power density of 1.75 W/mm gate width at 9.7 GHz, with an associated power gain of 2.5 dB and 24 percent power added efficiency.

  7. Highly stable piezo-immunoglobulin-biosensing of a SiO2/ZnO nanogenerator as a self-powered/active biosensor arising from the field effect influenced piezoelectric screening effect.

    Science.gov (United States)

    Zhao, Yayu; Fu, Yongming; Wang, Penglei; Xing, Lili; Xue, Xinyu

    2015-02-07

    Highly stable piezo-immunoglobulin-biosensing has been realized from a SiO2/ZnO nanowire (NW) nanogenerator (NG) as a self-powered/active biosensor. The piezoelectric output generated by the SiO2/ZnO NW NG can act not only as a power source for driving the device, but also as a sensing signal for detecting immunoglobulin G (IgG). The stability of the device is very high, and the relative standard deviation (RSD) ranges from 1.20% to 4.20%. The limit of detection (LOD) of IgG on the device can reach 5.7 ng mL(-1). The response of the device is in a linear relationship with IgG concentration. The biosensing performance of SiO2/ZnO NWs is much higher than that of bare ZnO NWs. A SiO2 layer uniformly coated on the surface of the ZnO NW acts as the gate insulation layer, which increases mechanical robustness and protects it from the electrical leakages and short circuits. The IgG biomolecules modified on the surface of the SiO2/ZnO NW act as a gate potential, and the field effect can influence the surface electron density of ZnO NWs, which varies the screening effect of free-carriers on the piezoelectric output. The present results demonstrate a feasible approach for a highly stable self-powered/active biosensor.

  8. Do SiO 2 and carbon-doped SiO 2 nanoparticles melt? Insights from QM/MD simulations and ramifications regarding carbon nanotube growth

    Science.gov (United States)

    Page, Alister J.; Chandrakumar, K. R. S.; Irle, Stephan; Morokuma, Keiji

    2011-05-01

    Quantum chemical molecular dynamics (QM/MD) simulations of pristine and carbon-doped SiO 2 nanoparticles have been performed between 1000 and 3000 K. At temperatures above 1600 K, pristine nanoparticle SiO 2 decomposes rapidly, primarily forming SiO. Similarly, carbon-doped nanoparticle SiO 2 decomposes at temperatures above 2000 K, primarily forming SiO and CO. Analysis of the physical states of these pristine and carbon-doped SiO 2 nanoparticles indicate that they remain in the solid phase throughout decomposition. This process is therefore one of sublimation, as the liquid phase is never entered. Ramifications of these observations with respect to presently debated mechanisms of carbon nanotube growth on SiO 2 nanoparticles will be discussed.

  9. Pd/Nb2O5/SiO2 catalyst for the direct hydrodeoxygenation of biomass-related compounds to liquid alkanes under mild conditions.

    Science.gov (United States)

    Shao, Yi; Xia, Qineng; Liu, Xiaohui; Lu, Guanzhong; Wang, Yanqin

    2015-05-22

    A simple Pd-loaded Nb2 O5 /SiO2 catalyst was prepared for the hydrodeoxygenation of biomass-related compounds to alkanes under mild conditions. Niobium oxide dispersed in silica (Nb2 O5 /SiO2 ) as the support was prepared by the sol-gel method and characterized by various techniques, including N2 adsorption, XRD, NH3 temperature-programmed desorption (TPD), TEM, and energy-dispersive X-ray spectroscopy (EDAX) atomic mapping. The characterization results showed that the niobium oxide species were amorphous and well dispersed in silica. Compared to commercial Nb2 O5 , Nb2 O5 /SiO2 has significantly more active niobium oxide species exposed on the surface. Under mild conditions (170 °C, 2.5 MPa), Pd/10 %Nb2 O5 /SiO2 was effective for the hydrodeoxygenation reactions of 4-(2-furyl)-3-buten-2-one (aldol adduct of furfural with acetone), palmitic acid, tristearin, and diphenyl ether (model compounds of microalgae oils, vegetable oils, and lignin), which gave high yields (>94 %) of alkanes with little CC bond cleavage. More importantly, owing to the significant promotion effect of NbOx species on CO bond cleavage and the mild reaction conditions, the CC cleavage was considerably restrained, and the catalyst showed an excellent activity and stability for the hydrodeoxygenation of palmitic acid with almost no decrease in hexadecane yield (94-95 %) in a 150 h time-on-stream test. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Preliminary study in development of glass-ceramic based on SiO2-LiO2 system, starting of different SiO2 starting powders

    International Nuclear Information System (INIS)

    Daguano, J.K.M.F.; Santos, F.A.; Santos, C.; Marton, L.F.M.; Conte, R.A.; Rodrigues Junior, D.; Melo, F.C.L.

    2009-01-01

    In this work, lithium disilicate glass-ceramics were developed starting of the rice ash- SiO 2 and Li 2 CO 3 powders. The results were compared with glass ceramics based on the lithium disilicate obtained by commercial SiO 2 powders. Glass were melted at 1580 deg C, and annealed at 850 deg C. X-Ray diffraction and scanning electron microscopy were used for characterization of the materials, and hardness and fracture toughness were evaluated using Vickers indentation method. Glasses with amorphous structure were obtained in both materials. After annealing, 'rice-ash' samples presented Li 2 SiO 3 and residual SiO 2 as crystalline phases. On the other side, commercial SiO 2 - Samples presented only Li 2 Si 2 O 5 as crystalline phases and the better results of hardness and fracture toughness. (author)

  11. Silicon (100)/SiO2 by XPS

    Energy Technology Data Exchange (ETDEWEB)

    Jensen, David S.; Kanyal, Supriya S.; Madaan, Nitesh; Vail, Michael A.; Dadson, Andrew; Engelhard, Mark H.; Linford, Matthew R.

    2013-09-25

    Silicon (100) wafers are ubiquitous in microfabrication and, accordingly, their surface characteristics are important. Herein, we report the analysis of Si (100) via X-ray photoelectron spectroscopy (XPS) using monochromatic Al K radiation. Survey scans show that the material is primarily silicon and oxygen, and the Si 2p region shows two peaks that correspond to elemental silicon and silicon dioxide. Using these peaks the thickness of the native oxide (SiO2) was estimated using the equation of Strohmeier.1 The oxygen peak is symmetric. The material shows small amounts of carbon, fluorine, and nitrogen contamination. These silicon wafers are used as the base material for subsequent growth of templated carbon nanotubes.

  12. Comparison of electron transmittances and tunneling currents in an anisotropic TiNx/HfO2/SiO2/p-Si(100) metal-oxide-semiconductor (MOS) capacitor calculated using exponential- and Airy-wavefunction approaches and a transfer matrix method

    International Nuclear Information System (INIS)

    Noor, Fatimah A.; Abdullah, Mikrajuddin; Sukirno; Khairurrijal

    2010-01-01

    Analytical expressions of electron transmittance and tunneling current in an anisotropic TiN x /HfO 2 /SiO 2 /p-Si(100) metal-oxide-semiconductor (MOS) capacitor were derived by considering the coupling of transverse and longitudinal energies of an electron. Exponential and Airy wavefunctions were utilized to obtain the electron transmittance and the electron tunneling current. A transfer matrix method, as a numerical approach, was used as a benchmark to assess the analytical approaches. It was found that there is a similarity in the transmittances calculated among exponential- and Airy-wavefunction approaches and the TMM at low electron energies. However, for high energies, only the transmittance calculated by using the Airy-wavefunction approach is the same as that evaluated by the TMM. It was also found that only the tunneling currents calculated by using the Airy-wavefunction approach are the same as those obtained under the TMM for all range of oxide voltages. Therefore, a better analytical description for the tunneling phenomenon in the MOS capacitor is given by the Airy-wavefunction approach. Moreover, the tunneling current density decreases as the titanium concentration of the TiN x metal gate increases because the electron effective mass of TiN x decreases with increasing nitrogen concentration. In addition, the mass anisotropy cannot be neglected because the tunneling currents obtained under the isotropic and anisotropic masses are very different. (semiconductor devices)

  13. Electrical and physical characterizations of the effects of oxynitridation and wet oxidation at the interface of SiO2/4H-SiC(0001) and (000\\bar{1})

    Science.gov (United States)

    Shiomi, Hiromu; Kitai, Hidenori; Tsujimura, Masatoshi; Kiuchi, Yuji; Nakata, Daisuke; Ono, Shuichi; Kojima, Kazutoshi; Fukuda, Kenji; Sakamoto, Kunihiro; Yamasaki, Kimiyohi; Okumura, Hajime

    2016-04-01

    The effects of oxynitridation and wet oxidation at the interface of SiO2/4H-SiC(0001) and (000\\bar{1}) were investigated using both electrical and physical characterization methods. Hall measurements and split capacitance-voltage (C-V) measurements revealed that the difference in field-effect mobility between wet oxide and dry oxynitride interfaces was mainly attributed to the ratio of the mobile electron density to the total induced electron density. The surface states close to the conduction band edge causing a significant trapping of inversion carriers were also evaluated. High-resolution Rutherford backscattering spectroscopy (HR-RBS) analysis and high-resolution elastic recoil detection analysis (HR-ERDA) were employed to show the nanometer-scale compositional profile of the SiC-MOS interfaces for the first time. These analyses, together with cathode luminescence (CL) spectroscopy and transmission electron microscopy (TEM), suggested that the deviations of stoichiometry and roughness at the interface defined the effects of oxynitridation and wet oxidation at the interface of SiO2/4H-SiC(0001) and (000\\bar{1}).

  14. Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Lim, Taekyung; Kim, Dongchool; Ju, Sanghyun

    2013-01-01

    Deposition of high-quality dielectric on a graphene channel is an essential technology to overcome structural constraints for the development of nano-electronic devices. In this study, we investigated a method for directly depositing aluminum oxide (Al 2 O 3 ) on a graphene channel through nitrogen plasma treatment. The deposited Al 2 O 3 thin film on graphene demonstrated excellent dielectric properties with negligible charge trapping and de-trapping in the gate insulator. A top-gate-structural graphene transistor was fabricated using Al 2 O 3 as the gate dielectric with nitrogen plasma treatment on graphene channel region, and exhibited p-type transistor characteristics

  15. Preparation and Characterization of Fluorescent SiO2 Microspheres

    Science.gov (United States)

    Xu, Cui; Zhang, Hao; Guan, Ruifang

    2018-01-01

    Fluorescent compound without typical fluorophores was synthesized with citric acid (CA) and aminopropyltriethoxysilane (APTS) firstly, and then it was grafted to the surface of the prepared SiO2 microspheres by chemical reaction. The fluorescent SiO2 microspheres with good fluorescent properties were obtained by optimizing the reaction conditions. And the morphology and structure of the fluorescent SiO2 microspheres have been characterized by scanning electron microscopy (SEM) and fourier transform infrared (FTIR) spectroscopy. The results showed that the preparation of fluorescent SiO2 microspheres have good monodispersity and narrow particle size distribution. Moreover, the fluorescent SiO2 microspheres can be applied to detect Fe3+ in aqueous solution, prepare fluorescent SiO2 rubber, and have potential to be applied in the fluorescent labeling and fingerprint appearing technique fields.

  16. Photoluminescence analysis of Ce3+:Zn2SiO4 & Li++ Ce3+:Zn2SiO4: phosphors by a sol-gel method

    Science.gov (United States)

    Babu, B. Chandra; Vandana, C. Sai; Guravamma, J.; Rudramadevi, B. Hemalatha; Buddhudu, S.

    2015-06-01

    Here, we report on the development and photoluminescence analysis of Zn2SiO4, Ce3+:Zn2SiO4 & Li+ + Ce3+: Zn2SiO4 novel powder phosphors prepared by a sol-gel technique. The total amount of Ce3+ ions was kept constant in this experiment at 0.05 mol% total doping. The excitation and emission spectra of undoped (Zn2SiO4) and Ce3+ doped Zn2SiO4 and 0.05 mol% Li+ co-doped samples have been investigated. Cerium doped Zn2SiO4 powder phosphors had broad blue emission corresponding to the 2D3/22FJ transition at 443nm. Stable green-yellow-red emission has been observed from Zn2SiO4 host matrix and also we have been observed the enhanced luminescence of Li+ co-doped Zn2SiO4:Ce3+. Excitation and emission spectra of these blue luminescent phosphors have been analyzed in evaluating their potential as luminescent screen coating phosphors.

  17. Analyzing nitrogen concentration using carrier illumination (CI) technology for DPN ultra-thin gate oxide

    International Nuclear Information System (INIS)

    Li, W.S.; Wu, Bill; Fan, Aki; Kuo, C.W.; Segovia, M.; Kek, H.A.

    2005-01-01

    Nitrogen concentration in the gate oxide plays a key role for 90 nm and below ULSI technology. Techniques like secondary ionization mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS) are commonly used for understanding N concentration. This paper describes the application of the carrier illuminationTM (CI) technique to measure the nitrogen concentration in ultra-thin gate oxides. A set of ultra-thin gate oxide wafers with different DPN (decoupled plasma nitridation) treatment conditions were measured using the CI technique. The CI signal has excellent correlation with the N concentration as measured by XPS

  18. Surface Preparation and Deposited Gate Oxides for Gallium Nitride Based Metal Oxide Semiconductor Devices

    Directory of Open Access Journals (Sweden)

    Paul C. McIntyre

    2012-07-01

    Full Text Available The literature on polar Gallium Nitride (GaN surfaces, surface treatments and gate dielectrics relevant to metal oxide semiconductor devices is reviewed. The significance of the GaN growth technique and growth parameters on the properties of GaN epilayers, the ability to modify GaN surface properties using in situ and ex situ processes and progress on the understanding and performance of GaN metal oxide semiconductor (MOS devices are presented and discussed. Although a reasonably consistent picture is emerging from focused studies on issues covered in each of these topics, future research can achieve a better understanding of the critical oxide-semiconductor interface by probing the connections between these topics. The challenges in analyzing defect concentrations and energies in GaN MOS gate stacks are discussed. Promising gate dielectric deposition techniques such as atomic layer deposition, which is already accepted by the semiconductor industry for silicon CMOS device fabrication, coupled with more advanced physical and electrical characterization methods will likely accelerate the pace of learning required to develop future GaN-based MOS technology.

  19. The effects of gate oxide thickness on radiation damage in MOS system

    International Nuclear Information System (INIS)

    Zhu Hui; Yan Rongliang; Wang Yu; He Jinming

    1988-01-01

    The dependences of the flatband voltage shift (ΔV FB ) and the threshold voltage shift (ΔV TH ) in MOS system on the oxide thickness (T ox ) and on total irradiated dose (D) of electron-beam and 60 Co γ-ray have been studied. It has been found that ΔV FB ∝ T ox 3 , with +10V of gate bias during irradiation for n-Si substrate MOS capacitors; ΔV TH ∝ T ox 3 D 2/3 , with 'on' gate bias during irradiation for n- and P-channel MOS transistors; ΔV TP ∝ T ox 2 D 2/3 , with 'off' gate bias during irradiation for P-channel MOS transistors. These results are explained by Viswanathan model. According to ∼T ox 3 dependence, the optimization of radiation hardening process for MOS system is also simply discussed

  20. N-channel thin-film transistors based on 1,4,5,8-naphthalene tetracarboxylic dianhydride with ultrathin polymer gate buffer layer

    International Nuclear Information System (INIS)

    Tanida, Shinji; Noda, Kei; Kawabata, Hiroshi; Matsushige, Kazumi

    2009-01-01

    N-channel operation of thin-film transistors based on 1,4,5,8-naphthalene tetracarboxylic dianhydride (NTCDA) with a 9-nm-thick poly(methyl methacrylate) (PMMA) gate buffer layer was examined. The uniform coverage of the ultrathin PMMA layer on an SiO 2 gate insulator, verified by X-ray reflectivity measurement, caused the increase of electron field-effect mobility because of the suppression of electron traps existing on the SiO 2 surface. In addition, air stability for n-channel operation of the NTCDA transistor was also improved by the PMMA layer which possibly prevented the adsorption of ambient water molecules onto the SiO 2 surface.

  1. Compositional and optical properties of SiO x films and (SiO x /SiO y ) junctions deposited by HFCVD

    Science.gov (United States)

    2014-01-01

    In this work, non-stoichiometric silicon oxide (SiO x ) films and (SiO x /SiO y ) junctions, as-grown and after further annealing, are characterized by different techniques. The SiO x films and (SiO x /SiO y ) junctions are obtained by hot filament chemical vapor deposition technique in the range of temperatures from 900°C to 1,150°C. Transmittance spectra of the SiO x films showed a wavelength shift of the absorption edge thus indicating an increase in the optical energy band gap, when the growth temperature decreases; a similar behavior is observed in the (SiO x /SiO y ) structures, which in turn indicates a decrease in the Si excess, as Fourier transform infrared spectroscopy (FTIR) reveals, so that, the film and junction composition changes with the growth temperature. The analysis of the photoluminescence (PL) results using the quantum confinement model suggests the presence of silicon nanocrystal (Si-nc) embedded in a SiO x matrix. For the case of the as-grown SiO x films, the absorption and emission properties are correlated with quantum effects in Si-nc and defects. For the case of the as-grown (SiO x /SiO y ) junctions, only the emission mechanism related to some kinds of defects was considered, but silicon nanocrystal embedded in a SiO x matrix is present. After thermal annealing, a phase separation into Si and SiO2 occurs, as the FTIR spectra illustrates, which has repercussions in the absorption and emission properties of the films and junctions, as shown by the change in the A and B band positions on the PL spectra. These results lead to good possibilities for proposed novel applications in optoelectronic devices. PACS 61.05.-a; 68.37.Og; 61.05.cp; 78.55.-m; 68.37.Ps; 81.15.Gh PMID:25342935

  2. Structural aspects of B2O3-substituted (PbO)0.5(SiO2)0.5 glasses

    International Nuclear Information System (INIS)

    Sudarsan, V.; Kulshreshtha, S.K.; Shrikhande, V.K.; Kothiyal, G.P.

    2002-01-01

    Lead borosilicate glasses having general formulae (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x with 0.0≤x≤0.4 and (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y with 0.0≤y≤0.5 have been prepared by a conventional melt-quench method and characterized by 29 Si, 11 B magic angle spinning (MAS) NMR techniques and infrared spectroscopy, as regards their structural features. From 29 Si NMR results, it has been inferred that with increasing concentration of boron oxide, (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 )x glasses exhibit a systematic increase in the number of Q 4 structural units of Si at the expense of Q 2 structural units, along with the formation of Si-O-B linkages. On the other hand, for (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y glasses, there is no direct interaction between SiO 2 and B 2 O 3 in the glass network, as revealed by the 29 Si MAS NMR studies. Boron exists in both trigonal and tetrahedral configurations for these two series of glasses and for the (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y series of glasses; the relative concentration of these two structural units remains almost constant with increasing B 2 O 3 concentration. In contrast, for (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x glasses, there is a slight increase in the number of BO 3 structural units above x = 0.2, as there is a competition between SiO 2 and B 2 O 3 for interaction with Pb 2+ , thereby leading to the formation of BO 3 structural units. For both series of glasses, the thermal expansion coefficient is found to decrease with increasing B 2 O 3 concentration, the effect being more pronounced for the (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x series of glasses due to the increased concentration of Q 4 structural units of silicon and better cross-linking as a result of the formation of Si-O-B-type linkages. (author)

  3. Influence of gate recess on the electronic characteristics of β-Ga2O3 MOSFETs

    Science.gov (United States)

    Lv, Yuanjie; Mo, Jianghui; Song, Xubo; He, Zezhao; Wang, Yuangang; Tan, Xin; Zhou, Xingye; Gu, Guodong; Guo, Hongyu; Feng, Zhihong

    2018-05-01

    Gallium oxide (Ga2O3) metal-oxide-semiconductor field-effect transistors (MOSFETs) were fabricated with gate recess depths of 110 nm and 220 nm, respectively. The gate recess was formed by dry plasma etching with Cr metal as the mask. The fabricated devices with a 25-nm HfO2 gate dielectric both showed a low off-state drain current of about 1.8 × 10-10 A/mm. The effects of recess depth on the electronic characteristics of Ga2O3 MOSFETs were investigated. Upon increasing the recess depth from 110 nm to 220 nm, the saturated drain current decreased from 20.7 mA/mm to 2.6 mA/mm, while the threshold voltage moved increased to +3 V. Moreover, the breakdown voltage increased from 122 V to 190 V. This is mainly because the inverted-trapezoidal gate played the role of a gate-field plate, which suppressed the peak electric field close to the gate.

  4. Gel electrolytes based on poly(acrylonitrile)/sulpholane with hybrid TiO2/SiO2 filler for advanced lithium polymer batteries

    International Nuclear Information System (INIS)

    Kurc, Beata

    2014-01-01

    Highlights: • Paper describes properties of gel electrolyte based on PAN with TMS and TiO 2 -SiO 2 . • The TiO 2 -SiO 2 oxide composite was precipitated in the emulsion system and used as the fillers. • The capacity of the graphite anode depends on the current rate and the amount of TiO 2 -SiO 2 . • For PE3 electrolyte was obtained practical capacity more than 90% of the theoretical capacity. - Abstract: This paper describes the synthesis and properties of a new type of ceramic fillers for composite polymer gel electrolytes. Hybrid TiO 2 -SiO 2 ceramic powders have been obtained by co-precipitation from titanium(IV) sulfate solution using sodium silicate as the precipitating agent. The resulting submicron-size powders have been applied as fillers for composite polymer gel electrolytes for Li-ion batteries based on polyacrylonitrile (PAN) membranes. The powders and gel electrolytes have been examined structurally and electrochemically, showing favorable properties in terms of electrolyte uptake and electrochemical characteristics in Li-ion cells

  5. Quantitative analysis of crystalline and remaining glass phases in CaO-B2O3-SiO2 ternary system glass ceramics

    International Nuclear Information System (INIS)

    He Ming; Wu Mengqiang; Zhang Shuren; Zhou Xiaohua; Zhang Ting; Chen Song

    2010-01-01

    Research highlights: → As for CBS ternary system glass ceramics, due to the complex phase compositions, many methods could be difficult to determine quantitatively the absolute amounts of crystalline and remaining oxides. In this study, an available method based on the Rietveld method was used to quantitatively analyze the relative weight fraction and densities of crystalline phases. These above data are used to obtain a table of both relative weight fraction of crystalline phases and densities of all phases including CBS LTCC. Using volume additivity rule, it is possible to analysis quantitatively the absolute weight fraction of crystalline phases and also the oxides molar content in the remaining glass. - Abstract: Based on Rietveld method of X-ray techniques and volume additivity rule, a new method was developed to quantitatively analyze the phase composition of CaO-B 2 O 3 -SiO 2 ternary system glass ceramics. Lattice parameters, densities and relative weight fractions of crystalline phases in CaO-B 2 O 3 -SiO 2 ternary system were obtained by X-ray diffraction (XRD) refinement. According to the relative weight fraction of crystalline phases and densities of various components, the volume additivity rule was revealed by calculating the absolute weight fraction of crystalline phases of CaO-B 2 O 3 -SiO 2 glass ceramics. In addition, molar contents of the oxides in the remaining glass can also be determined by this method. Comparing this method with internal standard method, it is found that the maximum deviations of the crystallinity and the absolute weight fraction of crystalline phases are less than 2.6% and 2.9%, respectively. As a result, quantitative evaluation of CaO-B 2 O 3 -SiO 2 ternary system glass ceramics can be achieved using this method.

  6. Annealing characteristics of SiO2-Si structures after incoherent light pulse processing

    International Nuclear Information System (INIS)

    Sieber, N.; Klabes, R.; Voelskow, M.; Fenske, F.

    1982-01-01

    The behaviour of oxide charges and interface charges in boron implanted and non-implanted SiO 2 -Si structures as well as the electrical activation of the dopants by the action of incoherent light pulses was studied. Depth profiles of electrically active boron ions are presented for different annealing conditions as measured by the pulsed C-V method. It can be concluded that exposure of MOS structures to intense radiation of flash lamps does not increase the fixed charge and the fast state density at the SiO 2 -Si interface if optimal annealing conditions (energy densities) are employed. Low dose boron implanted silicon can be electrically activated without diffusion or segregation of dopants

  7. Paired-pulse facilitation achieved in protonic/electronic hybrid indium gallium zinc oxide synaptic transistors

    Directory of Open Access Journals (Sweden)

    Li Qiang Guo

    2015-08-01

    Full Text Available Neuromorphic devices with paired pulse facilitation emulating that of biological synapses are the key to develop artificial neural networks. Here, phosphorus-doped nanogranular SiO2 electrolyte is used as gate dielectric for protonic/electronic hybrid indium gallium zinc oxide (IGZO synaptic transistor. In such synaptic transistors, protons within the SiO2 electrolyte are deemed as neurotransmitters of biological synapses. Paired-pulse facilitation (PPF behaviors for the analogous information were mimicked. The temperature dependent PPF behaviors were also investigated systematically. The results indicate that the protonic/electronic hybrid IGZO synaptic transistors would be promising candidates for inorganic synapses in artificial neural network applications.

  8. Electrical and structural properties of CaF sub 2 films

    CERN Document Server

    Kim, D Y; Yi, J S

    1999-01-01

    Fluoride films have many practical applications such as gate insulators for thin-film transistors(TFTs), anti-reflection coatings, and optical waveguides. We have investigated fluoride films as gate insulators for TFT applications. Most of gate oxide films of TFTs, like SiO sub 2 , Ta sub 2 O sub 5 , Al sub 2 O sub 3 , and SiO sub x , exhibited problems with the trap charge density, lattice mismatch, and interface states, As a way of circumventing these problems in conventional gate insulators, we investigated CaF sub 2 which has a low interface trap charge density and lattice constant similar to that of the Si surface. We were able to achieve almost epitaxial CaF sub 2 film growth in the (200) plane on a (100) p-type Si substrate. Investigations of the structural properties of CaF sub 2 films for various substrate temperatures resulted in the best lattice mismatch of 0.7 % and an average surface roughness of 8.4 A. The C-V results for the Metal-Insulator-Semiconductor (MIS) structure of the CaF sub 2 films s...

  9. Location of trapped charge in aluminum-implanted SiO2

    International Nuclear Information System (INIS)

    DiMaria, D.J.; Young, D.R.; Hunter, W.R.; Serrano, C.M.

    1978-01-01

    The position of the centroid of electrons trapped on sites resulting from aluminum implantation into SiO 2 is measured by using the photo I-V technique for energies from 15 to 40 keV, oxide thicknesses from 49 to 140 nm, and post-implant annealing temperature from 600 to 1050 0 C in N 2 for 30 min. The centroid of the trapped electrons is found to be identical to that of the implanted aluminum from SIMS measurements, regardless of annealing temperature from 600 to 1050 0 C, and located closer (by less than 9 nm) to the Al--SiO 2 interface than predicted from the Lindhard-Scharff-Schott (LSS) calculations of Gibbons, Johnson, and Mylroie. Comparison of centroids determined from photo I-V and SIMS measurements as a function of SiO 2 thickness also implies that the distributions of the ions and negative trapped charge are the same. The trapping behavior of these sites is discussed in the accompanying paper by Young et al

  10. Capacitance-voltage characterization of fully silicided gated MOS capacitor

    International Nuclear Information System (INIS)

    Wang Baomin; Ru Guoping; Jiang Yulong; Qu Xinping; Li Bingzong; Liu Ran

    2009-01-01

    This paper investigates the capacitance-voltage (C-V) measurement on fully silicided (FUSI) gated metal-oxide-semiconductor (MOS) capacitors and the applicability of MOS capacitor models. When the oxide leakage current of an MOS capacitor is large, two-element parallel or series model cannot be used to obtain its real C-V characteristic. A three-element model simultaneously consisting of parallel conductance and series resistance or a four-element model with further consideration of a series inductance should be used. We employed the three-element and the four-element models with the help of two-frequency technique to measure the Ni FUSI gated MOS capacitors. The results indicate that the capacitance of the MOS capacitors extracted by the three-element model still shows some frequency dispersion, while that extracted by the four-element model is close to the real capacitance, showing little frequency dispersion. The obtained capacitance can be used to calculate the dielectric thickness with quantum effect correction by NCSU C-V program. We also investigated the influence of MOS capacitor's area on the measurement accuracy. The results indicate that the decrease of capacitor area can reduce the dissipation factor and improve the measurement accuracy. As a result, the frequency dispersion of the measured capacitance is significantly reduced, and real C-V characteristic can be obtained directly by the series model. In addition, this paper investigates the quasi-static C-V measurement and the photonic high-frequency C-V measurement on Ni FUSI metal gated MOS capacitor with a thin leaky oxide. The results indicate that the large tunneling current through the gate oxide significantly perturbs the accurate measurement of the displacement current, which is essential for the quasi-static C-V measurement. On the other hand, the photonic high-frequency C-V measurement can bypass the leakage problem, and get reliable low-frequency C-V characteristic, which can be used to

  11. Ultrathin silicon dioxide layers with a low leakage current density formed by chemical oxidation of Si

    Science.gov (United States)

    Asuha,; Kobayashi, Takuya; Maida, Osamu; Inoue, Morio; Takahashi, Masao; Todokoro, Yoshihiro; Kobayashi, Hikaru

    2002-10-01

    Chemical oxidation of Si by use of azeotrope of nitric acid and water can form 1.4-nm-thick silicon dioxide layers with a leakage current density as low as those of thermally grown SiO2 layers. The capacitance-voltage (C-V) curves for these ultrathin chemical SiO2 layers have been measured due to the low leakage current density. The leakage current density is further decreased to approx1/5 (cf. 0.4 A/cm2 at the forward gate bias of 1 V) by post-metallization annealing at 200 degC in hydrogen. Photoelectron spectroscopy and C-V measurements show that this decrease results from (i) increase in the energy discontinuity at the Si/SiO2 interface, and (ii) elimination of Si/SiO2 interface states and SiO2 gap states.

  12. Photocatalytic Removal of Phenol under Natural Sunlight over N-TiO2-SiO2 Catalyst: The Effect of Nitrogen Composition in TiO2-SiO2

    Directory of Open Access Journals (Sweden)

    Viet-Cuong Nguyen

    2009-01-01

    Full Text Available In this present work, high specific surface area and strong visible light absorption nitrogen doped TiO2-SiO2 photocatalyst was synthesized by using sol-gel coupled with hydrothermal treatment method. Nitrogen was found to improve the specific surface area while it also distorted the crystal phase of the resulting N-TiO2-SiO2 catalyst. As the N/ (TiO2-SiO2 molar ratio was more than 10%, the derived catalyst presented the superior specific surface area up to 260 m2/g. Nevertheless, its photoactivity towards phenol removal was observed to significantly decrease, which could results from the too low crystallinity. The nitrogen content in N-TiO2-SiO2 catalyst was therefore necessary to be optimized in terms of phenol removal efficiency and found at ca. 5%. Under UVA light and natural sunlight irradiation of 80 min, N(5%-TiO2-SiO2 catalyst presented the phenol decomposition efficiencies of 68 and 100%, respectively. It was also interestingly found in this study that the reaction rate was successfully expressed using a Langmuir-Hinshelwood (L-H model, indicating the L-H nature of photocatalytic phenol decomposition reaction on the N-TiO2-SiO2 catalyst.

  13. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    Science.gov (United States)

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  14. THE THERMODYNAMIC PROPERTIES OF MELTS OF DOUBLE SYSTEM MgO – Al2O3, MgO – SiO2, MgO – CaF2, Al2O3 – SiO2, Al2O3 – CaF2, SiO2 – CaF2

    Directory of Open Access Journals (Sweden)

    В. Судавцова

    2012-04-01

    Full Text Available Methodology of prognostication of thermodynamics properties of melts is presented from the coordinatesof liquidus of diagram of the state in area of equilibria a hard component is solution, on which energies ofmixing of Gibbs are expected in the double border systems of MgO – Al2O3, MgO – SiO2, MgO – CaF2,Al2O3 – SiO2, Al2O3 - CaF2, SiO2 - CaF2. For the areas of equilibrium there is quasibinary connection(MgAl2O4, Mg2SiO4, Al6Si2O13 – a grout at calculations was used equalization of Hauffe-Wagner. Theobtained data comport with literary

  15. Sustainable synthesis of magnetically separable SiO2/Co@Fe2O4 nanocomposite and its catalytic applications for the benzimidazole synthesis

    Science.gov (United States)

    Jithendra Kumara, K. S.; Krishnamurthy, G.; Sunil Kumar, N.; Naik, Nagaraja; Praveen, T. M.

    2018-04-01

    The Co(II) and Fe(III) centres magnetically separable two new mesoporous nanocatalyst were synthesised via chemical synthesis method. The transmission electron microscopic studies (TEM) show that, the particles are spherical shape with mean size of 20 nm. The Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) reveals that SiO2 is coating on the surface of the cobalt ferrate nanoparticle (CoFe2O4). The SiO2 coating is efficiently preventing the aggregated collision of nanoparticles. Magnetic measurements show that diamagnetic character of the SiO2 is unaffected to the coercivity of SiO2 coated CoFe2O4 particles. In addition, these nanoparticles are used as nanocatalyst for high yielding, facile and expeditious synthesis of various functionalized 2-arylbenzimidazoles via one-pot condensation. The cascade including imine formation, cyclization, condensation, and aromatization occurs, without addition of any reducing or oxidizing agents. In all situations, the desired product was synthesised with excellent yield. The shorter reaction time, mild reaction condition, simplicity, non-toxicity, safe reaction and easy workup are the impotent merits of this protocol.

  16. Al2O3 nanocrystals embedded in amorphous Lu2O3 high-k gate dielectric for floating gate memory application

    International Nuclear Information System (INIS)

    Yuan, C L; Chan, M Y; Lee, P S; Darmawan, P; Setiawan, Y

    2007-01-01

    The integration of nanoparticles has high potential in technological applications and opens up possibilities of the development of new devices. Compared to the conventional floating gate memory, a structure containing nanocrystals embedded in dielectrics shows high potential to produce a memory with high endurance, low operating voltage, fast write-erase speeds and better immunity to soft errors [S. Tiwari, F. Rana, H. Hanafi et al. 1996 Appl.Phys. Lett. 68, 1377]. A significant improvement on data retention [J. J. Lee, X. Wang et al. 2003 Proceedings of the VLSI Technol. Symposium, p33] can be observed when discrete nanodots are used instead of continuous floating gate as charge storage nodes because local defect related leakage can be reduced efficiently. Furthermore, using a high-k dielectric in place of the conventional SiO2 based dielectric, nanodots flash memory is able to achieve significantly improved programming efficiency and data retention [A. Thean and J. -P. Leburton, 2002 IEEE Potentials 21, 35; D. W. Kim, T. Kim and S. K. Banerjee, 2003 IEEE Trans. Electron Devices 50, 1823]. We have recently successfully developed a method to produce nanodots embedded in high-k gate dielectrics [C. L. Yuan, P. Darmawan, Y. Setiawan and P. S. Lee, 2006 Electrochemical and Solid-State Letters 9, F53; C. L. Yuan, P. Darmawan, Y. Setiawan and P. S. Lee, 2006 Europhys. Lett. 74, 177]. In this paper, we fabricated the memory structure of Al 2 O 3 nanocrystals embedded in amorphous Lu 2 O 3 high k dielectric using pulsed laser ablation. The mean size and density of the Al 2 O 3 nanocrystals are estimated to be about 5 nm and 7x1011 cm -2 , respectively. Good electrical performances in terms of large memory window and good data retention were observed. Our preparation method is simple, fast and economical

  17. High-current and low acceleration voltage arsenic ion implanted polysilicon-gate and source-drain electrode Si mos transistor

    International Nuclear Information System (INIS)

    Saito, Yasuyuki; Sugimura, Yoshiro; Sugihara, Michiyuki

    1993-01-01

    The fabrication process of high current arsenic (As) ion implanted polysilicon (Si) gate and source drain (SD) electrode Si n-channel metal oxide-semiconductor field effect transistor (MOSFET) was examined. Poly Si film n-type doping was performed by using high current (typical current: 2mA) and relatively low acceleration voltage (40keV) As ion implantation technique (Lintott series 3). It was observed that high dose As implanted poly Si films as is show refractoriness against radical fluorine excited by microwave. Using GCA MANN4800 (m/c ID No.2, resist: OFPR) mask pattern printing technique, the high current As ion implantation technique and radical fluorine gas phase etching (Chemical dry etching: CDE) technique, the n-channel Poly Si gate (ρs = ≅100Ω/□) enhancement MQSFETs(ρs source drain = ≅50Ω/□, SiO 2 gate=380 angstrom) with off-leak-less were obtained on 3 inch Czochralski grown 2Ωcm boron doped p type wafers (Osaka titanium). By the same process, a 8 bit single chip μ-processor with 26MHz full operation was performed

  18. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  19. Synthesis, structural characterization and dielectric properties of Nb doped BaTiO3/SiO2 core–shell heterostructure

    International Nuclear Information System (INIS)

    Cernea, M.; Vasile, B.S.; Boni, A.; Iuga, A.

    2014-01-01

    Highlights: • Optimal parameters for preparation by sol–gel of core–shell (BT-Nb 0.005 )/SiO 2 are presented in this paper. • Single crystalline BT-Nb 0.005 /SiO 2 core–shell composite with ∼34 nm shell thick was prepared. • The core–shell ceramic exhibits good dielectric properties and ferroelectric characteristics. -- Abstract: Perovskite complex ceramic oxides, BaTiO 3 doped with 0.5 mol%Nb 2 O 5 and then nanocoated with SiO 2 (abbreviated as BT-Nb 0.005 /SiO 2 ) was successful prepared using conventional sol–gel processing. Phase composition, particle morphology, structure, and electric properties of BT-Nb 0.005 core and BT-Nb 0.005 /SiO 2 core–shell were examined and compared, using X-ray diffraction, transmission electron microscopy and, dielectric and ferroelectric measurements. Core–shell composite with well-defined perovskite tetragonal phase of BaTiO 3 was achieved. Furthermore, single crystalline BT-Nb 0.005 /SiO 2 core–nanoshell heterostructure with ∼34 nm shell thick was prepared, which is a novelty in ferroelectrics field. The ferroelectric quality of BT-Nb 0.005 has suffered an alteration when the (BT-Nb 0.005 )/SiO 2 core–shell heterostructure was realized. One-dimensional BT-Nb 0.005 /SiO 2 core–shell heterostructure exhibits an improvement of dielectric losses and a decrease of dielectric constant, compared to uncoated BT-Nb 0.005 . The (BT-Nb 0.005 )/SiO 2 core–shell material could be interesting for application in the composite capacitors

  20. Selective SiO2 etching in three dimensional structures using parylene-C as mask

    NARCIS (Netherlands)

    Veltkamp, Henk-Willem; Zhao, Yiyuan; de Boer, Meint J.; Wiegerink, Remco J.; Lötters, Joost Conrad

    2017-01-01

    This abstract describes an application of an easy and straightforward method for selective SiO2 etching in three dimensional structures, which is developed by our group. The application in this abstract is the protection of the buried-oxide (BOX) layer of a silicon-on-insulator (SOI) wafer against

  1. Si-O compound formation by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Hensel, E.; Wollschlaeger, K.; Kreissig, U.; Skorupa, W.; Schulze, D.; Finster, J.

    1985-01-01

    High dose oxygen ion implantation into silicon at 30 keV was performed to produce understoichiometric and stoichiometric surface oxide layers of approx. 160 nm thickness. The oxygen depth profile and oxide stoichiometry was determined by RBS and XPS. Si-O compound formation was found by IR spectroscopy and XPS in the unannealed samples as well as after target heating, furnace or flash lamp annealing. As implanted understoichiometric layers consist of random bonding like SiOsub(x) (O 2 after annealing. Unannealed stoichiometric layers are bond strained SiO 2 . The activation energies of demixing and of the annealing of bond strains are determined to 0.19 and 0.13 eV, respectively. The removing of bond strains occurs at temperatures >= 800 C in a time shorter than 1 s. The SiO 2 /Si transition region of unannealed stoichiometric layers consists of SiOsub(x) with an extent of about 10 nm. After annealing this extent diminishes to 0.8 to 1 nm in consequence of oxidation by excess oxygen from the overstoichiometric oxide region. This thickness is comparable with that of thermal oxide. (author)

  2. The structure of well defined SiO2 supported MoO3 clusters during sulfidation : an in situ EXAFS-study

    NARCIS (Netherlands)

    Boer, de M.; Dillen, van A.J.; Koningsberger, D.C.; Geus, J.W.; Kuroda, H.; Ohta, T.

    1993-01-01

    The sulfidation of a well defined MoO3/SiO2 catalyst has been examd. by means of TPS, EXAFS, and TEM. The oxidic clusters in a 5.6 wt% MoO3/SiO2 catalyst are transformed into almost completely sulfided particles (MoOxSy) by O-S exchange at RT. A molybdenum-sulfido particle that resembles the MoS3

  3. Investigation on the utilization of ZrO2-SiO2 composite microspheres for Sr+2 sorption synthesized via sol-gel method

    International Nuclear Information System (INIS)

    Sert, S.; Tel, H.; Altas, Y.; Eral, M.; Cetinkaya, B.; Inan, S.; Kasap, S.

    2009-01-01

    Multivalence metal ion's oxides and hydro oxides show high adsorption capacity. These are selective to some kind of ions and show thermal, chemical and radiation resistance. Because of these properties they can be used as a adsorbent for radioactive waste management. It is known that the mix oxide's acidic and basic surface sides and textural (surface area, por side and volume) properties related to mix oxide composition. The previously works shown that the ZrO 2 have high adsorption capacity for Sr + 2. Additionally ZrO 2 is used in production of heat resistance materials, glass and ceramic industries due to it's high melting point. Generally inorganic adsorbents which are crystal forms have low surface area. It is needed that the materials have high surface area and appropriate por size to targeted molecules for take inside adsorbent, in the practical adsorption proses. It is thought that the addition of oxide which has high surface area ( SiO 2 etc.) to between material layer increase it's surface area. Some works showed that the silica increase surface area when mixed Ti in materials structure. Sol-jell proses is a method which is show homogen hetero metal oxide bounds distribution and give advantages to prepare multicomponent oxide materials. In this study, ZrO 2 -SiO 2 -TiO 2 composite microspheres were synthesized by sol-gel method. In synthesis proses; peristaltic pump, nozzle-vibrator system and glass column were used. The optimum Sr 2 + adsorption conditions were determined by 'Central Composite Design' (CCD). Thermodynamic parameters related to adsorption such as ΔHo, ΔSo and ΔGo were calculated. The adsorption data have been interpreted in terms of Langmuir, Freundlich and D-R isotherms.

  4. Non-volatile nano-floating gate memory with Pt-Fe{sub 2}O{sub 3} composite nanoparticles and indium gallium zinc oxide channel

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Quanli [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Lee, Seung Chang; Baek, Yoon-Jae [Myongji University, Department of Materials Science and Engineering (Korea, Republic of); Lee, Hyun Ho [Myongji University, Department of Chemical Engineering (Korea, Republic of); Kang, Chi Jung [Myongji University, Department of Nano Science and Engineering (Korea, Republic of); Kim, Hyun-Mi; Kim, Ki-Bum [Seoul National University, Department of Materials Science and Engineering (Korea, Republic of); Yoon, Tae-Sik, E-mail: tsyoon@mju.ac.kr [Myongji University, Department of Nano Science and Engineering (Korea, Republic of)

    2013-02-15

    Non-volatile nano-floating gate memory characteristics with colloidal Pt-Fe{sub 2}O{sub 3} composite nanoparticles with a mostly core-shell structure and indium gallium zinc oxide channel layer were investigated. The Pt-Fe{sub 2}O{sub 3} nanoparticles were chemically synthesized through the preferential oxidation of Fe and subsequent pileup of Pt into the core in the colloidal solution. The uniformly assembled nanoparticles' layer could be formed with a density of {approx}3 Multiplication-Sign 10{sup 11} cm{sup -2} by a solution-based dip-coating process. The Pt core ({approx}3 nm in diameter) and Fe{sub 2}O{sub 3}-shell ({approx}6 nm in thickness) played the roles of the charge storage node and tunneling barrier, respectively. The device exhibited the hysteresis in current-voltage measurement with a threshold voltage shift of {approx}4.76 V by gate voltage sweeping to +30 V. It also showed the threshold shift of {approx}0.66 V after pulse programming at +20 V for 1 s with retention > {approx}65 % after 10{sup 4} s. These results demonstrate the feasibility of using colloidal nanoparticles with core-shell structure as gate stacks of the charge storage node and tunneling dielectric for low-temperature and solution-based processed non-volatile memory devices.

  5. Optical properties of ZrO2, SiO2 and TiO2-SiO2 xerogels and coatings doped with Eu3+ and Eu2+

    Directory of Open Access Journals (Sweden)

    Gonçalves Rogéria R.

    1999-01-01

    Full Text Available Eu3+ doped bulk monoliths and thin films were obtained by sol-gel methods in the ZrO2, SiO2 and SiO2-TiO2 systems. Eu3+ 5D0 ® 7FJ emission and decay time characteristics were measured during the entire experimental preparation route from the initial sol to the final xerogels. The crystalline phases identified were tetragonal ZrO2 and mixtures of rutile and anatase TiO2 at high temperature treatments in bulk samples. Good quality thin films were obtained for all systems by dip-coating optical glasses (Schott BK270. The same spectroscopic features were observed either for the bulk monoliths or the films. By appropriate heat treatments under H2 atmosphere Eu2+ containing samples could be obtained in the SiO2-TiO2 system.

  6. SiO2 decoration dramatically enhanced the stability of PtRu electrocatalysts with undetectable deterioration in fuel cell performance

    Science.gov (United States)

    Yu, Xinxin; Xu, Zejun; Yang, Zehui; Xu, Sen; Zhang, Quan; Ling, Ying; Zhang, Yunfeng; Cai, Weiwei

    2018-06-01

    Prevention of Ru dissolution is essential for steady CO tolerance of anodic electrocatalysts in direct methanol fuel cells. Here, we demonstrate a facile way to stabilize Ru atoms by decorating commercial CB/PtRu with SiO2, which shows a six-fold higher stability and similar activity toward a methanol oxidation reaction leading to no discernible degradation in fuel cell performance compared to commercial CB/PtRu electrocatalysts. The higher stability and stable CO tolerance of SiO2-decorated electrocatalysts originate from the SiO2 coating, since Ru atoms are partially ionized during SiO2 decorating, resulting in difficulties in dissolution; while, in the case of commercial CB/PtRu, the dissolved Ru offers active sites for Pt coalescences and CO species resulting in the rapid decay of the electrochemical surface area and fuel cell performance. To the best of our knowledge, this is the first study about the stabilization of Ru atoms by SiO2. The highest stability is obtained for a PtRu electrocatalyst with negligible effect on the electrochemical properties.

  7. High performance solution processed zirconium oxide gate dielectric appropriate for low temperature device application

    Energy Technology Data Exchange (ETDEWEB)

    Hasan, Musarrat; Nguyen, Manh-Cuong; Kim, Hyojin; You, Seung-Won; Jeon, Yoon-Seok; Tong, Duc-Tai; Lee, Dong-Hwi; Jeong, Jae Kyeong; Choi, Rino, E-mail: rino.choi@inha.ac.kr

    2015-08-31

    This paper reports a solution processed electrical device with zirconium oxide gate dielectric that was fabricated at a low enough temperature appropriate for flexible electronics. Both inorganic dielectric and channel materials were synthesized in the same organic solvent. The dielectric constant achieved was 13 at 250 °C with a reasonably low leakage current. The bottom gate transistor devices showed the highest mobility of 75 cm{sup 2}/V s. The device is operated at low voltage with high-k dielectric with excellent transconductance and low threshold voltage. Overall, the results highlight the potential of low temperature solution based deposition in fabricating more complicated circuits for a range of applications. - Highlights: • We develop a low temperature inorganic dielectric deposition process. • We fabricate oxide semiconductor channel devices using all-solution processes. • Same solvent is used for dielectric and oxide semiconductor deposition.

  8. Oxide Structure Dependence of SiO2/SiOx/3C-SiC/n-Type Si Nonvolatile Resistive Memory on Memory Operation Characteristics

    Science.gov (United States)

    Yamaguchi, Yuichiro; Shouji, Masatsugu; Suda, Yoshiyuki

    2012-11-01

    We have investigated the dependence of the oxide layer structure of our previously proposed metal/SiO2/SiOx/3C-SiC/n-Si/metal metal-insulator-semiconductor (MIS) resistive memory device on the memory operation characteristics. The current-voltage (I-V) measurement and X-ray photoemission spectroscopy results suggest that SiOx defect states mainly caused by the oxidation of 3C-SiC at temperatures below 1000 °C are related to the hysteresis memory behavior in the I-V curve. By restricting the SiOx interface region, the number of switching cycles and the on/off current ratio are more enhanced. Compared with a memory device formed by one-step or two-step oxidation of 3C-SiC, a memory device formed by one-step oxidation of Si/3C-SiC exhibits a more restrictive SiOx interface with a more definitive SiO2 layer and higher memory performances for both the endurance switching cycle and on/off current ratio.

  9. Reaction analysis of initial oxidation of silicon by UV-light-excited ozone and the application to rapid and uniform SiO2 film growth

    International Nuclear Information System (INIS)

    Tosaka, Aki; Nonaka, Hidehiko; Ichimura, Shingo; Nishiguchi, Tetsuya

    2007-01-01

    UV-light-excited O 3 prepared by irradiation of nearly 100% pure O 3 with a KrF excimer laser (λ=248 nm, irradiated area=30x10 mm 2 ) was utilized for low-temperature Si oxidation. The initial oxidation rate was determined, and the activation energy was shown to be almost zero (0.049 eV). To clarify the optimum oxidation conditions, the dependence of the SiO 2 film growth rate on the total photon number and the photon density was investigated. The evolution of O 3 density after UV-light irradiation was experimentally measured, and the O( 1 D) density change is discussed. O( 1 D) density changes are successfully explained by using a second-order reaction model, indicating that a pulse supply of oxygen atoms is essential in the initial oxidation process. The uniform oxidation of 8 in. Si wafer has been carried out using a wafer-transfer type chamber by irradiating the wafer with KrF excimer laser light expanded linearly to the wafer width by a concave lens

  10. Indium-gallium-zinc-oxide thin-film transistor with a planar split dual-gate structure

    Science.gov (United States)

    Liu, Yu-Rong; Liu, Jie; Song, Jia-Qi; Lai, Pui-To; Yao, Ruo-He

    2017-12-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) with a planar split dual gate (PSDG) structure has been proposed, fabricated and characterized. Experimental results indicate that the two independent gates can provide dynamical control of device characteristics such as threshold voltage, sub-threshold swing, off-state current and saturation current. The transconductance extracted from the output characteristics of the device increases from 4.0 × 10-6S to 1.6 × 10-5S for a change of control gate voltage from -2 V to 2 V, and thus the device could be used in a variable-gain amplifier. A significant advantage of the PSDG structure is its flexibility in controlling the device performance according to the need of practical applications.

  11. Magnetic SiO2 gel microspheres for arterial embolization hyperthermia

    International Nuclear Information System (INIS)

    Li Zhixia; Kawashita, Masakazu; Araki, Norio; Mitsumori, Michihide; Hiraoka, Masahiro; Doi, Masaaki

    2010-01-01

    We have prepared magnetic SiO 2 microspheres with a diameter of 20-30 μm as thermoseeds for hyperthermia of cancer. These were prepared by directly introducing preformed magnetic iron oxide nanoparticles (IONPs) into microspheres of a SiO 2 gel matrix derived from the hydrolysis of tetramethoxysilane (TMOS) in a water-in-oil (W/O) emulsion. Dimethylformamide (DMF) was used as a stabilizer, methanol (CH 3 OH) as a dispersant and ammonia (NH 4 OH) as the catalyst for the formation of the spherical particles in the aqueous phase of the W/O emulsion. The magnetic IONPs were synthesized hydrochemically in an aqueous system composed of ferrous chloride, sodium nitrate and sodium hydroxide. Mono-dispersed magnetic SiO 2 gel microspheres with a diameter of approximately 20 μm were successfully obtained by adding a determined amount of solution with a molar ratio of TMOS/DMF/CH 3 OH/H 2 O/NH 4 OH = 1:1.4:9:20:0.03 to kerosene with a surfactant (sorbitan monooleate/sorbitan monostearate = 3:1 by weight ratio) that was 30 wt% of the total amount of the oil phase. These were estimated to contain up to 60 wt% of IONPs that consisted mainly of Fe 3 O 4 and showed a higher specific absorption rate (SAR = 27.9-43.8 W g -1 ) than that of the starting IONPs (SAR = 25.3 W g -1 ) under an alternating current magnetic field of 300 Oe and 100 kHz.

  12. Fracto- mechanoluminescence and thermoluminescence properties of orange-red emitting Eu3+ doped Ca2Al2SiO7 phosphors

    International Nuclear Information System (INIS)

    Tiwari, Geetanjali; Brahme, Nameeta; Sharma, Ravi; Bisen, D.P.; Sao, Sanjay K.; Khare, Ayush

    2017-01-01

    The suitability of nano-structured Ca 2 Al 2 SiO 7 :Eu 3+ phosphors for thermoluminescence and mechanoluminescence dosimeter were investigated. Europium doped di-calcium di-aluminum silicate phosphor was synthesised by the combustion assisted method and annealed at 1100 °C for 4 h in reducing and oxidizing environments. The prepared Ca 2 Al 2 SiO 7 :Eu 3+ phosphor was characterized by X-ray diffractometer (XRD), transmission electron microscopy (TEM), scanning electron microscopy (SEM) with energy dispersive x-ray spectroscopy (EDX), photoluminescence (PL) and decay characteristics. The phase structure of sintered phosphor has akermanite type which belongs to the tetragonal crystallography; this structure is a member of the melilite group and forms a layered compound. The chemical composition of the sintered Ca 2 Al 2 SiO 7 :Eu 3+ phosphor was confirmed by EDX spectra. Mechanoluminescence (ML) and thermoluminescence (TL) studies revealed that the ML and TL intensity increases with activator concentration. Optimum ML was observed for the sample having 2 mol% of Eu ions. The TL intensity of Ca 2 Al 2 SiO 7 :Eu 3+ was recorded for different exposure times of γ -irradiation and it was observed that TL intensity is maximum for γ dose of 1770 Gy. The PL spectra indicated that Ca 2 Al 2 SiO 7 :Eu 3+ could be excited effectively by near ultraviolet (NUV) light and exhibited bright orange-red emission with excellent colour stability. CIE colour coordinates of the prepared Ca 2 Al 2 SiO 7 :Eu 3+ phosphor was found suitable as orange-red light emitting phosphor with a CIE value of (x=0.6142, y=0.3849) and correlated colour temperature (CCT) is 1250 K. Therefore, it is considered to be a new promising orange-red emitting phosphor for white light emitting diode (LED) application.

  13. Effect of Commercial SiO2 and SiO2 from rice husk ash loading on biodegradation of Poly (lactic acid) and crosslinked Poly (lactic acid)

    Science.gov (United States)

    Prapruddivongs, C.; Apichartsitporn, M.; Wongpreedee, T.

    2017-09-01

    In this work, biodegradation behavior of poly (lactic acid) (PLA) and crosslinked PLA filled with two types of SiO2, precipitated SiO2 (commercial SiO2) and SiO2 from rice husk ash, were studied. Rice husks were first treated with 2 molar hydrochloric acid (HCl) to produce high purity SiO2, before burnt in a furnace at 800°C for 6 hours. All components were melted bending by an internal mixer then hot pressed using compression molder to form tested specimens. FTIR spectra of SiO2 and PLA samples were investigated. The results showed the lack of silanol group (Si-OH) of rice husk ash after steric acid surface modification, while the addition of particles can affect the crosslinking of the PLA. For biodegradation test by evaluating total amount of carbon dioxide (CO2) evolved during 60 days incubation at a controlled temperature of 58±2°C, the results showed that the biodegradation of crosslinked PLA occurred slower than the neat PLA. However, SiO2 incorporation enhanced the degree of biodegradation In particular, introducing commercial SiO2 in PLA and crosslinked PLA tended to clearly increase the degree of biodegradation as a consequence of the more accelerated hydrolysis degradation.

  14. SiO2@TiO2 Coating: Synthesis, Physical Characterization and Photocatalytic Evaluation

    Directory of Open Access Journals (Sweden)

    A. Rosales

    2018-03-01

    Full Text Available Use of silicon dioxide (SiO2 and titanium dioxide (TiO2 have been widely investigated individually in coatings technology, but their combined properties promote compatibility for different innovative applications. For example, the photocatalytic properties of TiO2 coatings, when exposed to UV light, have interesting environmental applications, such as air purification, self-cleaning and antibacterial properties. However, as reported in different pilot projects, serious durability problems, associated with the adhesion between the substrate and TiO2, have been evidenced. Thus, the aim of this work is to synthesize SiO2 together with TiO2 to increase the durability of the photocatalytic coating without affecting its photocatalytic potential. Therefore, synthesis using sonochemistry, synthesis without sonochemistry, physical characterization, photocatalytic evaluation, and durability of the SiO2, SiO2@TiO2 and TiO2 coatings are presented. Results indicate that using SiO2 improved the durability of the TiO2 coating without affecting its photocatalytic properties. Thus, this novel SiO2@TiO2 coating shows potential for developing long-lasting, self-cleaning and air-purifying construction materials.

  15. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  16. Dependence of Photocatalytic Activity of TiO2-SiO2 Nanopowders

    Directory of Open Access Journals (Sweden)

    M. Riazian

    2014-10-01

    Full Text Available Structural properties and chemical composition change the photocatalytic activity in TiO2-SiO2 nanopowder composite. The SiO2-TiO2 nanostructure is synthesized based on sol–gel method. The nanoparticles are characterized by x-ray fluorescents (XRF, x- ray diffraction (XRD, tunneling electron microscopy (TEM, field emission scanning electron microscopy (FE-SEM, UV-vis. Spectrophotometer and furrier transmission create infrared absorption (FTIR techniques. The rate constant k for the degradation of methylen blue in its aqueous solution under UV irradiation is determined as a measure of photocatalytic activity. Dependence between photocatalytic activity and SiO2 content in the composite is determined. Rate constant k is found dependent on the content of SiO2 in the composite that calcined at 900 oC. The addition of low composition SiO2 to the TiO2 matrix (lower than 45% enhances the photocatalytic activity due to thermal stability and increasing in the surface area. The effects of chemical compositions on the surface topography and the crystallization of phases are studied.

  17. Effective surface modification of MnFe2O4@SiO2@PMIDA magnetic nanoparticles for rapid and high-density antibody immobilization

    Science.gov (United States)

    Rashid, Zahra; Soleimani, Masoud; Ghahremanzadeh, Ramin; Vossoughi, Manouchehr; Esmaeili, Elaheh

    2017-12-01

    The present study is aimed at the synthesis of MnFe2O4@SiO2@PMIDA in terms of highly efficient sensing platform for anti-prostate specific membrane antigen (PSMA) immobilization. Superparamagnetic manganese ferrite nanoparticles were synthesized following co-precipitation method and then SiO2 shell was coated on the magnetic core with tetraethyl orthosilicate (TEOS) through a silanization reaction to prevent oxidation, agglomeration and, increase the density of OH groups on the surface of MnFe2O4. Subsequently, MnFe2O4@SiO2@PMIDA obtained as a result of the reaction between N-(phosphonomethyl)iminodiacetic acid (PMIDA) and MnFe2O4@SiO2. The reactive carboxyl groups on the surface of magnetic nanoparticles can efficiently conjugate to a monoclonal antibody, specific to PSMA, which was confirmed by enzyme-linked immune sorbent assay (ELISA). Thus, this kind of functionalized magnetic nanoparticles is promising to be utilized in the improvement of ELISA-based biosensors and also will be effective in a variety of biomedical applications such as cell separation, diagnosis, and monitoring of human diseases.

  18. Magnetic properties of Mn-oxide nanoparticles dispersed in an amorphous SiO2 matrix

    Science.gov (United States)

    Milivojević, D.; Babić-Stojić, B.; Jokanović, V.; Jagličić, Z.; Makovec, D.

    2011-03-01

    Samples of Mn-oxide nanoparticles dispersed in an amorphous SiO2 matrix with manganese concentration 0.7 and 3 at% have been synthesized by a sol-gel method. Transmission electron microscopy analysis has shown that the samples contain agglomerates of amorphous silica particles 10-20 nm in size. In silica matrix two types of Mn-rich particles are dispersed, smaller nanoparticles with dimensions between 3 and 10 nm, and larger crystalline areas consisting of aggregates of the smaller nanoparticles. High-temperature magnetic susceptibility study reveals that dominant magnetic phase at higher temperatures is λ-MnO2. At temperatures below TC=43 K strong ferrimagnetism originating from the minor Mn3O4 phase masks the relatively weak magnetism of λ-MnO2 with antiferromagnetic interactions. Magnetic field dependence of the maximum in the zero-field-cooled magnetization for both the samples in the vicinity of 40 K, and a frequency shift of the real component of the ac magnetic susceptibility in the sample with 3 at% Mn suggest that the magnetic moments of the smaller Mn3O4 nanoparticles with dimensions below 10 nm are exposed to thermally activated blocking process just below the Curie temperature TC. Appearance of a maximum in the zero-field-cooled magnetization for both the samples below 10 K indicates possible spin glass freezing of the magnetic moments at low temperatures which might occur in the geometrically frustrated Mn sublattice of the λ-MnO2 crystal structure.

  19. Magnetic SiO2/Fe3O4 colloidal crystals

    International Nuclear Information System (INIS)

    Huang, C-K; Hou, C-H; Chen, C-C; Tsai, Y-L; Chang, L-M; Wei, H-S; Hsieh, K-H; Chan, C-H

    2008-01-01

    We proposed a novel technique to fabricate colloidal crystals by using monodisperse SiO 2 coated magnetic Fe 3 O 4 (SiO 2 /Fe 3 O 4 ) microspheres. The magnetic SiO 2 /Fe 3 O 4 microspheres with a diameter of 700 nm were synthesized in the basic condition with ferric sulfate, ferrous sulfate, tartaric acid and tetraethyl orthosilicate (TEOS) in the reaction system. Monodisperse SiO 2 /Fe 3 O 4 superparamagnetic microspheres have been successfully used to fabricate colloidal crystals under the existing magnetic field

  20. Incorporation of sol-gel SnO2:Sb into nanoporous SiO2

    International Nuclear Information System (INIS)

    Canut, B.; Blanchin, M.G.; Ramos-Canut, S.; Teodorescu, V.; Toulemonde, M.

    2006-01-01

    Silicon oxide films thermally grown on Si(1 0 0) wafers were irradiated with 200 MeV 197 Au ions in the 10 9 -10 1 cm -2 fluence range. The targets were then etched at room temperature in aqueous HF solution (1 vol.%) for various durations. Atomic force microscopy (AFM) in the tapping mode was used to probe the processed surfaces. Conical holes with a low size dispersion were evidenced. Their surface diameter varies between 20 and 70 nm, depending on the etching time. Sol-gel dip coating technique, associated with a further annealing treatment performed at 500 o C for 15 min, was used to fill the nanopores created in SiO 2 with a transparent conductive oxide (SnO 2 doped with antimony). Transmission electron microscopy (TEM) performed on cross-sectional specimen showed that SnO 2 :Sb crystallites of ∼5 nm mean size are trapped in the holes without degrading their geometry

  1. Space charge limitation of the current in implanted SiO2 layers

    International Nuclear Information System (INIS)

    Szydlo, N.; Poirier, R.

    1974-01-01

    Metal-oxide-semiconductor capacitors were studied where the metal is a semitransparent gold layer of 5mm diameter, the oxide is thermal silica whose, thickness depends on the nature of the implant, and the semiconductor is N-type silicon of 5 ohms/cm. The SiO 2 thickness was chosen in such a way that the maximum of the profile of the implanted substance is in the medium of the oxide layer. In the case of virgin silica, the oscillations in the photocurrent versus energy and exponential variations versus the applied voltage show that the photoconduction obeys the model of injection limited current. In the case of the oxide after ion bombardment, the photocurrent similarity, independent of the direction of the electric field in silica, shows that volume transport phenomena become preponderent [fr

  2. Precipitation of amorphous SiO2 particles and their properties

    Directory of Open Access Journals (Sweden)

    S. Musić

    2011-03-01

    Full Text Available The experimental conditions were optimized for the synthesis of amorphous SiO2 particles by the reaction of neutralization of sodium silicate solution with H2SO4 solution. Amorphous SiO2 particles were characterized by XRD, FT-IR, FE-SEM, EDS and microelectrophoresis. The amorphous peak was located at 2θ = 21.8º in the XRD pattern. Primary SiO2 particles were ~ 15 to ~ 30 nm in size and they aggregated into bigger particles. Amorphous SiO2 particles showed a specific surface area up to 130 m²g-1, dependent on the parameters of the precipitation process. The EDS spectrum of amorphous SiO2 particles did not show contamination with sulfate or other ions, which cannot be excluded in traces. pHzpc =1.7 was obtained by microelectrophoresis.

  3. Multifunctional Roles of TiO 2 Nanoparticles for Architecture of Complex Core−Shells and Hollow Spheres of SiO 2 −TiO 2 −Polyaniline System

    KAUST Repository

    Wang, Dan Ping; Zeng, Hua Chun

    2009-01-01

    to prepare inorganic-polymer nanocomposites. In this work, we explore the roles of metal-oxide nanoparticles (anatase TiO2) in the area of constructional synthesis of highly complex core-shell and hollow sphere nanostructures comprising SiO2, TiO2

  4. Surface spins disorder in uncoated and SiO2 coated maghemite nanoparticles

    International Nuclear Information System (INIS)

    Zeb, F.; Nadeem, K.; Shah, S. Kamran Ali; Kamran, M.; Gul, I. Hussain; Ali, L.

    2017-01-01

    We studied the surface spins disorder in uncoated and silica (SiO 2 ) coated maghemite (γ-Fe 2 O 3 ) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO 2 coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T B ) for SiO 2 coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M s ) of SiO 2 coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO 2 coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT b )) was fitted well for both uncoated and SiO 2 coated nanoparticles and yields: B =3×10 −7 K -b , b=2.22 and B=0.0127 K -b , b=0.57 for uncoated and SiO 2 coated nanoparticles, respectively. Higher value of B for SiO 2 coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO 2 coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO 2 coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO 2 coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface spins disorder in SiO 2 coated nanoparticles than in uncoated γ-Fe 2 O 3 nanoparticles

  5. PECVD SiO2 dielectric for niobium Josephson IC process

    International Nuclear Information System (INIS)

    Lee, S.Y.; Nandakumar, V.; Murdock, B.; Hebert, D.

    1991-01-01

    PECVD SiO 2 dielectric has been evaluated as an insulator for a Nb-based, all-refractory Josephson integrated circuit process. First, the properties of PECVD SiO 2 films were measured and compared with those of evaporated SiO films. Second, the PECVD SiO 2 dielectric film was used in our Nb-based Josephson integrated circuit process. The main problem was found to be the deterioration of the critical temperature of the superconducting niobium adjacent to the SiO 2 . The cause and a solution of the problem were investigated. Finally, a Josephson integrated sampler circuit was fabricated and tested. This paper shows acceptable junction I-V characteristics and a measured time resolution of a 4.9 ps pulse in liquid helium

  6. LEACHABILITY OF CHROME FROM MAGNESIA-CHROMITE REFRACTORY BRICKS CORRODED BY Cu/CuO- Na2O.2SiO2 SLAGS

    Directory of Open Access Journals (Sweden)

    David Medved

    2015-06-01

    Full Text Available The interactions of magnesia-chromite refractory brick with Cu-Na2O.2SiO2 and CuO-Na2O.2SiO2 melts are studied and the chemical durability of corrosion products in water is evaluated. The corrosion tests confirm intensive infiltration of the slag melts into the tested refractory bricks and formation of Cr(6+ compounds. The molten copper partially oxidizes during corrosion test by air and penetrates into bricks. Interactions among periclase (MgO and chromite (FeCr2O4 grains with the melt Na2O.2SiO2 and copper oxides makes possible to form several compounds (e.g. Cu2MgO3, CuCrO4, CaCrO4, Na2CrO4, MgCrO4. Just the marked yellow spots, which were observed on the corroded brick surface after 30 days of free storage, suggest hydration of the high-temperature corrosion products. The yellow color of spots points out to chromates as Na2CrO4 and MgCrO4, which are well soluble in water. The leaching of corroded bricks in water (batch leaching test of a ratio of S (solid : W (water = 0.1 taking up to 28 days confirmed the Cr, Na, Mg and Ca ions leach-out. The pH value of solution increased up to 9 during leaching mainly as a consequence of elevated Na+ ion concentration. The Cr ion concentration rises in the solution up to 1 mmol.l-1. The observed moderate decrease of Cr ion concentration in the solution with the length of leaching indicates super-saturation of the solution and precipitation of the products.

  7. Nonvolatile memory characteristics in metal-oxide-semiconductors containing metal nanoparticles fabricated by using a unique laser irradiation method

    International Nuclear Information System (INIS)

    Yang, JungYup; Yoon, KapSoo; Kim, JuHyung; Choi, WonJun; Do, YoungHo; Kim, ChaeOk; Hong, JinPyo

    2006-01-01

    Metal-oxide-semiconductor (MOS) capacitors with metal nanoparticles (Co NP) were successfully fabricated by utilizing an external laser exposure technique for application of non-volatile memories. Images of high-resolution transmission electron microscopy reveal that the spherically shaped Co NP are clearly embedded in the gate oxide layer. Capacitance-voltage measurements exhibit typical charging and discharging effects with a large flat-band shift. The effects of the tunnel oxide thickness and the different tunnel materials are analyzed using capacitance-voltage and retention characteristics. In addition, the memory characteristics of the NP embedded in a high-permittivity material are investigated because the thickness of conventionally available SiO 2 gates is approaching the quantum tunneling limit as devices are scaled down. Finally, the suitability of NP memory devices for nonvolatile memory applications is also discussed. The present results suggest that our unique laser exposure technique holds promise for the NP formation as floating gate elements in nonvolatile NP memories and that the quality of the tunnel oxide is very important for enhancing the retention properties of nonvolatile memory.

  8. Coupling between Ge-nanocrystals and defects in SiO2

    International Nuclear Information System (INIS)

    Skov Jensen, J.; Franzo, G.; Leervad Petersen, T.P.; Pereira, R.; Chevallier, J.; Christian Petersen, M.; Bech Nielsen, B.; Nylandsted Larsen, A.

    2006-01-01

    Room temperature photoluminescence (PL) at around 600 nm from magnetron-sputtered SiO 2 films co-doped with Ge is reported. The PL signal is observed in pure SiO 2 , however, its intensity increases significantly in the presence of Ge-nanocrystals (Ge-nc). The PL intensity has been optimized by varying the temperature of heat treatment, type of gas during heat treatment, concentration of Ge in the SiO 2 films, and gas pressure during deposition. Maximum intensity occurs when Ge-nc of around 3.5 nm are present in large concentration in SiO 2 layers deposited at fairly high gas pressure. Based on time resolved PL, and PL measurements after α-particle irradiation or H passivation, we attribute the origin of the PL to a defect in SiO 2 (probably an O deficiency) that is excited through an energy transfer from Ge-nc. There is no direct PL from the Ge-nc; however, there is a strong coupling between excitons created in the Ge-nc and the SiO 2 defect

  9. Physical and electrical characteristics of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors with rare earth Er2O3 as a gate dielectric

    International Nuclear Information System (INIS)

    Lin, Ray-Ming; Chu, Fu-Chuan; Das, Atanu; Liao, Sheng-Yu; Chou, Shu-Tsun; Chang, Liann-Be

    2013-01-01

    In this study, the rare earth erbium oxide (Er 2 O 3 ) was deposited using an electron beam onto an AlGaN/GaN heterostructure to fabricate metal-oxide-semiconductor high-electron-mobility transistors (MOS–HEMTs) that exhibited device performance superior to that of a conventional HEMT. Under similar bias conditions, the gate leakage currents of these MOS–HEMT devices were four orders of magnitude lower than those of conventional Schottky gate HEMTs. The measured sub-threshold swing (SS) and the effective trap state density (N t ) of the MOS–HEMT were 125 mV/decade and 4.3 × 10 12 cm −2 , respectively. The dielectric constant of the Er 2 O 3 layer in this study was 14, as determined through capacitance–voltage measurements. In addition, the gate–source reverse breakdown voltage increased from –166 V for the conventional HEMT to –196 V for the Er 2 O 3 MOS–HEMT. - Highlights: ► GaN/AlGaN/Er 2 O 3 metal-oxide semiconductor high electron mobility transistor ► Physical and electrical characteristics are presented. ► Electron beam evaporated Er 2 O 3 with excellent surface roughness ► Device exhibits reduced gate leakage current and improved I ON /I OFF ratio

  10. Study of hydrogen interaction with SiO2/Si(100) system using positrons

    International Nuclear Information System (INIS)

    Asoka-Kumar, P.; Lynn, K.G.; Leung, T.C.; Nielsen, B.; Wu, X.Y.

    1991-01-01

    We describe positron annihilation studies of SiO 2 /Si(100) structures having 100-nm-thick oxide grown by plasma enhanced chemical vapor deposition. A normalized shape parameter is used to characterize the positron annihilation spectra. Activation and passivation of interface states by atomic hydrogen are demonstrated by repeated vacuum anneal and atomic hydrogen exposure. Hydrogen activation energy is derived for one of the samples as 2.02±0.07 eV

  11. Nanogranular SiO{sub 2} proton gated silicon layer transistor mimicking biological synapses

    Energy Technology Data Exchange (ETDEWEB)

    Liu, M. J.; Huang, G. S., E-mail: gshuang@fudan.edu.cn, E-mail: pfeng@nju.edu.cn; Guo, Q. L.; Tian, Z. A.; Li, G. J.; Mei, Y. F. [Department of Materials Science, Fudan University, Shanghai 200433 (China); Feng, P., E-mail: gshuang@fudan.edu.cn, E-mail: pfeng@nju.edu.cn; Shao, F.; Wan, Q. [School of Electronic Science and Engineering and Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China)

    2016-06-20

    Silicon on insulator (SOI)-based transistors gated by nanogranular SiO{sub 2} proton conducting electrolytes were fabricated to mimic synapse behaviors. This SOI-based device has both top proton gate and bottom buried oxide gate. Electrical transfer properties of top proton gate show hysteresis curves different from those of bottom gate, and therefore, excitatory post-synaptic current and paired pulse facilitation (PPF) behavior of biological synapses are mimicked. Moreover, we noticed that PPF index can be effectively tuned by the spike interval applied on the top proton gate. Synaptic behaviors and functions, like short-term memory, and its properties are also experimentally demonstrated in our device. Such SOI-based electronic synapses are promising for building neuromorphic systems.

  12. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  13. Reliability study of ultra-thin gate oxides on strained-Si/SiGe MOS structures

    International Nuclear Information System (INIS)

    Varzgar, John B.; Kanoun, Mehdi; Uppal, Suresh; Chattopadhyay, Sanatan; Tsang, Yuk Lun; Escobedo-Cousins, Enrique; Olsen, Sarah H.; O'Neill, Anthony; Hellstroem, Per-Erik; Edholm, Jonas; Ostling, Mikael; Lyutovich, Klara; Oehme, Michael; Kasper, Erich

    2006-01-01

    The reliability of gate oxides on bulk Si and strained Si (s-Si) has been evaluated using constant voltage stressing (CVS) to investigate their breakdown characteristics. The s-Si architectures exhibit a shorter life time compared to that of bulk Si, which is attributed to higher bulk oxide charges (Q ox ) and increased surface roughness in the s-Si structures. The gate oxide in the s-Si structure exhibits a hard breakdown (HBD) at 1.9 x 10 4 s, whereas HBD is not observed in bulk Si up to a measurement period of 1.44 x 10 5 s. The shorter lifetime of the s-Si gate oxide is attributed to a larger injected charge (Q inj ) compared to Q inj in bulk Si. Current-voltage (I-V) measurements for bulk Si samples at different stress intervals show an increase in stress induced leakage current (SILC) of two orders in the low voltage regime from zero stress time to up to 5 x 10 4 s. In contrast, superior performance enhancements in terms of drain current, maximum transconductance and effective channel mobility are observed in s-Si MOSFET devices compared to bulk Si. The results from this study indicate that further improvement in gate oxide reliability is needed to exploit the sustained performance enhancement of s-Si devices over bulk Si

  14. Impact of oxide thickness on gate capacitance – Modelling and ...

    Indian Academy of Sciences (India)

    Department of Electronics and Communication Engineering, National ... conventional HEMT, Schottky barrier diode is formed at the gate electrode. .... term corresponds to the energy required for the electric field in the oxide layer and the.

  15. Impact of SiO2 on Al–Al thermocompression wafer bonding

    International Nuclear Information System (INIS)

    Malik, Nishant; Finstad, Terje G; Schjølberg-Henriksen, Kari; Poppe, Erik U; Taklo, Maaike M V

    2015-01-01

    Al–Al thermocompression bonding suitable for wafer level sealing of MEMS devices has been investigated. This paper presents a comparison of thermocompression bonding of Al films deposited on Si with and without a thermal oxide (SiO 2 film). Laminates of diameter 150 mm containing device sealing frames of width 200 µm were realized. The wafers were bonded by applying a bond force of 36 or 60 kN at bonding temperatures ranging from 300–550 °C for bonding times of 15, 30 or 60 min. The effects of these process variations on the quality of the bonded laminates have been studied. The bond quality was estimated by measurements of dicing yield, tensile strength, amount of cohesive fracture in Si and interfacial characterization. The mean bond strength of the tested structures ranged from 18–61 MPa. The laminates with an SiO 2 film had higher dicing yield and bond strength than the laminates without SiO 2 for a 400 °C bonding temperature. The bond strength increased with increasing bonding temperature and bond force. The laminates bonded for 30 and 60 min at 400 °C and 60 kN had similar bond strength and amount of cohesive fracture in the bulk silicon, while the laminates bonded for 15 min had significantly lower bond strength and amount of cohesive fracture in the bulk silicon. (paper)

  16. Low-power DRAM-compatible Replacement Gate High-k/Metal Gate Stacks

    Science.gov (United States)

    Ritzenthaler, R.; Schram, T.; Bury, E.; Spessot, A.; Caillat, C.; Srividya, V.; Sebaai, F.; Mitard, J.; Ragnarsson, L.-Å.; Groeseneken, G.; Horiguchi, N.; Fazan, P.; Thean, A.

    2013-06-01

    In this work, the possibility of integration of High-k/Metal Gate (HKMG), Replacement Metal Gate (RMG) gate stacks for low power DRAM compatible transistors is studied. First, it is shown that RMG gate stacks used for Logic applications need to be seriously reconsidered, because of the additional anneal(s) needed in a DRAM process. New solutions are therefore developed. A PMOS stack HfO2/TiN with TiN deposited in three times combined with Work Function metal oxidations is demonstrated, featuring a very good Work Function of 4.95 eV. On the other hand, the NMOS side is shown to be a thornier problem to solve: a new solution based on the use of oxidized Ta as a diffusion barrier is proposed, and a HfO2/TiN/TaOX/TiAl/TiN/TiN gate stack featuring an aggressive Work Function of 4.35 eV (allowing a Work Function separation of 600 mV between NMOS and PMOS) is demonstrated. This work paves the way toward the integration of gate-last options for DRAM periphery transistors.

  17. Wannier–Stark electro-optical effect, quasi-guided and photonic modes in 2D macroporous silicon structures with SiO_2 coatings

    International Nuclear Information System (INIS)

    Karachevtseva, L.; Goltviansky, Yu.; Sapelnikova, O.; Lytvynenko, O.; Stronska, O.; Bo, Wang; Kartel, M.

    2016-01-01

    Highlights: • The IR absorption spectra of oxidized macroporous silicon were studied. • The Wannier–Stark electro-optical effect on Si-SiO_2 boundary was confirmed. • An additional electric field of quasi-guided optical modes was evaluated. • The photonic modes and band gaps were measured as peculiarities in absorption spectra. - Abstract: Opportunities to enhance the properties of structured surfaces were demonstrated on 2D macroporous silicon structures with SiO_2 coatings. We investigated the IR light absorption oscillations in macroporous silicon structures with SiO2 coatings 0–800 nm thick. The Wannier–Stark electro-optical effect due to strong electric field on Si-SiO_2boundary and an additional electric field of quasi-guided optical modes were taken into account. The photonic modes and band gaps were also considered as peculiarities in absorbance spectra of macroporous silicon structures with a thick SiO_2 coating. The photonic modes do not coincide with the quasi-guided modes in the silicon matrix and do not appear in absorption spectra of 2D macroporous silicon structures with surface nanocrystals.

  18. The TDDB Characteristics of Ultra-Thin Gate Oxide MOS Capacitors under Constant Voltage Stress and Substrate Hot-Carrier Injection

    Directory of Open Access Journals (Sweden)

    Jingyu Shen

    2018-01-01

    Full Text Available The breakdown characteristics of ultra-thin gate oxide MOS capacitors fabricated in 65 nm CMOS technology under constant voltage stress and substrate hot-carrier injection are investigated. Compared to normal thick gate oxide, the degradation mechanism of time-dependent dielectric breakdown (TDDB of ultra-thin gate oxide is found to be different. It is found that the gate current (Ig of ultra-thin gate oxide MOS capacitor is more likely to be induced not only by Fowler-Nordheim (F-N tunneling electrons, but also by electrons surmounting barrier and penetrating electrons in the condition of constant voltage stress. Moreover it is shown that the time to breakdown (tbd under substrate hot-carrier injection is far less than that under constant voltage stress when the failure criterion is defined as a hard breakdown according to the experimental results. The TDDB mechanism of ultra-thin gate oxide will be detailed. The differences in TDDB characteristics of MOS capacitors induced by constant voltage stress and substrate hot-carrier injection will be also discussed.

  19. Contribution to the study of metal-oxide-semiconductor devices with optical access. In2O3-SiO2-Si structure

    International Nuclear Information System (INIS)

    Thenoz, Yves.

    1974-01-01

    A general study of the fabrication of the structure In 2 O 3 /SiO 2 /Si was made encompassing the problems posed during the realization of these structures. The sputtering study enabled the influence of the main parameters on layer properties to be determined. The decisive importance of clean conditions throughout fabrication (especially during sputtering) on the properties of In 2 O 3 layers and on those of the structure and its stability was revealed. However, the problem of ageing of the structure were not investigated. Finally, the construction of MOS capacitors and transistors showed that In 2 O 3 /SiO 2 /Si structures can be used in MOS circuits [fr

  20. Metabolomic effects of CeO2, SiO2 and CuO metal oxide nanomaterials on HepG2 cells

    Science.gov (United States)

    To better assess potential hepatotoxicity of nanomaterials, human liver HepG2 cells were exposed for 3 days to five different CeO2 (either 30 or 100 μg/ml), 3 SiO2 based (30 μg/ml) or 1 CuO (3 μg/ml) nanomaterials with dry primary particle sizes ranging from 15 to 213 nm. Metabol...

  1. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    Science.gov (United States)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  2. Pulsed laser deposition of oxide gate dielectrics for pentacene organic field-effect transistors

    International Nuclear Information System (INIS)

    Yaginuma, S.; Yamaguchi, J.; Itaka, K.; Koinuma, H.

    2005-01-01

    We have fabricated Al 2 O 3 , LaAlO 3 (LAO), CaHfO 3 (CHO) and CaZrO 3 (CZO) thin films for the dielectric layers of field-effect transistors (FETs) by pulsed laser deposition (PLD). The films exhibited very smooth surfaces with root-mean-squares (rms) roughnesses of ∼1.3 A as evaluated by using atomic force microscopy (AFM). The breakdown electric fields of Al 2 O 3 , LAO, CHO and CZO films were 7, 6, 10 and 2 MV/cm, respectively. The magnitude of the leak current in each film was low enough to operate FET. We performed a comparative study of pentacene FET fabricated using these oxide dielectrics as gate insulators. High field-effect mobility of 1.4 cm 2 /V s and on/off current ratio of 10 7 were obtained in the pentacene FET using LAO gate insulating film. Use of the LAO films as gate dielectrics has been found to suppress the hysteresis of pentacene FET operations. The LAO films are relevant to the dielectric layer of organic FETs

  3. Investigation of Electrical and Optical Characteristics of Nanohybride Composite (Polyvinyl Alcohol / Nickel Oxide

    Directory of Open Access Journals (Sweden)

    A. Hayati

    2014-01-01

    Full Text Available Some issues; leakage, tunneling currents, boron diffusion are threatening SiO2 to be used as a good gate dielectric for the future of the CMOS (complementary metal- oxide- semiconductor transistors. For finding an alternative and novel gate dielectric, the NiO (Nickel oxide and PVA (polyvinyl alcohol nano powders were synthesized with the sol-gel method and their nano structural properties were studied using the X-ray diffraction (XRD, Atomic force microscopy (AFM, Scanning electron microscopy (SEM, UV-Vis spectrophotometer and GPS 132 techniques. The obtained results indicated that the sample (5 g NiO and 0.02g PVA prepared at 30˚C, annealed in an oven at a temperature of 80˚C can fill this gap due to its higher dielectric constant, better morphology, less rough surface and less leakage current.

  4. Nonvolatile Memories Using Quantum Dot (QD) Floating Gates Assembled on II-VI Tunnel Insulators

    Science.gov (United States)

    Suarez, E.; Gogna, M.; Al-Amoody, F.; Karmakar, S.; Ayers, J.; Heller, E.; Jain, F.

    2010-07-01

    This paper presents preliminary data on quantum dot gate nonvolatile memories using nearly lattice-matched ZnS/Zn0.95Mg0.05S/ZnS tunnel insulators. The GeO x -cladded Ge and SiO x -cladded Si quantum dots (QDs) are self-assembled site-specifically on the II-VI insulator grown epitaxially over the Si channel (formed between the source and drain region). The pseudomorphic II-VI stack serves both as a tunnel insulator and a high- κ dielectric. The effect of Mg incorporation in ZnMgS is also investigated. For the control gate insulator, we have used Si3N4 and SiO2 layers grown by plasma- enhanced chemical vapor deposition.

  5. Structured-gate organic field-effect transistors

    International Nuclear Information System (INIS)

    Aljada, Muhsen; Pandey, Ajay K; Velusamy, Marappan; Burn, Paul L; Meredith, Paul; Namdas, Ebinazar B

    2012-01-01

    We report the fabrication and electrical characteristics of structured-gate organic field-effect transistors consisting of a gate electrode patterned with three-dimensional pillars. The pillar gate electrode was over-coated with a gate dielectric (SiO 2 ) and solution processed organic semiconductors producing both unipolar p-type and bipolar behaviour. We show that this new structured-gate architecture delivers higher source-drain currents, higher gate capacitance per unit equivalent linear channel area, and enhanced charge injection (electrons and/or holes) versus the conventional planar structure in all modes of operation. For the bipolar field-effect transistor (FET) the maximum source-drain current enhancements in p- and n-channel mode were >600% and 28%, respectively, leading to p and n charge mobilities with the same order of magnitude. Thus, we have demonstrated that it is possible to use the FET architecture to manipulate and match carrier mobilities of material combinations where one charge carrier is normally dominant. Mobility matching is advantageous for creating organic logic circuit elements such as inverters and amplifiers. Hence, the method represents a facile and generic strategy for improving the performance of standard organic semiconductors as well as new materials and blends. (paper)

  6. Structured-gate organic field-effect transistors

    Science.gov (United States)

    Aljada, Muhsen; Pandey, Ajay K.; Velusamy, Marappan; Burn, Paul L.; Meredith, Paul; Namdas, Ebinazar B.

    2012-06-01

    We report the fabrication and electrical characteristics of structured-gate organic field-effect transistors consisting of a gate electrode patterned with three-dimensional pillars. The pillar gate electrode was over-coated with a gate dielectric (SiO2) and solution processed organic semiconductors producing both unipolar p-type and bipolar behaviour. We show that this new structured-gate architecture delivers higher source-drain currents, higher gate capacitance per unit equivalent linear channel area, and enhanced charge injection (electrons and/or holes) versus the conventional planar structure in all modes of operation. For the bipolar field-effect transistor (FET) the maximum source-drain current enhancements in p- and n-channel mode were >600% and 28%, respectively, leading to p and n charge mobilities with the same order of magnitude. Thus, we have demonstrated that it is possible to use the FET architecture to manipulate and match carrier mobilities of material combinations where one charge carrier is normally dominant. Mobility matching is advantageous for creating organic logic circuit elements such as inverters and amplifiers. Hence, the method represents a facile and generic strategy for improving the performance of standard organic semiconductors as well as new materials and blends.

  7. Moessbauer studies of magnetic Fe2O3/SiO2 nanocomposite

    International Nuclear Information System (INIS)

    Lancok, A.; Zaveta, K.; Savii, C.; Barcova, K.

    2006-01-01

    Fe 2 O 3 /SiO 2 magnetic nanocomposites rich in Fe 2 O 3 have been obtained by annealing at 1000 grad C the xerogel samples, prepared under various conditions. The target concentrations of iron oxide in inert matrix were 20% and 30%. As mesoporous matrices both silica and polyvinyl alcohol - silica hybrid ones were used. The xerogel nanocomposite samples were obtained in situ and by impregnation under ultrasonic activation. All obtained samples were annealed under moderate oxidation conditions (air) and inert atmosphere such as vacuum or nitrogen. Moessbauer spectra were obtained using a conventional Moessbauer spectrometer with a 57 Co/Rh source and constant acceleration. Velocity calibration was done using α-iron, and the Moessbauer parameters are given relative to this standard at room temperature. The Moessbauer spectra contained the sextets of ε-Fe 2 O 3 , hematite, and superparamagnetic component. The content of various phases in the samples depends on the conditions of preparation. In one of the samples also magnetite was present. The ranges of the ε-Fe 2 O 3 area of the samples are 39-76%. The hematite phase is only residual, after transformation due to heat treatment. (authors)

  8. An oxide filled extended trench gate super junction MOSFET structure

    International Nuclear Information System (INIS)

    Cai-Lin, Wang; Jun, Sun

    2009-01-01

    This paper proposes an oxide filled extended trench gate super junction (SJ) MOSFET structure to meet the need of higher frequency power switches application. Compared with the conventional trench gate SJ MOSFET, new structure has the smaller input and output capacitances, and the remarkable improvements in the breakdown voltage, on-resistance and switching speed. Furthermore, the SJ in the new structure can be realized by the existing trench etching and shallow angle implantation, which offers more freedom to SJ MOSFET device design and fabrication. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  9. Transfer-free graphene synthesis on sapphire by catalyst metal agglomeration technique and demonstration of top-gate field-effect transistors

    International Nuclear Information System (INIS)

    Miyoshi, Makoto; Arima, Yukinori; Kubo, Toshiharu; Egawa, Takashi; Mizuno, Masaya; Soga, Tetsuo

    2015-01-01

    Transfer-free graphene synthesis was performed on sapphire substrates by using the catalyst metal agglomeration technique, and the graphene film quality was compared to that synthesized on sputtered SiO 2 /Si substrates. Raman scattering measurements indicated that the graphene film on sapphire has better structural qualities than that on sputtered SiO 2 /Si substrates. The cross-sectional transmission microscopic study also revealed that the film flatness was drastically improved by using sapphire substrates instead of sputtered SiO 2 /Si substrates. These quality improvements seemed to be due the chemical and thermal stabilities of sapphire. Top-gate field-effect transistors were fabricated using the graphene films on sapphire, and it was confirmed that their drain current can be modulated with applied gate voltages. The maximum field-effect mobilities were estimated to be 720 cm 2 /V s for electrons and 880 cm 2 /V s for holes, respectively

  10. SEMICONDUCTOR DEVICES: Structural and electrical characteristics of lanthanum oxide gate dielectric film on GaAs pHEMT technology

    Science.gov (United States)

    Chia-Song, Wu; Hsing-Chung, Liu

    2009-11-01

    This paper investigates the feasibility of using a lanthanum oxide thin film (La2O3) with a high dielectric constant as a gate dielectric on GaAs pHEMTs to reduce gate leakage current and improve the gate to drain breakdown voltage relative to the conventional GaAs pHEMT. An E/D mode pHEMT in a single chip was realized by selecting the appropriate La2O3 thickness. The thin La2O3 film was characterized: its chemical composition and crystalline structure were determined by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. La2O3 exhibited good thermal stability after post-deposition annealing at 200, 400 and 600 °C because of its high binding-energy (835.6 eV). Experimental results clearly demonstrated that the La2O3 thin film was thermally stable. The DC and RF characteristics of Pt/La2O3/Ti/Au gate and conventional Pt/Ti/Au gate pHEMTs were examined. The measurements indicated that the transistor with the Pt/La2O3/Ti/Au gate had a higher breakdown voltage and lower gate leakage current. Accordingly, the La2O3 thin film is a potential high-k material for use as a gate dielectric to improve electrical performance and the thermal effect in high-power applications.

  11. Research on total-dose hardening for H-gate PD NMOSFET/SIMOX by ion implanting into buried oxide

    International Nuclear Information System (INIS)

    Qian Cong; Zhang Zhengxuan; Zhang Feng; Lin Chenglu

    2008-01-01

    In this work, we investigate the back-gate I-V characteristics for two kinds of NMOSFET/SIMOX transistors with H gate structure fabricated on two different SOI wafers. A transistors are made on the wafer implanted with Si + and then annealed in N 2 , and B transistors are made on the wafer without implantation and annealing. It is demonstrated experimentally that A transistors have much less back-gate threshold voltage shift ΔV th than B transistors under X-ray total close irradiation. Subthreshold charge separation technique is employed to estimate the build-up of oxide charge and interface traps during irradiation, showing that the reduced ΔV th for A transistors is mainly due to its less build-up of oxide charge than B transistors. Photo-luminescence (PL) research indicates that Si implantation results in the formation of silicon nanocrystalline (nanocluster) whose size increases with the implant dose. This structure can trap electrons to compensate the positive charge build-up in the buried oxide during irradiation, and thus reduce the threshold voltage negative shift. (authors)

  12. Comparison Study on the Microstructure of Nanocrystalline TiO2 in Different Ti-Si Binary Oxides

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    Three different Ti-Si oxide structuares, silica supported titania, silica coated titania and intimately mixed silicatitania, containing 10%-40% SiO2, were made by sol-gel process. The variations of microstructure parameters of nanocrystalline (nc) TiO2-anatase in the three kirds of binary oxides, including in-plane spacing d, cell constants (a0, CQ), cell volume V, cell axial ratio c0/a0 and crystal grain size, were comparatively investigated by high resolution transmission electron microscopy (HRTEM) and X-ray diffraction (XRD). It is found that the microstructure parameters vary remarkably with increasing SiO2 content and annealing temperature. Different structured Ti-Si binary oxides lead to different variation tendencies of microstructure parameters. The more SiO2 the binary oxide contains, the more lattice defects of nc TiO2-anatase appear; diffusion or migration of Si cations could be an important influential factor in the variations of microstructure. The grain size of nc TiO2 in the three kinds of binary oxides not only depends on SiO2 content and annealing temperature but also on the degree of lattice microstrain and distortion of nc TiO2-anatase. Both grain size and phase transformation of nc TiO2-anatase are effectively inhibited with increasing SiOa content.

  13. SiO2 Glass Density to Lower-Mantle Pressures

    DEFF Research Database (Denmark)

    Petitgirard, Sylvain; Malfait, Wim J.; Journaux, Baptiste

    2017-01-01

    and present Earth. SiO2 is the main constituent of Earth's mantle and is the reference model system for the behavior of silicate melts at high pressure. Here, we apply our recently developed x-ray absorption technique to the density of SiO2 glass up to 110 GPa, doubling the pressure range...... for such measurements. Our density data validate recent molecular dynamics simulations and are in good agreement with previous experimental studies conducted at lower pressure. Silica glass rapidly densifies up to 40 GPa, but the density trend then flattens to become asymptotic to the density of SiO2 minerals above 60...... GPa. The density data present two discontinuities at similar to 17 and similar to 60 GPa that can be related to a silicon coordination increase from 4 to a mixed 5/6 coordination and from 5/6 to sixfold, respectively. SiO2 glass becomes denser than MgSiO3 glass at similar to 40 GPa, and its density...

  14. Concentration effect of Tm3+ on cathodoluminescence properties of SiO2: Tm3+ and SiO2:Ho3+, Tm3+ systems

    CSIR Research Space (South Africa)

    Dhlamini, MS

    2012-05-01

    Full Text Available .physb.2011.09.091 Concentration effect of Tm3+ on cathodoluminescence properties of SiO2: Tm 3+ and SiO2:Ho 3+, Tm3+ systems M.S. Dhlamini, G.H. Mhlongo, H.C. Swart, O.M. Ntwaeaborwa, K.T. Hillie ABSTRACT: Cathodoluminescence (CL) properties of Si...O2 powders activated with thulium (Tm3+) and holmium (Ho3+) ions prepared by a sol–gel process were investigated. Different molar concentrations of Tm3+ co-doped with Ho3+ were studied. The 460 nm peak was monitored and the influence of the beam...

  15. In2Ga2ZnO7 oxide semiconductor based charge trap device for NAND flash memory

    Science.gov (United States)

    Hwang, Eun Suk; Kim, Jun Shik; Jeon, Seok Min; Lee, Seung Jun; Jang, Younjin; Cho, Deok-Yong; Hwang, Cheol Seong

    2018-04-01

    The programming characteristics of charge trap flash memory device adopting amorphous In2Ga2ZnO7 (a-IGZO) oxide semiconductors as channel layer were evaluated. Metal-organic chemical vapor deposition (MOCVD) and RF-sputtering processes were used to grow a 45 nm thick a-IGZO layer on a 20 nm thick SiO2 (blocking oxide)/p++-Si (control gate) substrate, where 3 nm thick atomic layer deposited Al2O3 (tunneling oxide) and 5 nm thick low-pressure CVD Si3N4 (charge trap) layers were intervened between the a-IGZO and substrate. Despite the identical stoichiometry and other physicochemical properties of the MOCVD and sputtered a-IGZO, a much faster programming speed of MOCVD a-IGZO was observed. A comparable amount of oxygen vacancies was found in both MOCVD and sputtered a-IGZO, confirmed by x-ray photoelectron spectroscopy and bias-illumination-instability test measurements. Ultraviolet photoelectron spectroscopy analysis revealed a higher Fermi level (E F) of the MOCVD a-IGZO (∼0.3 eV) film than that of the sputtered a-IGZO, which could be ascribed to the higher hydrogen concentration in the MOCVD a-IGZO film. Since the programming in a flash memory device is governed by the tunneling of electrons from the channel to charge trapping layer, the faster programming performance could be the result of a higher E F of MOCVD a-IGZO.

  16. Low-temperature formation of high-quality gate oxide by ultraviolet irradiation on spin-on-glass

    Energy Technology Data Exchange (ETDEWEB)

    Usuda, R.; Uchida, K.; Nozaki, S., E-mail: nozaki@ee.uec.ac.jp [Graduate School of Informatics and Engineering, The University of Electro-Communications, 1-5-1 Chofugaoka, Chofu-shi, Tokyo 182-1515 (Japan)

    2015-11-02

    Although a UV cure was found to effectively convert a perhydropolysilazane (PHPS) spin-on-glass film into a dense SiO{sub x} film at low temperature, the electrical characteristics were never reported in order to recommend the use of PHPS as a gate-oxide material that can be formed at low temperature. We have formed a high-quality gate oxide by UV irradiation on the PHPS film, and obtained an interface midgap trap density of 3.4 × 10{sup 11 }cm{sup −2} eV{sup −1} by the UV wet oxidation and UV post-metallization annealing (PMA), at a temperature as low as 160 °C. In contrast to the UV irradiation using short-wavelength UV light, which is well known to enhance oxidation by the production of the excited states of oxygen, the UV irradiation was carried out using longer-wavelength UV light from a metal halide lamp. The UV irradiation during the wet oxidation of the PHPS film generates electron-hole pairs. The electrons ionize the H{sub 2}O molecules and facilitate dissociation of the molecules into H and OH{sup −}. The OH{sup −} ions are highly reactive with Si and improve the stoichiometry of the oxide. The UV irradiation during the PMA excites the electrons from the accumulation layer, and the built-in electric field makes the electron injection into the oxide much easier. The electrons injected into the oxide recombine with the trapped holes, which have caused a large negative flat band voltage shift after the UV wet oxidation, and also ionize the H{sub 2}O molecules. The ionization results in the electron stimulated dissociation of H{sub 2}O molecules and the decreased interface trap density.

  17. Emission Mechanisms of Si Nanocrystals and Defects in SiO2 Materials

    Directory of Open Access Journals (Sweden)

    José Antonio Rodríguez

    2014-01-01

    Full Text Available Motivated by the necessity to have all silicon optoelectronic circuits, researchers around the world are working with light emitting silicon materials. Such materials are silicon dielectric compounds with silicon content altered, such as silicon oxide or nitride, enriched in different ways with Silicon. Silicon Rich Oxide or silicon dioxide enriched with silicon, and silicon rich nitride are without a doubt the most promising materials to reach this goal. Even though they are subjected to countless studies, the light emission phenomenon has not been completely clarified. So, a review of different proposals presented to understand the light emission phenomenon including emissions related to nanocrystals and to point defects in SiO2 is presented.

  18. Bias-induced migration of ionized donors in amorphous oxide semiconductor thin-film transistors with full bottom-gate and partial top-gate structures

    Directory of Open Access Journals (Sweden)

    Mallory Mativenga

    2012-09-01

    Full Text Available Bias-induced charge migration in amorphous oxide semiconductor thin-film transistors (TFTs confirmed by overshoots of mobility after bias stressing dual gated TFTs is presented. The overshoots in mobility are reversible and only occur in TFTs with a full bottom-gate (covers the whole channel and partial top-gate (covers only a portion of the channel, indicating a bias-induced uneven distribution of ionized donors: Ionized donors migrate towards the region of the channel that is located underneath the partial top-gate and the decrease in the density of ionized donors in the uncovered portion results in the reversible increase in mobility.

  19. More accurate definition of liquation dome in Na2O-B2O3-SiO2 system

    International Nuclear Information System (INIS)

    Alekseeva, Z.D.; Mazurin, O.V.; Aver'yanov, V.I.; Galakhov, F.Ya.

    1977-01-01

    The liquation region in the system Na 2 O-B 2 O 3 -SiO 2 was investigated. The liquation temperatures were determined by the boundary of disappearance of opalescence in specimens with pre-induced opalescence. Extrapolation of the concentration dependences of the liquation temperature along sections with a constant SiO 2 content to a point indicates that with a SiO 2 content of 20-40% the liquation boundary in the system B 2 O 3 -SiO 2 hardly lies above 450 deg C

  20. Adsorption of cationic dye on a biohybrid SiO2-alginate

    International Nuclear Information System (INIS)

    Barrón Zambrano, J A; Ávila Ortega, A; Muñoz Rodríguez, D; Carrera Figueiras, C; López-Pérez, A J

    2013-01-01

    In this work, a biohybrid material based on SiO 2 -alginate was obtained and its properties of adsorption evaluated using methylene blue as a model dye. The experimental results showed that the biohybrid SiO 2 -alginate has a higher adsorption ability compared to their base compounds (SiO 2 and alginate). Methylene blue adsorption is pH dependent, resulting in a maximum adsorption at pH = 8. The sorption kinetics rate is similar to SiO 2 . Kinetic data were fitted to a model of pseudosecond order. The experimental isotherms fit well the Langmuir model.

  1. Oligo- and polymeric FET devices: Thiophene-based active materials and their interaction with different gate dielectrics

    International Nuclear Information System (INIS)

    Porzio, W.; Destri, S.; Pasini, M.; Bolognesi, A.; Angiulli, A.; Di Gianvincenzo, P.; Natali, D.; Sampietro, M.; Caironi, M.; Fumagalli, L.; Ferrari, S.; Peron, E.; Perissinotti, F.

    2006-01-01

    Derivatives of both oligo- and polythiophene-based FET were recently considered for low cost electronic applications. In the device optimization, factors like redox reversibility of the molecule/polymer, electronic level compatibility with source/drain electrodes, packing closeness, and orientation versus the electrodes, can determine the overall performance. In addition, a gate insulator with a high dielectric constant, a low leakage current, and capability to promote ordering in the semiconductor is required to increase device performances and to lower the FET operating voltage. In this view, Al 2 O 3 appears a good candidate, although its widespread adoption is limited by the disorder that such oxide induces on the semiconductor with detrimental consequences on semiconductor electrical properties. In this contribution, an overview of recent results obtained on thiophene-derivative-based FET devices, fabricated by different growth techniques, and using both thermally grown SiO 2 and Al 2 O 3 from atomic layer deposition gate insulators will be reported and discussed with particular reference to organic solid state aggregation, morphology, and organic-inorganic interface

  2. Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO{sub 2} nanogranular films

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Li Qiang, E-mail: lqzhu@nimte.ac.cn; Chao, Jin Yu; Xiao, Hui [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China)

    2014-12-15

    Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO{sub 2} nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics.

  3. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    Science.gov (United States)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  4. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    Science.gov (United States)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  5. Ambipolar transport in CVD grown MoSe2 monolayer using an ionic liquid gel gate dielectric

    Directory of Open Access Journals (Sweden)

    Deliris N. Ortiz

    2018-03-01

    Full Text Available CVD grown MoSe2 monolayers were electrically characterized at room temperature in a field effect transistor (FET configuration using an ionic liquid (IL as the gate dielectric. During the growth, instead of using MoO3 powder, ammonium heptamolybdate was used for better Mo control of the source and sodium cholate added for lager MoSe2 growth areas. In addition, a high specific capacitance (∼7 μF/cm2 IL was used as the gate dielectric to significantly reduce the operating voltage. The device exhibited ambipolar charge transport at low voltages with enhanced parameters during n- and p-FET operation. IL gating thins the Schottky barrier at the metal/semiconductor interface permitting efficient charge injection into the channel and reduces the effects of contact resistance on device performance. The large specific capacitance of the IL was also responsible for a much higher induced charge density compared to the standard SiO2 dielectric. The device was successfully tested as an inverter with a gain of ∼2. Using a common metal for contacts simplifies fabrication of this ambipolar device, and the possibility of radiative recombination of holes and electrons could further extend its use in low power optoelectronic applications.

  6. Development of III-V p-MOSFETs with high-kappa gate stack for future CMOS applications

    Science.gov (United States)

    Nagaiah, Padmaja

    As the semiconductor industry approaches the limits of traditional silicon CMOS scaling, non-silicon materials and new device architectures are gradually being introduced to improve Si integrated circuit performance and continue transistor scaling. Recently, the replacement of SiO2 with a high-k material (HfO2) as gate dielectric has essentially removed one of the biggest advantages of Si as channel material. As a result, alternate high mobility materials are being considered to replace Si in the channel to achieve higher drive currents and switching speeds. III-V materials in particular have become of great interest as channel materials, owing to their superior electron transport properties. However, there are several critical challenges that need to be addressed before III-V based CMOS can replace Si CMOS technology. Some of these challenges include development of a high quality, thermally stable gate dielectric/III-V interface, and improvement in III-V p-channel hole mobility to complement the n-channel mobility, low source/drain resistance and integration onto Si substrate. In this thesis, we would be addressing the first two issues i.e. the development high performance III-V p-channels and obtaining high quality III-V/high-k interface. We start with using the device architecture of the already established InGaAs n-channels as a baseline to understand the effect of remote scattering from the high-k oxide and oxide/semiconductor interface on channel transport properties such as electron mobility and channel electron concentration. Temperature dependent Hall electron mobility measurements were performed to separate various scattering induced mobility limiting factors. Dependence of channel mobility on proximity of the channel to the oxide interface, oxide thickness, annealing conditions are discussed. The results from this work will be used in the design of the p-channel MOSFETs. Following this, InxGa1-xAs (x>0.53) is chosen as channel material for developing p

  7. Physical and Electrical Properties of SiO2 Layer Synthesized by Eco-Friendly Method

    Science.gov (United States)

    Kim, Jong-Woong; Kim, Young-Seok; Hong, Sung-Jei; Hong, Tae-Hwan; Han, Jeong-In

    2010-05-01

    SiO2 thin film has a wide range of applications, including insulation layers in microelectronic devices, such as semiconductors and flat panel displays, due to its advantageous characteristics. Herein, we developed a new eco-friendly method for manufacturing SiO2 nanoparticles and, thereby, SiO2 paste to be used in the digital printing process for the fabrication of SiO2 film. By excluding harmful Cl- and NO3- elements from the SiO2 nanoparticle synthetic process, we were able to lower the heat treatment temperature for the SiO2 precursor from 600 to 300 °C and the diameter of the final SiO2 nanoparticles to about 14 nm. The synthesized SiO2 nanoparticles were dispersed in an organic solvent with additives to make a SiO2 paste for feasibility testing. The SiO2 paste was printed onto a glass substrate to test the feasibility of using it for digital printing. The insulation resistance of the printed film was high enough for it to be used as an insulation layer for passivation.

  8. In vitro cytotoxicity of SiO2 or ZnO nanoparticles with different sizes and surface charges on U373MG human glioblastoma cells

    Directory of Open Access Journals (Sweden)

    Kim JE

    2014-12-01

    Full Text Available Jung-Eun Kim,1,* Hyejin Kim,1,* Seong Soo A An,2 Eun Ho Maeng,3 Meyoung-Kon Kim,4 Yoon-Jae Song1 1Department of Life Science, 2Department of Bionano Technology, Gachon University, Seongnam-Si, South Korea; 3Korea Testing and Research Institute, Seoul, South Korea; 4Department of Biochemistry and Molecular Biology, Korea University Medical School and College, Seoul, South Korea *These authors contributed equally to this work Abstract: Silicon dioxide (SiO2 and zinc oxide (ZnO nanoparticles are widely used in various applications, raising issues regarding the possible adverse effects of these metal oxide nanoparticles on human cells. In this study, we determined the cytotoxic effects of differently charged SiO2 and ZnO nanoparticles, with mean sizes of either 100 or 20 nm, on the U373MG human glioblastoma cell line. The overall cytotoxicity of ZnO nanoparticles against U373MG cells was significantly higher than that of SiO2 nanoparticles. Neither the size nor the surface charge of the ZnO nanoparticles affected their cytotoxicity against U373MG cells. The 20 nm SiO2 nanoparticles were more toxic than the 100 nm nanoparticles against U373MG cells, but the surface charge had little or no effect on their cytotoxicity. Both SiO2 and ZnO nanoparticles activated caspase-3 and induced DNA fragmentation in U373MG cells, suggesting the induction of apoptosis. Thus, SiO2 and ZnO nanoparticles appear to exert cytotoxic effects against U373MG cells, possibly via apoptosis. Keyword: apoptosis

  9. Magnetic nanoparticles induced dielectric enhancement in (La, Gd)2O3: SiO2 composite systems

    Science.gov (United States)

    Kao, T. H.; Mukherjee, S.; Yang, H. D.

    2013-11-01

    Magnetic Gd2O3 and non-magnetic La2O3 nanoparticles (NPs) have been synthesized together with different doping concentrations in SiO2 matrix via sol-gel route calcination at 700 °C and above. Properly annealed NP-glass composite systems show enhancement of dielectric constant and magnetodielectric effect (MDE) near room temperature, depending on superparamagnetic NPs concentrations. From application point of view, the enhancement of dielectric constant along with MDE can be achieved by tuning the NPs size through varying calcination temperature and/or increasing the doping concentration of magnetic rare earth oxide.

  10. Reaction mechanisms at 4H-SiC/SiO2 interface during wet SiC oxidation

    Science.gov (United States)

    Akiyama, Toru; Hori, Shinsuke; Nakamura, Kohji; Ito, Tomonori; Kageshima, Hiroyuki; Uematsu, Masashi; Shiraishi, Kenji

    2018-04-01

    The reaction processes at the interface between SiC with 4H structure (4H-SiC) and SiO2 during wet oxidation are investigated by electronic structure calculations within the density functional theory. Our calculations for 4H-SiC/SiO2 interfaces with various orientations demonstrate characteristic features of the reaction depending on the crystal orientation of SiC: On the Si-face, the H2O molecule is stable in SiO2 and hardly reacts with the SiC substrate, while the O atom of H2O can form Si-O bonds at the C-face interface. Two OH groups are found to be at least necessary for forming new Si-O bonds at the Si-face interface, indicating that the oxidation rate on the Si-face is very low compared with that on the C-face. On the other hand, both the H2O molecule and the OH group are incorporated into the C-face interface, and the energy barrier for OH is similar to that for H2O. By comparing the calculated energy barriers for these reactants with the activation energies of oxide growth rate, we suggest the orientation-dependent rate-limiting processes during wet SiC oxidation.

  11. UV-VUV laser induced phenomena in SiO2 glass

    International Nuclear Information System (INIS)

    Kajihara, Koichi; Ikuta, Yoshiaki; Oto, Masanori; Hirano, Masahiro; Skuja, Linards; Hosono, Hideo

    2004-01-01

    Creation and annihilation of point defects were studied for SiO 2 glass exposed to ultraviolet (UV) and vacuum UV (VUV) lights to improve transparency and radiation toughness of SiO 2 glass to UV-VUV laser light. Topologically disordered structure of SiO 2 glass featured by the distribution of Si-O-Si angle is a critical factor degrading transmittance near the fundamental absorption edge. Doping with terminal functional groups enhances the structural relaxation and reduces the number of strained Si-O-Si bonds by breaking up the glass network without creating the color centers. Transmittance and laser toughness of SiO 2 glass for F 2 laser is greatly improved in fluorine-doped SiO 2 glass, often referred as 'modified silica glass'. Interstitial hydrogenous species are mobile and reactive at ambient temperature, and play an important role in photochemical reactions induced by exposure to UV-VUV laser light. They terminate the dangling-bond type color centers, while enhancing the formation of the oxygen vacancies. These findings are utilized to develop a deep-UV optical fiber transmitting ArF laser photons with low radiation damage

  12. Effects of Na2WO4 and Na2SiO3 additives in electrolytes on microstructure and properties of PEO coatings on Q235 carbon steel

    International Nuclear Information System (INIS)

    Wang Yunlong; Jiang Zhaohua; Yao Zhongping

    2009-01-01

    Ceramic coatings were achieved on Q235 carbon steel by plasma electrolytic oxidation in aluminate system with and without Na 2 WO 4 and Na 2 SiO 3 additives in electrolyte. Influence of Na 2 WO 4 and Na 2 SiO 3 on surface morphology, phase and elemental composition of PEO coatings were examined by means of scanning electron microscope (SEM), thin-film X-ray diffraction (TF-XRD) and energy dispersive X-ray spectroscopy (EDS). Effects of the two additives on the properties of the coatings including surface roughness, surface micro hardness and friction coefficient were studied. The results showed that W from Na 2 WO 4 and Si from Na 2 SiO 3 in electrolytes entered into the coatings. Na 2 WO 4 additive had no evident effect on phase composition of the coating, while Na 2 SiO 3 additive resulted in the coating changing from crystalline state to amorphous state and increased the content of P in the coating. Both additives reduced the surface roughness of the coatings. With Na 2 WO 4 or Na 2 SiO 3 into the electrolytes, the surface micro hardness of the coating was enhanced to 1433 and 1478, respectively, and the friction coefficients were also decreased to below 0.1.

  13. Investigation of 6T SRAM memory circuit using high-k dielectrics based nano scale junctionless transistor

    Science.gov (United States)

    Charles Pravin, J.; Nirmal, D.; Prajoon, P.; Mohan Kumar, N.; Ajayan, J.

    2017-04-01

    In this paper the Dual Metal Surround Gate Junctionless Transistor (DMSGJLT) has been implemented with various high-k dielectric. The leakage current in the device is analysed in detail by obtaining the band structure for different high-k dielectric material. It is noticed that with increasing dielectric constant the device provides more resistance for the direct tunnelling of electron in off state. The gate oxide capacitance also shows 0.1 μF improvement with Hafnium Oxide (HfO2) than Silicon Oxide (SiO2). This paved the way for a better memory application when high-k dielectric is used. The Six Transistor (6T) Static Random Access Memory (SRAM) circuit implemented shows 41.4% improvement in read noise margin for HfO2 than SiO2. It also shows 37.49% improvement in write noise margin and 30.16% improvement in hold noise margin for HfO2 than SiO2.

  14. Rat Aquaporin-5 Is pH-Gated Induced by Phosphorylation and Is Implicated in Oxidative Stress

    Directory of Open Access Journals (Sweden)

    Claudia Rodrigues

    2016-12-01

    Full Text Available Aquaporin-5 (AQP5 is a membrane water channel widely distributed in human tissues that was found up-regulated in different tumors and considered implicated in carcinogenesis in different organs and systems. Despite its wide distribution pattern and physiological importance, AQP5 short-term regulation was not reported and mechanisms underlying its involvement in cancer are not well defined. In this work, we expressed rat AQP5 in yeast and investigated mechanisms of gating, as well as AQP5’s ability to facilitate H2O2 plasma membrane diffusion. We found that AQP5 can be gated by extracellular pH in a phosphorylation-dependent manner, with higher activity at physiological pH 7.4. Moreover, similar to other mammalian AQPs, AQP5 is able to increase extracellular H2O2 influx and to affect oxidative cell response with dual effects: whereas in acute oxidative stress conditions AQP5 induces an initial higher sensitivity, in chronic stress AQP5 expressing cells show improved cell survival and resistance. Our findings support the involvement of AQP5 in oxidative stress and suggest AQP5 modulation by phosphorylation as a novel tool for therapeutics.

  15. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  16. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2018-05-01

    Full Text Available In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment to 54.6 cm2/V∙s (with CF4 plasma treatment, which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability.

  17. Liquidus Temperature of SrO-Al2O3-SiO2 Glass-Forming Compositions

    DEFF Research Database (Denmark)

    Abel, Brett M.; Morgan, James M.; Mauro, John C.

    2013-01-01

    . In the composition range of interest for industrial glasses, Tliq tends to decrease with increasing strontium-to-alumina ratio. We find that cristobalite, mullite, and slawsonite are the dominant devitrification phases for the compositions with high SiO2, SiO2+Al2O3, and SrO contents, respectively. By comparison...... with the phase diagrams for CaO-Al2O3-SiO2 and MgO-Al2O3-SiO2 systems, we have found that for the highest [RO]/[Al2O3] ratios, Tliq exhibits a minimum value for R = Ca. Based on the phase diagram established here, the composition of glass materials, for example, for liquid crystal display substrates, belonging...... to the SrO-Al2O3-SiO2 family may be designed with a more exact control of the glass-forming ability by avoiding the regions of high liquidus temperature....

  18. Physical and electrical properties of bilayer CeO{sub 2}/TiO{sub 2} gate dielectric stack

    Energy Technology Data Exchange (ETDEWEB)

    Chong, M.M.V. [School of Materials Science and Engineering, Nanyang Technological University of Singapore, Block N 4.1Nanyang Avenue, Singapore 639798 (Singapore); GlobalFoundries Singapore Private Limited, 60 Woodlands Industrial Park D Street 2, Singapore 738406 (Singapore); Lee, P.S. [School of Materials Science and Engineering, Nanyang Technological University of Singapore, Block N 4.1Nanyang Avenue, Singapore 639798 (Singapore); Tok, A.I.Y., E-mail: MIYTOK@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University of Singapore, Block N 4.1Nanyang Avenue, Singapore 639798 (Singapore)

    2016-08-15

    Highlights: • A bilayer gate dielectric stack of CeO{sub 2}/TiO{sub 2} to study the dependency of film growth with varying annealing temperatures is proposed. • The study demonstrates CeO{sub 2}/TiO{sub 2} bilayer stack with comparable κ-value as that of HfO{sub 2} but with reduced leakage current density of 4 orders of magnitude. • Schottky emission is the dominant leakage conduction mechanism of annealed CeO{sub 2}/TiO{sub 2} stack due to thermionic effect of interface properties. - Abstract: This study demonstrates a bilayer gate oxide structure of cerium oxide deposited via pulsed laser deposition and titanium oxide using conventional atomic layer deposition. Samples were deposited on p-type Si (100) substrate and exhibit interesting physical and electrical properties such that 600 °C annealed CeO{sub 2}/TiO{sub 2} samples having κ-value of 18 whereas pure CeO{sub 2} deposited samples have dielectric constant of 17.1 with leakage current density of 8.94 × 10{sup −6} A/cm{sup 2} at 1 V applied voltage. The result shows promising usage of the synthesized rare earth oxides as gate dielectric where ideal κ-value and significant reduction of the leakage current by 5 orders of magnitude is achieved. Leakage current conduction mechanism for as-deposited sample is found to be dominated by Poole–Frenkel (PF) emission; the trap level is found to be at 1.29 eV whereas annealed samples (600 °C and 800 °C) exhibited Schottky emission with trap levels at 1.45 eV and 0.81 eV, respectively.

  19. Fabrication and properties of highly luminescent materials from Tb(OH)3-SiO2 and Tb(OH)3-SiO2:Eu3+ nanotubes

    International Nuclear Information System (INIS)

    Tran Thu Huong; Tran Kim Anh; Le Quoc Minh

    2009-01-01

    Luminescent nanomaterials with one-dimensional (1D) structures have attracted much attention due to their unique properties and potential applications in nanophotonics and nanobiophotonics. In this paper, we report a synthesis of terbium - hydroxide - at - silica Tb(OH) 3 -SiO 2 and Tb(OH) 3 -SiO 2 :Eu 3+ nanotubes. Terbium - hydroxide tubes were synthesized by soft template method. The size of the tubes can be controlled precisely and have outer diameters ranging from 80 to 120 nm, wall thickness of about 30 nm, and lengths ranging from 300 to 800 nm. To fabricate core/shell materials, the seed growth method is used. FESEM, X-ray diffraction, Raman spectra of Tb(OH) 3 and Tb(OH) 3 -SiO 2 nanotubes were investigated. The photoluminescence (PL) spectrum of Tb(OH) 3 under 325 nm excitation consists of four main peaks at 488, 542, 582, and 618 nm. Furthermore, a preliminary suggestion for the mechanism of growth of the Tb(OH) 3 nanotubes using the soft - template synthesis technique has been proposed. The PL intensity from Tb(OH) 3 -SiO 2 or Tb(OH) 3 -SiO 2 :Eu 3+ nanotubes is much stronger than that of Tb(OH) 3 .

  20. Synthesis and cathodoluminescent properties of Y2SiO5:Tb3+ phosphors prepared from uniform precursor

    International Nuclear Information System (INIS)

    Fan Deyong; Yang Sen; Wang Jieqiong; Zheng Aqun; Song Xiaoping; Yu Demei

    2012-01-01

    The luminescent properties of phosphors are sensitive to the size of phosphor particles. The commercial Y 2 SiO 5 :Tb 3+ phosphors usually show relatively larger particle size (5–10 μm) due to the irregular morphology of rare earth oxide precursor and thus degrade the luminescent properties. In this paper, we report the Y 2 SiO 5 :Tb 3+ phosphors synthesized from the uniform Tb-doped Y 2 O 3 precursor by a homogeneous precipitation method. Compared with the commercial phosphors, the obtained Y 2 SiO 5 :Tb 3+ phosphors manifest the uniform morphology with much smaller particles distributing from 0.8 μm to 1.9 μm. Consequently, the cathodoluminescent intensity under low excitation voltage (1–5 kV) was increased, demonstrating a strong green emission with a dominant wavelength of 545 nm. Our results indicate an effective way to develop the high-quality phosphors for field emission display. - Highlights: ► The Y 2 SiO 5 :Tb 3+ phosphors are synthesized by a homogeneous precipitation method. ► They manifest the uniform morphology with much smaller particles than commercial one. ► The corresponding cathodoluminescent intensity of green emission is greatly enhanced. ► Our results indicate an effective way to develop the high-quality phosphors for FED.

  1. Long lasting yellow phosphorescence and photostimulated luminescence in Sr3SiO5 : Eu2+ and Sr3SiO5 : Eu2+, Dy3+ phosphors

    International Nuclear Information System (INIS)

    Sun Xiaoyuan; Zhang Jiahua; Zhang Xia; Luo Yongshi; Wang Xiaojun

    2008-01-01

    We report the observation of long lasting yellow phosphorescence and photostimulated luminescence (PSL) in Sr 3 SiO 5 : Eu 2+ and Sr 3 SiO 5 : Eu 2+ , Dy 3+ phosphors. The decay patterns of phosphorescence and thermoluminescence curves demonstrate that introduction of Dy 3+ into Sr 3 SiO 5 : Eu 2+ can generate a large number of shallow traps and deep traps. The generated deep traps prolong the phosphorescence up to 6 h after UV irradiation. The PSL is studied under 808 nm excitation. Slow rising and falling edges of the emission in Sr 3 SiO 5 : Eu 2+ , Dy 3+ are observed, showing a retrapping process by the generated shallow traps due to co-doping Dy 3+ .

  2. Fabrication and electrical properties of metal-oxide semiconductor capacitors based on polycrystalline p-Cu{sub x}O and HfO{sub 2}/SiO{sub 2} high-{kappa} stack gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Zou Xiao [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China); Department of Electromachine Engineering, Jianghan University, Wuhan, 430056 (China); Fang Guojia, E-mail: gjfang@whu.edu.c [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China); Yuan Longyan; Liu Nishuang; Long Hao; Zhao Xingzhong [Department of Electronic Science and Technology, School of Physical Science and Technology, Wuhan University, Wuhan, 430074 (China)

    2010-05-31

    Polycrystalline p-type Cu{sub x}O films were deposited after the growth of HfO{sub 2} dielectric on Si substrate by pulsed laser deposition, and Cu{sub x}O metal-oxide-semiconductor (MOS) capacitors with HfO{sub 2}/SiO{sub 2} stack gate dielectric were primarily fabricated and investigated. X-ray diffraction and X-ray photoelectron spectroscopy were applied to analyze crystalline structure and Cu{sup +}/Cu{sup 2+} ratios of Cu{sub x}O films respectively. SiO{sub 2} interlayer formed between the high-{kappa} dielectric and substrate was estimated by the transmission electron microscope. Results of electrical characteristic measurement indicate that the permittivity of HfO{sub 2} is about 22, and the gate leakage current density of MOS capacitor with 11.3 nm HfO{sub 2}/SiO{sub 2} stack dielectrics is {approx} 10{sup -4} A/cm{sup 2}. Results also show that the annealing in N{sub 2} can improve the quality of Cu{sub x}O/HfO{sub 2} interface and thus reduce the gate leakage density.

  3. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors.

    Science.gov (United States)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-12-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade(-1) and 3.62 × 10(11) eV(-1) cm(-2), respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  4. SiO2-Ta2O5 sputtering yields: simulated and experimental results

    International Nuclear Information System (INIS)

    Vireton, E.; Ganau, P.; Mackowski, J.M.; Michel, C.; Pinard, L.; Remillieux, A.

    1994-09-01

    To improve mirrors coating, we have modeled sputtering of binary oxide targets using TRIM code. First, we have proposed a method to calculate TRIM input parameters using on the one hand thermodynamic cycle and on the other hand Malherbe's results. Secondly, an iterative processing has provided for oxide steady targets caused by ionic bombardment. Thirdly, we have exposed a model to get experimental sputtering yields. Fourthly, for (Ar - SiO 2 ) pair, we have determined that steady target is a silica one. A good agreement between simulated and experimental yields versus ion incident angle has been found. For (Ar - Ta 2 O 5 ) pair, we have to introduce preferential sputtering concept to explain discrepancy between simulation and experiment. In this case, steady target is tantalum monoxide. For (Ar - Ta(+O 2 ) pair, tantalum sputtered by argon ions in reactive oxygen atmosphere, we have to take into account new concept of oxidation stimulated by ion beam. We have supposed that tantalum target becomes a Ta 2 O 5 one in reactive oxygen atmosphere. Then, following mechanism is similar to previous pair. We have obtained steady target of tantalum monoxide too. Comparison between simulated and experimental sputtering yields versus ion incident angle has given very good agreement. By simulation, we have found that tantalum monoxide target has at least 15 angstrom thickness. Those results are compatible with Malherbe's and Taglauer's ones. (authors)

  5. Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics

    KAUST Repository

    Alshammari, Fwzah Hamud

    2017-08-04

    Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93% transparency in most of the visible range of the electromagnetic spectrum. Thin-film transistors fabricated with SnO2 gates show excellent transistor properties including saturation mobility of 15.3 cm2 V−1 s−1, a low subthreshold swing of ≈130 mV dec−1, a high on/off ratio of ≈109, and an excellent electrical stability under constant-voltage stressing conditions to the gate terminal. Moreover, the SnO2-gated thin-film transistors show excellent electrical characteristics when used in electronic circuits such as negative channel metal oxide semiconductor (NMOS) inverters and ring oscillators. The NMOS inverters exhibit a low propagation stage delay of ≈150 ns with high DC voltage gain of ≈382. A high oscillation frequency of ≈303 kHz is obtained from the output sinusoidal signal of the 11-stage NMOS inverter-based ring oscillators. These results show that SnO2 can effectively replace ITO in transparent electronics and sensor applications.

  6. Facile fabrication of nanofluidic diode membranes using anodic aluminium oxide

    Science.gov (United States)

    Wu, Songmei; Wildhaber, Fabien; Vazquez-Mena, Oscar; Bertsch, Arnaud; Brugger, Juergen; Renaud, Philippe

    2012-08-01

    Active control of ion transport plays important roles in chemical and biological analytical processes. Nanofluidic systems hold the promise for such control through electrostatic interaction between ions and channel surfaces. Most existing experiments rely on planar geometry where the nanochannels are generally very long and shallow with large aspect ratios. Based on this configuration the concepts of nanofluidic gating and rectification have been successfully demonstrated. However, device minimization and throughput scaling remain significant challenges. We report here an innovative and facile realization of hetero-structured Al2O3/SiO2 (Si) nanopore array membranes by using pattern transfer of self-organized nanopore structures of anodic aluminum oxide (AAO). Thanks to the opposite surface charge states of Al2O3 (positive) and SiO2 (negative), the membrane exhibits clear rectification of ion current in electrolyte solutions with very low aspect ratios compared to previous approaches. Our hetero-structured nanopore arrays provide a valuable platform for high throughput applications such as molecular separation, chemical processors and energy conversion.Active control of ion transport plays important roles in chemical and biological analytical processes. Nanofluidic systems hold the promise for such control through electrostatic interaction between ions and channel surfaces. Most existing experiments rely on planar geometry where the nanochannels are generally very long and shallow with large aspect ratios. Based on this configuration the concepts of nanofluidic gating and rectification have been successfully demonstrated. However, device minimization and throughput scaling remain significant challenges. We report here an innovative and facile realization of hetero-structured Al2O3/SiO2 (Si) nanopore array membranes by using pattern transfer of self-organized nanopore structures of anodic aluminum oxide (AAO). Thanks to the opposite surface charge states of Al2O3

  7. Analytical drain current formulation for gate dielectric engineered dual material gate-gate all around-tunneling field effect transistor

    Science.gov (United States)

    Madan, Jaya; Gupta, R. S.; Chaujar, Rishu

    2015-09-01

    In this work, an analytical drain current model for gate dielectric engineered (hetero dielectric)-dual material gate-gate all around tunnel field effect transistor (HD-DMG-GAA-TFET) has been developed. Parabolic approximation has been used to solve the two-dimensional (2D) Poisson equation with appropriate boundary conditions and continuity equations to evaluate analytical expressions for surface potential, electric field, tunneling barrier width and drain current. Further, the analog performance of the device is studied for three high-k dielectrics (Si3N4, HfO2, and ZrO2), and it has been investigated that the problem of lower ION, can be overcome by using the hetero-gate architecture. Moreover, the impact of scaling the gate oxide thickness and bias variations has also been studied. The HD-DMG-GAA-TFET shows an enhanced ION of the order of 10-4 A. The effectiveness of the proposed model is validated by comparing it with ATLAS device simulations.

  8. Facile preparation of polyethylenimine-tannins coated SiO2 hybrid materials for Cu2+ removal

    Science.gov (United States)

    Huang, Qiang; Liu, Meiying; Zhao, Jiao; Chen, Junyu; Zeng, Guangjian; Huang, Hongye; Tian, Jianwen; Wen, Yuanqing; Zhang, Xiaoyong; Wei, Yen

    2018-01-01

    Polyethylenimine-tannins coated SiO2 (SiO2@PEI-TA) hybrid materials have been prepared via a single-step multifunctional coating with polyethylenimine (PEI) and tannins (TA), and characterized by transmission electron microscope (TEM), Fourier transform infrared spectroscopy (FT-IR), thermogravimetric analysis (TGA), and X-ray photoelectron spectroscopy (XPS). The as-prepared SiO2@PEI-TA composites were examined as adsorbents to remove the Cu2+ from aqueous solution. The effects of contact time, initial Cu2+ concentration, solution pH and temperature, on Cu2+ adsorption have been investigated. The results show that the adsorption of Cu2+ onto SiO2@PEI-TA is dependent on the contact time, Cu2+ concentration, pH and temperature. The SiO2@PEI-TA composites show a 2.4-fold increase in adsorption capacity, implying that the introduction of PEI-TA coating is in favor of the Cu2+ adsorption. Based on the analysis of kinetic data, the kinetics of Cu2+ adsorption is more accurately described by the pseudo-second-order model. The equilibrium data are analyzed by Langmuir and Freundlich isotherms. Results of isotherms show that the better agreement is Freundlich isotherm model with correlation coefficient of 0.9914, which suggests that the adsorption of Cu2+ onto SiO2@PEI-TA is mainly a heterogeneous adsorption process. Thermodynamic analyses show that the adsorption interaction is actually a spontaneous and endothermic chemical process, which might involve the chemical chelation between Cu2+ and functional groups (amine and carboxyl groups) on the surface of SiO2@PEI-TA. In addition, the Cu2+ ions could desorb from SiO2@PEI-TA by using acid solution and the adsorption efficiency remains at high level after five adsorption-desorption recycles. These results provide potential applications of these novel adsorbents for the removal of heavy metal Cu2+ from aqueous solution and also provide strong evidence to support the adsorption mechanism proposed in the study.

  9. Degradation of Ultra-Thin Gate Oxide NMOSFETs under CVDT and SHE Stresses

    International Nuclear Information System (INIS)

    Shi-Gang, Hu; Yan-Rong, Cao; Yue, Hao; Xiao-Hua, Ma; Chi, Chen; Xiao-Feng, Wu; Qing-Jun, Zhou

    2008-01-01

    Degradation of device under substrate hot-electron (SHE) and constant voltage direct-tunnelling (CVDT) stresses are studied using NMOSFET with 1.4-nm gate oxides. The degradation of device parameters and the degradation of the stress induced leakage current (SILC) under these two stresses are reported. The emphasis of this paper is on SILC and breakdown of ultra-thin-gate-oxide under these two stresses. SILC increases with stress time and several soft breakdown events occur during direct-tunnelling (DT) stress. During SHE stress, SILC firstly decreases with stress time and suddenly jumps to a high level, and no soft breakdown event is observed. For DT injection, the positive hole trapped in the oxide and hole direct-tunnelling play important roles in the breakdown. For SHE injection, it is because injected hot electrons accelerate the formation of defects and these defects formed by hot electrons induce breakdown. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  10. Potassium ions in SiO2: electrets for silicon surface passivation

    Science.gov (United States)

    Bonilla, Ruy S.; Wilshaw, Peter R.

    2018-01-01

    This manuscript reports an experimental and theoretical study of the transport of potassium ions in thin silicon dioxide films. While alkali contamination was largely researched in the context of MOSFET instability, recent reports indicate that potassium ions can be embedded into oxide films to produce dielectric materials with permanent electric charge, also known as electrets. These electrets are integral to a number of applications, including the passivation of silicon surfaces for optoelectronic devices. In this work, electric field assisted migration of ions is used to rapidly drive K+ into SiO2 and produce effective passivation of silicon surfaces. Charge concentrations of up to ~5  ×  1012 e cm-2 have been achieved. This charge was seen to be stable for over 1500 d, with decay time constants as high as 17 000 d, producing an effectively passivated oxide-silicon interface with SRV  industrial manufacture of silicon optoelectronic devices.

  11. Preparation and Characterization of SiO2/SiCN Core-shell Ceramic Microspheres

    Directory of Open Access Journals (Sweden)

    ZHANG Hai-yuan

    2017-05-01

    Full Text Available The SiO2/PSN core-shell microspheres were prepared via an emulsion reaction combined with the polymer-derived ceramics (PDCs method using polysilazane (PSN in situ polymerization on the surface of SiO2 modified by silane coupling agents MPS, followed by pyrolysis process to obtain SiO2/SiCN core-shell ceramic microspheres. The effects of raw mass ratio, curing time and pyrolysis temperature on the formation and the morphology of core-shell microspheres were studied. The morphology, chemical composition and phase transformation were characterized by SEM, EDS, TEM, FT-IR and XRD. The results show that after reaction for 4h at 200℃, SiO2 completely coated PSN forms a core-shell microsphere with rough surface when the mass ratio of SiO2 and PSN is 1:4; when pyrolysis temperature is at 800-1200℃, amorphous SiO2/SiCN core-shell ceramic microspheres are prepared; at 1400℃, the amorphous phase partially crystallizes to produce SiO2, SiC and Si3N4 phase.

  12. Tungsten trioxide as high-{kappa} gate dielectric for highly transparent and temperature-stable zinc-oxide-based thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Michael; Wenckstern, Holger von; Grundmann, Marius [Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Institut fuer Experimentelle Physik II, Linnestr. 5, 04103 Leipzig (Germany)

    2012-07-01

    We demonstrate metal-insulator-semiconductor field-effect transistors with high-{kappa}, room-temperature deposited, highly transparent tungsten trioxide (WO{sub 3}) as gate dielectric. The channel material consists of a zinc oxide (ZnO) thin-film. The transmittance and resistivity of WO{sub 3} films was tuned in order to obtain a highly transparent and insulating WO{sub 3} dielectric. The devices were processed by standard photolithography using lift-off technique. On top of the WO{sub 3} dielectric a highly transparent and conductive oxide consisting of ZnO: Al 3% wt. was deposited. The gate structure of the devices exhibits an average transmittance in the visible spectral range of 86%. The on/off-current ratio is larger than 10{sup 8} with off- and gate leakage-currents below 3 x 10{sup -8} A/cm{sup 2}. Due to the high relative permittivity of {epsilon}{sub r} {approx} 70, a gate voltage sweep of only 2 V is necessary to turn the transistor on and off with a minimum subthreshold swing of 80 mV/decade. The channel mobility of the transistors equals the Hall-effect mobility with a value of 5 cm{sup 2}/Vs. It is furthermore shown, that the devices are stable up to operating temperatures of at least 150 C.

  13. Effects of interfacial Fe electronic structures on magnetic and electronic transport properties in oxide/NiFe/oxide heterostructures

    International Nuclear Information System (INIS)

    Liu, Qianqian; Chen, Xi; Zhang, Jing-Yan; Yang, Meiyin; Li, Xu-Jing; Jiang, Shao-Long; Liu, Yi-Wei; Cao, Yi; Wu, Zheng-Long; Feng, Chun; Ding, Lei; Yu, Guang-Hua

    2015-01-01

    Highlights: • The magnetic and transport properties of oxide/NiFe/oxide films were studied. • The oxide (SiO 2 , MgO and HfO 2 ) has different elemental electronegativity. • Redox reaction at different NiFe/oxide interface is dependent on the oxide layer. • Different interfacial electronic structures shown by XPS influence the properties. - Abstract: We report that the magnetic and electronic transport properties in oxide/NiFe(2 nm)/oxide film (oxide = SiO 2 , MgO or HfO 2 ) are strongly influenced by the electronic structure of NiFe/oxide interface. Magnetic measurements show that there exist magnetic dead layers in the SiO 2 sandwiched film and MgO sandwiched film, whereas there is no magnetic dead layer in the HfO 2 sandwiched film. Furthermore, in the ultrathin SiO 2 sandwiched film no magnetoresistance (MR) is detected, while in the ultrathin MgO sandwiched film and HfO 2 sandwiched film the MR ratios reach 0.35% and 0.88%, respectively. The investigation by X-ray photoelectron spectroscopy reveals that the distinct interfacial redox reactions, which are dependent on the oxide layers, lead to the variation of magnetic and transport properties in different oxide/NiFe/oxide heterostructures

  14. CoFe2O4-SiO2 Composites: Preparation and Magnetodielectric Properties

    Directory of Open Access Journals (Sweden)

    T. Ramesh

    2016-01-01

    Full Text Available Cobalt ferrite (CoFe2O4 and silica (SiO2 nanopowders have been prepared by the microwave hydrothermal (M-H method using metal nitrates as precursors of CoFe2O4 and tetraethyl orthosilicate as a precursor of SiO2. The synthesized powders were characterized by XRD and FESEM. The (100-x (CoFe2O4 + xSiO2 (where x = 0%, 10%, 20%, and 30% composites with different weight percentages have been prepared using ball mill method. The composite samples were sintered at 800°C/60 min using the microwave sintering method and then their structural and morphological studies were investigated using X-ray diffraction (XRD, Fourier transformation infrared (FTIR spectra, and scanning electron microscopy (SEM, respectively. The effect of SiO2 content on the magnetic and electrical properties of CoFe2O4/SiO2 nanocomposites has been studied via the magnetic hysteresis loops, complex permeability, permittivity spectra, and DC resistivity measurements. The synthesized nanocomposites with adjustable grain sizes and controllable magnetic properties make the applicability of cobalt ferrite even more versatile.

  15. Interface engineering and reliability characteristics of hafnium dioxide with poly silicon gate and dual metal (ruthenium-tantalum alloy, ruthenium) gate electrode for beyond 65 nm technology

    Science.gov (United States)

    Kim, Young-Hee

    Chip density and performance improvements have been driven by aggressive scaling of semiconductor devices. In both logic and memory applications, SiO 2 gate dielectrics has reached its physical limit, direct tunneling resulting from scaling down of dielectrics thickness. Therefore high-k dielectrics have attracted a great deal of attention from industries as the replacement of conventional SiO2 gate dielectrics. So far, lots of candidate materials have been evaluated and Hf-based high-k dielectrics were chosen to the promising materials for gate dielectrics. However, lots of issues were identified and more thorough researches were carried out on Hf-based high-k dielectrics. For instances, mobility degradation, charge trapping, crystallization, Fermi level pinning, interface engineering, and reliability studies. In this research, reliability study of HfO2 were explored with poly gate and dual metal (Ru-Ta alloy, Ru) gate electrode as well as interface engineering. Hard breakdown and soft breakdown were compared and Weibull slope of soft breakdown was smaller than that of hard breakdown, which led to a potential high-k scaling issue. Dynamic reliability has been studied and the combination of trapping and detrapping contributed the enhancement of lifetime projection. Polarity dependence was shown that substrate injection might reduce lifetime projection as well as it increased soft breakdown behavior. Interface tunneling mechanism was suggested with dual metal gate technology. Soft breakdown (l st breakdown) was mainly due to one layer breakdown of bi-layer structure. Low weibull slope was in part attributed to low barrier height of HfO 2 compared to interface layer. Interface layer engineering was thoroughly studied in terms of mobility, swing, and short channel effect using deep sub-micron MOSFET devices. In fact, Hf-based high-k dielectrics could be scaled down to below EOT of ˜10A and it successfully achieved the competitive performance goals. However, it is

  16. Fabrication of Core-Shell Structural SiO2@H3[PM12O40] Material and Its Catalytic Activity

    Directory of Open Access Journals (Sweden)

    Xin Yang

    2014-01-01

    Full Text Available Through a natural tree grain template and sol-gel technology, the heterogeneous catalytic materials based on polyoxometalate compounds H3[PM12O40] encapsulating SiO2: SiO2@H3[PM12O40] (SiO2@PM12, M = W, Mo with core-shell structure had been prepared. The structure and morphology of the core-shell microspheres were characterized by the XRD, IR spectroscopy, UV-Vis absorbance, and SEM. These microsphere materials can be used as heterogeneous catalysts with high activity and stability for catalytic wet air oxidation of pollutant dyes safranine T (ST at room condition. The results show that the catalysts have excellent catalytic activity in treatment of wastewater containing 10 mg/L ST, and 94% of color can be removed within 60 min. Under different cycling runs, it is shown that the catalysts are stable under such operating conditions and the leaching tests show negligible leaching effect owing to the lesser dissolution.

  17. A new high-pressure phase of Fe2SiO4 and the relationship between spin and structural transitions

    Science.gov (United States)

    Yamanaka, T.; Kyono, A.; Nakamoto, Y.; Kharlamova, S. A.; Struzhkin, V. V.; Gramsch, S.; Mao, H.; Hemley, R. J.

    2013-12-01

    Structure transformation of Fe2SiO4 Angle-dispersive powder x-ray diffraction was carried out at beam line 16-BMD APS. Structure of a new high-pressure phase of I-Fe2SiO4 spinel was determined by Rietveld profile fitting of x-ray diffraction data up to 64GPa at ambient temperature. A structural transition from the cubic spinel to the new structure was observed at 34GPa. Diffraction patterns taken at 44.6GPa and 54.6GPa indicate a two-phase mixture of spinel and new high-pressure phase. Reversible transition from I-Fe2SiO4 to spinel was confirmed. Laser heating experiment at 1500K proved the decomposition of Fe2SiO4 spinel to two oxides of FeO and SiO2. Spin transition X-ray emission measurements of Fe2SiO4 were carried out up to 65GPa at ambient temperature at beam line 16-IDD APS. The spin transition exerts an influence to Fe2SiO4 spinel structure and triggers two distinct curves of the lattice constant in the spinel phase. Although the compression curve of the spinel is discontinuous at approximately 20 GPa, Fe Kβ emission measurements show that the transition from a high spin (HS) to an intermediate spin (IS) state begins at 17GPa in the spinel phase. The IS electronic state is gradually enhanced with pressure, which results in an isostructural phase transition. HS-to-LS transition of iron bearing spinels starts from 15.6GPa in Fe3O4 and 19.6GPa in Fe2TiO4. The transition is more capable due to Fe2+ in the octahedral site. The extremely shortened octahedral bonds result in a distortion of 6-fold cation site. New structure of Fe2SiO4 Monte Carlo method was applied to find candidates for the high-pressure phase using the diffraction intensities with fixed lattice constants determined by DICVOL. Rietveld profile fitting was then performed using the initial model. The new structure is a body centered orthorhombic phase (I-Fe2SiO4) with space group Imma and Z=4, with two crystallographically distinct FeO6 octahedra. Silicon exists in six-fold coordination in I-Fe2SiO

  18. Characterization, integration and reliability of HfO{sub 2} and LaLuO{sub 3} high-κ/metal gate stacks for CMOS applications

    Energy Technology Data Exchange (ETDEWEB)

    Nichau, Alexander

    2013-07-15

    The continued downscaling of MOSFET dimensions requires an equivalent oxide thickness (EOT) of the gate stack below 1 nm. An EOT below 1.4 nm is hereby enabled by the use of high-κ/metal gate stacks. LaLuO{sub 3} and HfO{sub 2} are investigated as two different high-κ oxides on silicon in conjunction with TiN as the metal electrode. LaLuO{sub 3} and its temperature-dependent silicate formation are characterized by hard X-ray photoemission spectroscopy (HAXPES). The effective attenuation length of LaLuO{sub 3} is determined between 7 and 13 keV to enable future interface and diffusion studies. In a first investigation of LaLuO{sub 3} on germanium, germanate formation is shown. LaLuO{sub 3} is further integrated in a high-temperature MOSFET process flow with varying thermal treatment. The devices feature drive currents up to 70μA/μm at 1μm gate length. Several optimization steps are presented. The effective device mobility is related to silicate formation and thermal budget. At high temperature the silicate formation leads to mobility degradation due to La-rich silicate formation. The integration of LaLuO{sub 3} in high-T processes delicately connects with the optimization of the TiN metal electrode. Hereby, stoichiometric TiN yields the best results in terms of thermal stability with respect to Si-capping and high-κ oxide. Different approaches are presented for a further EOT reduction with LaLuO{sub 3} and HfO{sub 2}. Thereby the thermodynamic and kinetic predictions are employed to estimate the behavior on the nanoscale. Based on thermodynamics, excess oxygen in the gate stack, especially in oxidized metal electrodes, is identified to prevent EOT scaling below 1.2 nm. The equivalent oxide thickness of HfO{sub 2} gate stacks is scalable below 1 nm by the use of thinned interfacial SiO{sub 2}. The prevention of oxygen incorporation into the metal electrode by Si-capping maintains the EOT after high temperature annealing. Redox systems are employed within the

  19. Multifunctional Roles of TiO 2 Nanoparticles for Architecture of Complex Core−Shells and Hollow Spheres of SiO 2 −TiO 2 −Polyaniline System

    KAUST Repository

    Wang, Dan Ping

    2009-10-27

    Nanoparticles are often used as seeds to grow one-dimensional nanomaterials or as core materials to prepare core-shell nanostructures. On the other hand, the presynthesized inorganic nanoparticles can also be used as starting building blocks to prepare inorganic-polymer nanocomposites. In this work, we explore the roles of metal-oxide nanoparticles (anatase TiO2) in the area of constructional synthesis of highly complex core-shell and hollow sphere nanostructures comprising SiO2, TiO2, and polyaniline (PAN). In particular, multifunctional roles of oleate-surfactant-protected TiO2 nanoparticles have been revealed in this study: they provide starting sites for polymerization of aniline on the surface of SiO2 mesospheres; they land on the inner surface of polyaniline shell to form a secondary material phase; they work as initial crystalline seeds for homogeneous growth of interior TiO2 shell; and they serve as primary nanobuilding blocks to form exterior TiO2 shell on the polyaniline via self-assembly. With the assistance of the TiO2 nanoparticles, a total of six complex core-shell and hollow sphere nanocomposites (SiO 2/TiO2, SiO2/TiO2/PAN, SiO 2/TiO2/PAN/TiO2, TiO2/PAN, TiO 2/PAN/TiO2, and TiO2/TiO2) have been made in this work through controlled self-assembly, templating growth, polymerization, and homogeneous seeded growth. Applicability of these nanostructures in photocatalytic applications has also been demonstrated by our preliminary investigations. The easy separation of used catalysts after reaction seems to be advantageous because of relatively large external diameters of the lightweight nanocomposites. © 2009 American Chemical Society.

  20. Spectroscopic Studies of Semiconductor Materials for Aggressive-scaled Micro- and Opto-electronic Devices: nc-SiO2, GeO2; ng-Si, Ge and ng-Transition metal (TM) oxides

    Science.gov (United States)

    Cheng, Cheng

    Non-crystalline thin film materials are widely used in the semiconductor industry (micro- and optoelectronics) and in green energy, e.g., photovolatic applications. This dissertation under-pins these device application with studies of their electronic structures using derivative X-ray Absorption Spectroscopy (XAS) and derivative Spectroscopic Ellipsometry (SE) for the first time to experimentally determine electronic and intrinsic defect structures. Differences between electron and hole mobilities in c- (and ng-Si) and c- (and ng- Ge), make Ge channels superior to Si channels in for aggressively scaled CMOS field effect transistors (FETs). Bonding between Si and Ge substrates and gate dielectric oxides is the focus this dissertation. The primary objective of this research is to measure and interpret by ab-initio theory the electronic and intrinsic electronic defect structures mirco-electronic thin film materials. This is accomplished for the first time by combining (i) derivative XAS TEY data obtained at the Stanford Synchrotron Radiation Light Source (SSRL) with (ii) derivative Spectroscopic Ellipsometry results obtained at the J.A. Woollam Co. laboratory. All the oxides were deposited in RPECVD system with in-line AES and RHEED. Thins films and gate stacks were annealed in RTA system in Ar to determine temperature dependent changes. 2nd derivative analysis is applied on XAS and SE spectra emphasizing the conduction band (CB) and virtual bound state (VBS) regimes. 2nd derivative SE spectra for ng-Si and ng-Ge each have 3 distinct regimes: (i) 3 excitons, (ii) 2 features in the CB edge region, and (iii) 3 additional exciton features above the IP. Excitonic spectral width provides conductivity electron masses (em0*) and hence electron mobilities. The wider the energy range, the higher the electron mobility in that CB. Spectra of high-K dielectrics have an additional energy regime between the CB edge regime, and the higher eV excitons. This regime has 4 intra-d state

  1. Wear Characteristics According of Heat Treatment of Si3N4 with Different Amounts of SiO2 Nano-Colloid

    International Nuclear Information System (INIS)

    Ahn, Seok Hwan; Nam, Ki Woo

    2014-01-01

    This study sintered Si 3 N 4 with different amounts of SiO 2 nano-colloid. The surface of a mirror-polished specimen was coated with SiO 2 nano-colloid, and cracks were healed when the specimen was treated at a temperature of 1273 K for 1 h in air. Wear specimen experiments were conducted after heat treatments for 10 min at 1073, 1273, and 1573 K. The heat-treated surface that was coated with the SiO 2 nano-colloid was slightly rougher than the noncoated surface. The oxidation state of the surface according to the heat treatment temperature showed no correlation with the surface roughness. Moreover, the friction coefficient, wear loss, and bending strength were not related to the surface roughness. Si 3 N 4 exhibited an abrasive wear behavior when SKD11 was used as an opponent material. The friction coefficient was proportional to the wear loss, and the bending strength was inversely proportional to the friction coefficient and wear loss. The friction coefficient and wear loss increased with increasing amounts of the SiO 2 nanocolloid. In addition, the friction coefficient was slightly increased by increasing the heat treatment temperature

  2. Synthesis of Hollow Nanotubes of Zn2SiO4 or SiO2: Mechanistic Understanding and Uranium Adsorption Behavior.

    Science.gov (United States)

    Tripathi, Shalini; Bose, Roopa; Roy, Ahin; Nair, Sajitha; Ravishankar, N

    2015-12-09

    We report a facile synthesis of Zn2SiO4 nanotubes using a two-step process consisting of a wet-chemical synthesis of core-shell ZnO@SiO2 nanorods followed by thermal annealing. While annealing in air leads to the formation of hollow Zn2SiO4, annealing under reducing atmosphere leads to the formation of SiO2 nanotubes. We rationalize the formation of the silicate phase at temperatures much lower than the temperatures reported in the literature based on the porous nature of the silica shell on the ZnO nanorods. We present results from in situ transmission electron microscopy experiments to clearly show void nucleation at the interface between ZnO and the silica shell and the growth of the silicate phase by the Kirkendall effect. The porous nature of the silica shell is also responsible for the etching of the ZnO leading to the formation of silica nanotubes under reducing conditions. Both the hollow silica and silicate nanotubes exhibit good uranium sorption at different ranges of pH making them possible candidates for nuclear waste management.

  3. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  4. SIMULTANEOUS OBSERVATIONS OF SiO AND H2O MASERS TOWARD SYMBIOTIC STARS

    International Nuclear Information System (INIS)

    Cho, Se-Hyung; Kim, Jaeheon

    2010-01-01

    We present the results of simultaneous observations of SiO v = 1, 2, J = 1-0, 29 SiO v = 0, J = 1-0, and H 2 O 6 16 -5 23 maser lines performed with the KVN Yonsei 21 m radio telescope from 2009 November to 2010 January. We searched for these masers in 47 symbiotic stars and detected maser emission from 21 stars, giving the first time detection from 19 stars. Both SiO and H 2 O masers were detected from seven stars of which six were D-type symbiotic stars and one was an S-type star, WRAY 15-1470. In the SiO maser emission, the 28 SiO v = 1 maser was detected from 10 stars, while the v = 2 maser was detected from 15 stars. In particular, the 28 SiO v = 2 maser emission without the v = 1 maser detection was detected from nine stars with a detection rate of 60%, which is much higher than that of isolated Miras/red giants. The 29 SiO v = 0 maser emission was also detected from two stars, H 2-38 and BF Cyg, together with the 28 SiO v = 2 maser. We conclude that these different observational results between isolated Miras/red giants and symbiotic stars may be related with the presence of hot companions in a symbiotic binary system.

  5. XPS Spectra Analysis of Ti2+, Ti3+ Ions and Dye Photodegradation Evaluation of Titania-Silica Mixed Oxide Nanoparticles

    Science.gov (United States)

    Chinh, Vu Duc; Broggi, Alessandra; Di Palma, Luca; Scarsella, Marco; Speranza, Giorgio; Vilardi, Giorgio; Thang, Pham Nam

    2018-04-01

    TiO2-SiO2 mixed oxides have been prepared by the sol-gel technique from tetrabutyl orthotitanate and tetraethyl orthosilicate. The prepared materials were characterized by x-ray diffraction, scanning electron microscopy, energy dispersive x-ray spectroscopy, nitrogen physisorption, Fourier-transform infrared spectroscopy (FT-IR) and x-ray photoelectron spectroscopy (XPS). The results indicate that the TiO2-SiO2 mixed oxides have a large surface area and a nanoscale size. FT-IR spectra show that Ti atoms are bonded to silica by oxygen bridging atoms in Ti-O-Si bonds. The titanium valence states in TiO2-SiO2 mixed oxides were investigated by XPS, and their spectra report the presence of Ti2+ and Ti3+ cations for high silica concentration, suggesting the formation of oxygen vacancies. The photocatalytic activity of the prepared materials has been evaluated for the photodegradation of methylene blue (MB). The mixed oxides were activated by means of a UV light source, and the concentration of MB was monitored by UV-Vis spectroscopy. The synthesized TiO2-SiO2 shows significantly higher MB removal efficiency in comparison with that of the commercial TiO2 Degussa, P25.

  6. Proton Conducting Graphene Oxide/Chitosan Composite Electrolytes as Gate Dielectrics for New-Concept Devices.

    Science.gov (United States)

    Feng, Ping; Du, Peifu; Wan, Changjin; Shi, Yi; Wan, Qing

    2016-09-30

    New-concept devices featuring the characteristics of ultralow operation voltages and low fabrication cost have received increasing attention recently because they can supplement traditional Si-based electronics. Also, organic/inorganic composite systems can offer an attractive strategy to combine the merits of organic and inorganic materials into promising electronic devices. In this report, solution-processed graphene oxide/chitosan composite film was found to be an excellent proton conducting electrolyte with a high specific capacitance of ~3.2 μF/cm 2 at 1.0 Hz, and it was used to fabricate multi-gate electric double layer transistors. Dual-gate AND logic operation and two-terminal diode operation were realized in a single device. A two-terminal synaptic device was proposed, and some important synaptic behaviors were emulated, which is interesting for neuromorphic systems.

  7. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    Science.gov (United States)

    Bansal, Narottam P.; Hyatt, Mark J.

    1989-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  8. Ultra-low specific on-resistance SOI double-gate trench-type MOSFET

    International Nuclear Information System (INIS)

    Lei Tianfei; Luo Xiaorong; Ge Rui; Chen Xi; Wang Yuangang; Yao Guoliang; Jiang Yongheng; Zhang Bo; Li Zhaoji

    2011-01-01

    An ultra-low specific on-resistance (R on,sp ) silicon-on-insulator (SOI) double-gate trench-type MOSFET (DG trench MOSFET) is proposed. The MOSFET features double gates and an oxide trench: the oxide trench is in the drift region, one trench gate is inset in the oxide trench and one trench gate is extended into the buried oxide. Firstly, the double gates reduce R on,sp by forming dual conduction channels. Secondly, the oxide trench not only folds the drift region, but also modulates the electric field, thereby reducing device pitch and increasing the breakdown voltage (BV). ABV of 93 V and a R on,sp of 51.8 mΩ·mm 2 is obtained for a DG trench MOSFET with a 3 μm half-cell pitch. Compared with a single-gate SOI MOSFET (SG MOSFET) and a single-gate SOI MOSFET with an oxide trench (SG trench MOSFET), the R on,sp of the DG trench MOSFET decreases by 63.3% and 33.8% at the same BV, respectively. (semiconductor devices)

  9. Diffusive charge transport in graphene on SiO 2

    Science.gov (United States)

    Chen, J.-H.; Jang, C.; Ishigami, M.; Xiao, S.; Cullen, W. G.; Williams, E. D.; Fuhrer, M. S.

    2009-07-01

    We review our recent work on the physical mechanisms limiting the mobility of graphene on SiO 2. We have used intentional addition of charged scattering impurities and systematic variation of the dielectric environment to differentiate the effects of charged impurities and short-range scatterers. The results show that charged impurities indeed lead to a conductivity linear in density ( σ(n)∝n) in graphene, with a scattering magnitude that agrees quantitatively with theoretical estimates; increased dielectric screening reduces the scattering from charged impurities, but increases the scattering from short-range scatterers. We evaluate the effects of the corrugations (ripples) of graphene on SiO 2 on transport by measuring the height-height correlation function. The results show that the corrugations cannot mimic long-range (charged impurity) scattering effects, and have too small an amplitude-to-wavelength ratio to significantly affect the observed mobility via short-range scattering. Temperature-dependent measurements show that longitudinal acoustic phonons in graphene produce a resistivity that is linear in temperature and independent of carrier density; at higher temperatures, polar optical phonons of the SiO 2 substrate give rise to an activated, carrier density-dependent resistivity. Together the results paint a complete picture of charge carrier transport in graphene on SiO 2 in the diffusive regime.

  10. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  11. Single-electron-occupation metal-oxide-semiconductor quantum dots formed from efficient poly-silicon gate layout

    Energy Technology Data Exchange (ETDEWEB)

    Carroll, Malcolm S.; rochette, sophie; Rudolph, Martin; Roy, A. -M.; Curry, Matthew Jon; Ten Eyck, Gregory A.; Manginell, Ronald P.; Wendt, Joel R.; Pluym, Tammy; Carr, Stephen M; Ward, Daniel Robert; Lilly, Michael; pioro-ladriere, michel

    2017-07-01

    We introduce a silicon metal-oxide-semiconductor quantum dot structure that achieves dot-reservoir tunnel coupling control without a dedicated barrier gate. The elementary structure consists of two accumulation gates separated spatially by a gap, one gate accumulating a reservoir and the other a quantum dot. Control of the tunnel rate between the dot and the reservoir across the gap is demonstrated in the single electron regime by varying the reservoir accumulation gate voltage while compensating with the dot accumulation gate voltage. The method is then applied to a quantum dot connected in series to source and drain reservoirs, enabling transport down to the single electron regime. Finally, tuning of the valley splitting with the dot accumulation gate voltage is observed. This split accumulation gate structure creates silicon quantum dots of similar characteristics to other realizations but with less electrodes, in a single gate stack subtractive fabrication process that is fully compatible with silicon foundry manufacturing.

  12. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for Advanced CMOS Devices

    Directory of Open Access Journals (Sweden)

    Masamichi Suzuki

    2012-03-01

    Full Text Available A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3 high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process.

  13. Thermodynamics of Boron Removal from Silicon Using CaO-MgO-Al2O3-SiO2 Slags

    Science.gov (United States)

    Jakobsson, Lars Klemet; Tangstad, Merete

    2018-04-01

    Slag refining is one of few metallurgical methods for removal of boron from silicon. It is important to know the thermodynamic properties of boron in slags to understand the refining process. The relation of the distribution coefficient of boron to the activity of silica, partial pressure of oxygen, and capacity of slags for boron oxide was investigated. The link between these parameters explains why the distribution coefficient of boron does not change much with changing slag composition. In addition, the thermodynamic properties of dilute boron oxide in CaO-MgO-Al2O3-SiO2 slags was determined. The ratio of the activity coefficient of boron oxide and silica was found to be the most important parameter for understanding changes in the distribution coefficient of boron for different slags. Finally, the relation between the activity coefficient of boron oxide and slag structure was investigated. It was found that the structure can explain how the distribution coefficient of boron changes depending on slag composition.

  14. Printed indium gallium zinc oxide transistors. Self-assembled nanodielectric effects on low-temperature combustion growth and carrier mobility.

    Science.gov (United States)

    Everaerts, Ken; Zeng, Li; Hennek, Jonathan W; Camacho, Diana I; Jariwala, Deep; Bedzyk, Michael J; Hersam, Mark C; Marks, Tobin J

    2013-11-27

    Solution-processed amorphous oxide semiconductors (AOSs) are emerging as important electronic materials for displays and transparent electronics. We report here on the fabrication, microstructure, and performance characteristics of inkjet-printed, low-temperature combustion-processed, amorphous indium gallium zinc oxide (a-IGZO) thin-film transistors (TFTs) grown on solution-processed hafnia self-assembled nanodielectrics (Hf-SANDs). TFT performance for devices processed below 300 °C includes >4× enhancement in electron mobility (μFE) on Hf-SAND versus SiO2 or ALD-HfO2 gate dielectrics, while other metrics such as subthreshold swing (SS), current on:off ratio (ION:IOFF), threshold voltage (Vth), and gate leakage current (Ig) are unchanged or enhanced. Thus, low voltage IGZO/SAND TFT operation (IGZO combustion processing leaves the underlying Hf-SAND microstructure and capacitance intact. This work establishes the compatibility and advantages of all-solution, low-temperature fabrication of inkjet-printed, combustion-derived high-mobility IGZO TFTs integrated with self-assembled hybrid organic-inorganic nanodielectrics.

  15. GeO2/Ge structure submitted to annealing in deuterium: Incorporation pathways and associated oxide modifications

    Science.gov (United States)

    Bom, N. M.; Soares, G. V.; Hartmann, S.; Bordin, A.; Radtke, C.

    2014-10-01

    Deuterium (D) incorporation in GeO2/Ge structures following D2 annealing was investigated. Higher D concentrations were obtained for GeO2/Ge samples in comparison to their SiO2/Si counterparts annealed in the same conditions. Oxygen vacancies produced during the annealing step in D2 constitute defect sites for D incorporation, analogous to defects at the SiO2/Si interfacial region. Besides D incorporation, volatilization of the oxide layer is also observed as a consequence of D2 annealing, especially in the high temperature regime of the present study (>450 °C). In parallel to this volatilization, the stoichiometry and chemical structure of remnant oxide are modified as well. These results evidence the broader impact of forming gas annealing in dielectric/Ge structures with respect to SiO2/Si counterparts.

  16. Thermal stability and chemical bonding states of AlOxNy/Si gate stacks revealed by synchrotron radiation photoemission spectroscopy

    International Nuclear Information System (INIS)

    He, G.; Toyoda, S.; Shimogaki, Y.; Oshima, M.

    2010-01-01

    Annealing-temperature dependence of the thermal stability and chemical bonding states of AlO x N y /SiO 2 /Si gate stacks grown by metalorganic chemical vapor deposition (MOCVD) using new chemistry was investigated by synchrotron radiation photoemission spectroscopy (SRPES). Results have confirmed the formation of the AlN and AlNO compounds in the as-deposited samples. Annealing the AlO x N y samples in N 2 ambient in 600-800 deg. C promotes the formation of SiO 2 component. Meanwhile, there is no formation of Al-O-Si and Al-Si binding states, suggesting no interdiffusion of Al with the Si substrate. A thermally induced reaction between Si and AlO x N y to form volatile SiO and Al 2 O is suggested to be responsible for the full disappearance of the Al component that accompanies annealing at annealing temperature of 1000 deg. C. The released N due to the breakage of the Al-N bonding will react with the SiO 2 interfacial layer and lead to the formation of the Si 3 -N-O/Si 2 -N-O components at the top of Si substrate. These results indicate high temperature processing induced evolution of the interfacial chemistry and application range of AlO x N y /Si gate stacks in future CMOS devices.

  17. Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process

    International Nuclear Information System (INIS)

    Wang Yanrong; Yang Hong; Xu Hao; Luo Weichun; Qi Luwei; Zhang Shuxiang; Wang Wenwu; Zhu Huilong; Zhao Chao; Chen Dapeng; Ye Tianchun; Yan Jiang

    2017-01-01

    In the process of high- k films fabrication, a novel multi deposition multi annealing (MDMA) technique is introduced to replace simple post deposition annealing. The leakage current decreases with the increase of the post deposition annealing (PDA) times. The equivalent oxide thickness (EOT) decreases when the annealing time(s) change from 1 to 2. Furthermore, the characteristics of SILC (stress-induced leakage current) for an ultra-thin SiO 2 /HfO 2 gate dielectric stack are studied systematically. The increase of the PDA time(s) from 1 to 2 can decrease the defect and defect generation rate in the HK layer. However, increasing the PDA times to 4 and 7 may introduce too much oxygen, therefore the type of oxygen vacancy changes. (paper)

  18. Optical properties of amorphous SiO2-TiO2 multi-nanolayered coatings for 1064-nm mirror technology

    Science.gov (United States)

    Magnozzi, M.; Terreni, S.; Anghinolfi, L.; Uttiya, S.; Carnasciali, M. M.; Gemme, G.; Neri, M.; Principe, M.; Pinto, I.; Kuo, L.-C.; Chao, S.; Canepa, M.

    2018-01-01

    The use of amorphous, SiO2-TiO2 nanolayered coatings has been proposed recently for the mirrors of 3rd-generation interferometric detectors of gravitational waves, to be operated at low temperature. Coatings with a high number of low-high index sub-units pairs with nanoscale thickness were found to preserve the amorphous structure for high annealing temperatures, a key factor to improve the mechanical quality of the mirrors. The optimization of mirror designs based on such coatings requires a detailed knowledge of the optical properties of sub-units at the nm-thick scale. To this aim we have performed a Spectroscopic Ellipsometry (SE) study of amorphous SiO2-TiO2 nanolayered films deposited on Si wafers by Ion Beam Sputtering (IBS). We have analyzed films that are composed of 5 and 19 nanolayers (NL5 and NL19 samples) and have total optical thickness nominally equivalent to a quarter of wavelength at 1064 nm. A set of reference optical properties for the constituent materials was obtained by the analysis of thicker SiO2 and TiO2 homogeneous films (∼ 120 nm) deposited by the same IBS facility. By flanking SE with ancillary techniques, such as TEM and AFM, we built optical models that allowed us to retrieve the broad-band (250-1700 nm) optical properties of the nanolayers in the NL5 and NL19 composite films. In the models which provided the best agreement between simulation and data, the thickness of each sub-unit was fitted within rather narrow bounds determined by the analysis of TEM measurements on witness samples. Regarding the NL5 sample, with thickness of 19.9 nm and 27.1 nm for SiO2 and TiO2 sub-units, respectively, the optical properties presented limited variations with respect to the thin film counterparts. For the NL19 sample, which is composed of ultrathin sub-units (4.4 nm and 8.4 nm for SiO2 and TiO2, respectively) we observed a significant decrease of the IR refraction index for both types of sub-units; this points to a lesser mass density with

  19. Hydrogen ion sensors based on indium tin oxide thin film using radio frequency sputtering system

    International Nuclear Information System (INIS)

    Chiang, Jung-Lung; Jhan, Syun-Sheng; Hsieh, Shu-Chen; Huang, An-Li

    2009-01-01

    Indium tin oxide (ITO) thin films were deposited onto Si and SiO 2 /Si substrates using a radio frequency sputtering system with a grain size of 30-50 nm and thickness of 270-280 nm. ITO/Si and ITO/SiO 2 /Si sensing structures were achieved and connected to a standard metal-oxide-semiconductor field-effect transistor (MOSFET) as an ITO pH extended-gate field-effect transistor (ITO pH-EGFET). The semiconductor parameter analysis measurement (Keithley 4200) was utilized to measure the current-voltage (I-V) characteristics curves and study the sensing properties of the ITO pH-EGFET. The linear pH voltage sensitivities were about 41.43 and 43.04 mV/pH for the ITO/Si and ITO/SiO 2 /Si sensing structures, respectively. At the same time, both pH current sensitivities were about 49.86 and 51.73 μA/pH, respectively. Consequently, both sensing structures can be applied as extended-gate sensing heads. The separative structure is suitable for application as a disposable pH sensor.

  20. Positron trap centers in x-ray and γ-ray irradiated SiO2

    International Nuclear Information System (INIS)

    Khatri, R.; Asoka-Kumar, P.; Nielsen, B.; Roellig, L.O.; Lynn, K.G.

    1993-01-01

    Using Doppler broadening annihilation spectroscopy, we investigated the properties of irradiated samples of SiO 2 /Si(100) with 117 nm thick oxide layer, grown in dry O 2 on p- and n-type substrates. These samples were irradiated with γ rays and x rays at doses in the range of 7x10 4 --9x10 6 rad and 50--2000 mJ/cm 2 , respectively. The changes observed in the Doppler broadening line shape parameter after irradiation and its recovery during isochronal annealing were used to obtain an activation energy of 1.48--1.61 eV required for annealing the defects

  1. Anomalous positive flatband voltage shifts in metal gate stacks containing rare-earth oxide capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.

    2012-03-09

    It is shown that the well-known negative flatband voltage (VFB) shift, induced by rare-earth oxide capping in metal gate stacks, can be completely reversed in the absence of the silicon overlayer. Using TaN metal gates and Gd2O3-doped dielectric, we measure a ∼350 mV negative shift with the Si overlayer present and a ∼110 mV positive shift with the Si overlayer removed. This effect is correlated to a positive change in the average electrostatic potential at the TaN/dielectric interface which originates from an interfacial dipole. The dipole is created by the replacement of interfacial oxygen atoms in the HfO2 lattice with nitrogen atoms from TaN.

  2. Effects of Y incorporation in TaON gate dielectric on electrical performance of GaAs metal-oxide-semiconductor capacitor

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Li Ning; Choi, Hoi Wai; Lai, Pui To [Department of Electrical and Electronic Engineering, The University of Hong Kong (China); Xu, Jing Ping [School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan (China)

    2016-09-15

    In this study, GaAs metal-oxide-semiconductor (MOS) capacitors using Y-incorporated TaON as gate dielectric have been investigated. Experimental results show that the sample with a Y/(Y + Ta) atomic ratio of 27.6% exhibits the best device characteristics: high k value (22.9), low interfacestate density (9.0 x 10{sup 11} cm{sup -2} eV{sup -1}), small flatband voltage (1.05 V), small frequency dispersion and low gate leakage current (1.3 x 10{sup -5}A/cm{sup 2} at V{sub fb} + 1 V). These merits should be attributed to the complementary properties of Y{sub 2}O{sub 3} and Ta{sub 2}O{sub 5}:Y can effectively passivate the large amount of oxygen vacancies in Ta{sub 2}O{sub 5}, while the positively-charged oxygen vacancies in Ta{sub 2}O{sub 5} are capable of neutralizing the effects of the negative oxide charges in Y{sub 2}O{sub 3}. This work demonstrates that an appropriate doping of Y content in TaON gate dielectric can effectively improve the electrical performance for GaAs MOS devices. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Semiconductor to metallic transition in bulk accumulated amorphous indium-gallium-zinc-oxide dual gate thin-film transistor

    Directory of Open Access Journals (Sweden)

    Minkyu Chun

    2015-05-01

    Full Text Available We investigated the effects of top gate voltage (VTG and temperature (in the range of 25 to 70 oC on dual-gate (DG back-channel-etched (BCE amorphous-indium-gallium-zinc-oxide (a-IGZO thin film transistors (TFTs characteristics. The increment of VTG from -20V to +20V, decreases the threshold voltage (VTH from 19.6V to 3.8V and increases the electron density to 8.8 x 1018cm−3. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on VTG. At VTG of 20V, the mobility decreases from 19.1 to 15.4 cm2/V ⋅ s with increasing temperature, showing a metallic conduction. On the other hand, at VTG of - 20V, the mobility increases from 6.4 to 7.5cm2/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.

  4. Improved opto-electronic properties of silicon heterojunction solar cells with SiO x /Tungsten-doped indium oxide double anti-reflective coatings

    Science.gov (United States)

    Yu, Jian; Zhou, Jie; Bian, Jiantao; Zhang, Liping; Liu, Yucheng; Shi, Jianhua; Meng, Fanying; Liu, Jinning; Liu, Zhengxin

    2017-08-01

    Amorphous SiO x was prepared by plasma enhanced chemical vapor deposition (PECVD) to form SiO x /tungsten-doped indium oxide (IWO) double anti-reflective coatings for silicon heterojunction (SHJ) solar cell. The sheet resistance of SiO x /IWO stacks decreases due to plasma treatment during deposition process, which means thinner IWO film would be deposited for better optical response. However, the comparisons of three anti-reflective coating (ARC) structures reveal that SiO x film limits carier transport and the path of IWO-SiO x -Ag structure is non-conductive. The decrease of sheet resistance is defined as pseudo conductivity. IWO film capping with SiO x allows observably reduced reflectance and better response in 300-400 and 600-1200 nm wavelength ranges. Compared with IWO single ARC, the average reflection is reduced by 1.65% with 70 nm SiO x /80 nm IWO double anti-reflective coatings (DARCs) in 500-1200 nm wavelength range, leading to growing external quantum efficiency response, short circuit current density (J sc), and efficiency. After well optimization of SiO x /IWO stacks, an impressive efficiency of 23.08% is obtained with high J sc and without compromising open circuit voltage (V oc) and fill factor. SiO x /IWO DARCs provide better anti-reflective properties over a broad range of wavelength, showing promising application for SHJ solar cells.

  5. Luminescence properties of Ca2 Ga2 SiO7 :RE phosphors for UV white-light-emitting diodes.

    Science.gov (United States)

    Jiao, Mengmeng; Lv, Wenzhen; Lü, Wei; Zhao, Qi; Shao, Baiqi; You, Hongpeng

    2015-03-16

    A series of Eu(2+) -, Ce(3+) -, and Tb(3+) -doped Ca2 Ga2 SiO7 phosphors is synthesized by using a high-temperature solid-state reaction. The powder X-ray diffraction and structure refinement data indicate that our prepared phosphors are single phased and the phosphor crystalizes in a tetrahedral system with the ${P\\bar 42m}$ (113) space group. The Eu(2+) - and Ce(3+) -doped phosphors both have broad excitation bands, which match well with the UV light-emitting diodes chips. Under irradiation of λ=350 nm, Ca2 Ga2 SiO7 :Eu(2+) and Ca2 Ga2 SiO7 :Ce(3+) , Li(+) have green and blue emissions, respectively. Luminescence of Ca2 Ga2 SiO7 :Tb(3+) , Li(+) phosphor varies with the different Tb(3+) contents. The thermal stability and energy-migration mechanism of Ca2 Ga2 SiO7 :Eu(2+) are also studied. The investigation results indicate that the prepared Ca2 Ga2 SiO7 :Eu(2+) and Ca2 Ga2 SiO7 :Ce(3+) , Li(+) samples show potential as green and blue phosphors, respectively, for UV-excited white-light-emitting diodes. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Modelling ionising radiation induced defect generation in bipolar oxides with gated diodes

    International Nuclear Information System (INIS)

    Barnaby, H.J.; Cirba, C.; Schrimpf, R.D.; Kosier, St.; Fouillat, P.; Montagner, X.

    1999-01-01

    Radiation-induced oxide defects that degrade electrical characteristics of bipolar junction transistor (BJTs) can be measured with the use of gated diodes. The buildup of defects and their effect on device radiation response are modeled with computer simulation. (authors)

  7. Impedance Characterization of the Capacitive field-Effect pH-Sensor Based on a thin-Layer Hafnium Oxide Formed by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michael LEE

    2014-05-01

    Full Text Available As a sensing element, silicon dioxide (SiO2 has been applied within ion-sensitive field effect transistors (ISFET. However, a requirement of increasing pH-sensitivity and stability has observed an increased number of insulating materials that obtain high-k gate being applied as FETs. The increased high-k gate reduces the required metal oxide layer and, thus, the fabrication of thin hafnium oxide (HfO2 layers by atomic layer deposition (ALD has grown with interest in recent years. This metal oxide presents advantageous characteristics that can be beneficial for the advancements within miniaturization of complementary metal oxide semiconductor (CMOS technology. In this article, we describe a process for fabrication of HfO2 based on ALD by applying water (H2O as the oxygen precursor. As a first, electrochemical impedance spectroscopy (EIS measurements were performed with varying pH (2-10 to demonstrate the sensitivity of HfO2 as a potential pH sensing material. The Nyquist plot demonstrates a high clear shift of the polarization resistance (Rp between pH 6-10 (R2 = 0.9986, Y = 3,054X + 12,100. At acidic conditions (between pH 2-10, the Rp change was small due to the unmodified oxide gate (R2 = 0.9655, Y = 2,104X + 4,250. These preliminary results demonstrate the HfO2 substrate functioned within basic to neutral conditions and establishes a great potential for applying HfO2 as a dielectric material for future pH measuring FET sensors.

  8. Study on spectroscopic properties and effects of tungsten ions in 2Bi2O3-3GeO2/SiO2 glasses.

    Science.gov (United States)

    Yu, Pingsheng; Su, Liangbi; Cheng, Junhua; Zhang, Xia; Xu, Jun

    2017-04-01

    The 2Bi 2 O 3 -3GeO 2 /SiO 2 glass samples have been prepared by the conventional melt quenching technique. XRD patterns, absorption spectra, excitation-emission spectra and Raman measurements were utilized to characterize the synthesized glasses. When substitute SiO 2 for GeO 2 , the 0.4Bi 2 O 3 -(0.4-0.1)GeO 2 -(0.2-0.5)SiO 2 glasses exhibit strong emission centered at about 475nm (under 300nm excitation), and the decay constants are within the scope of 20-40ns. W doping into 2Bi 2 O 3 -3SiO 2 glass could increase the emission intensity of 470nm, and the W-doped 2Bi 2 O 3 -3SiO 2 glass has shown another emission at about 433nm with much shorter decay time (near 10ns). The 2Bi 2 O 3 -3GeO 2 /SiO 2 glass system could be the possible candidate for scintillator in high energy physics applications. Copyright © 2017 Elsevier Ltd. All rights reserved.

  9. Ti-catalyzed HfSiO4 formation in HfTiO4 films on SiO2 studied by Z-contrast scanning electron microscopy

    Directory of Open Access Journals (Sweden)

    Elizabeth Ellen Hoppe

    2013-08-01

    Full Text Available Hafnon (HfSiO4 as it is initially formed in a partially demixed film of hafnium titanate (HfTiO4 on fused SiO2 is studied by atomic number (Z contrast high resolution scanning electron microscopy, x-ray diffraction, and Raman spectroscopy and microscopy. The results show exsoluted Ti is the catalyst for hafnon formation by a two-step reaction. Ti first reacts with SiO2 to produce a glassy Ti-silicate. Ti is then replaced by Hf in the silicate to produce HfSiO4. The results suggest this behavior is prototypical of other Ti-bearing ternary or higher order oxide films on SiO2 when film thermal instability involves Ti exsolution.

  10. In Situ Raman Spectroscopy of Supported Chromium Oxide Catalysts: Reactivity Studies with Methanol and Butane

    NARCIS (Netherlands)

    Weckhuysen, B.M.; Wachs, I.E.

    1996-01-01

    The interactions of methanol and butane with supported chromium oxide catalysts under oxidizing and reducing conditions were studied by in situ Raman spectroscopy as a function of the specific oxide support (Al2O3, ZrO2, TiO2, SiO2, Nb2O5, 3% SiO2/TiO2, 3% TiO2/SiO2, and a physical mixture of SiO2

  11. Cd2SiO4/Graphene nanocomposite: Ultrasonic assisted synthesis, characterization and electrochemical hydrogen storage application.

    Science.gov (United States)

    Masjedi-Arani, Maryam; Salavati-Niasari, Masoud

    2018-05-01

    For the first time, a simple and rapid sonochemical technique for preparing of pure Cd 2 SiO 4 nanostructures has been developed in presence of various surfactants of SDS, CTAB and PVP. Uniform and fine Cd 2 SiO 4 nanoparticle was synthesized using of polymeric PVP surfactant and ultrasonic irradiation. The optimized cadmium silicate nanostructures added to graphene sheets and Cd 2 SiO 4 /Graphene nanocomposite synthesized through pre-graphenization. Hydrogen storage capacity performances of Cd 2 SiO 4 nanoparticle and Cd 2 SiO 4 /Graphene nanocomposite were compared. Obtained results represent that Cd 2 SiO 4 /Graphene nanocomposites have higher hydrogen storage capacity than Cd 2 SiO 4 nanoparticles. Cd 2 SiO 4 /Graphene nanocomposites and Cd 2 SiO 4 nanoparticles show hydrogen storage capacity of 3300 and 1300 mAh/g, respectively. Copyright © 2018 Elsevier B.V. All rights reserved.

  12. Synthesis and detection the oxidization of Co cores of Co@SiO2 core-shell nanoparticles by in situ XRD and EXAFS.

    Science.gov (United States)

    Zhang, Kunhao; Zhao, Ziyan; Wu, Zhonghua; Zhou, Ying

    2015-01-01

    In this paper, the Co@SiO2 core-shell nanoparticles were prepared by the sol-gel method. The oxidization of Co core nanoparticles was studied by the synchrotron radiation-based techniques including in situ X-ray diffraction (XRD) and X-ray absorption fine structure (XAFS) up to 800°C in air and N2 protection conditions, respectively. It was found that the oxidization of Co cores is undergoing three steps regardless of being in air or in N2 protection condition. In the first step ranging from room temperature to 200°C, the Co cores were dominated by Co(0) state as well as small amount of Co(2+) ions. When temperature was above 300°C, the interface between Co cores and SiO2 shells was gradually oxidized into Co(2+), and the CoO layer was observed. As the temperature increasing to 800°C, the Co cores were oxidized to Co3O4 or Co3O4/CoO. Nevertheless, the oxidization kinetics of Co cores is different for the Co@SiO2 in air and N2 gas conditions. Generally, the O2 in the air could get through the SiO2 shells easily onto the Co core surface and induce the oxidization of the Co cores due to the mesoporous nature of the SiO2 shells. However, in N2 gas condition, the O atoms can only be from the SiO2 shells, so the diffusion effect of O atoms in the interface between Co core and SiO2 shell plays a key role.

  13. Enhanced the hydrophobic surface and the photo-activity of TiO2-SiO2 composites

    Science.gov (United States)

    Wahyuni, S.; Prasetya, A. T.

    2017-02-01

    The aim of this research is to develop nanomaterials for coating applications. This research studied the effect of various TiO2-SiO2 composites in acrylic paint to enhance the hydrophobic properties of the substrate. Titanium dioxide containing silica in the range 20-35 mol% has been synthesized using sol-gel route. The XRD’s spectra show that increasing SiO2 content in the composite, decreasing its crystalline properties but increasing the surface area. TiO2-SiO2 composite was dispersed in acrylic paint in 2% composition by weight. The largest contact angle was 70, which produced by the substrate coated with TS-35-modified acrylic paint. This study also investigated the enhanced photo-activity of TiO2-SiO2 modified with poly-aniline. The XRD spectra show that the treatment does not change the crystal structure of TiO2. The photo-activity of the composite was evaluated by degradation of Rhodamine-B with visible light. The best performance of the degradation process was handled by the composite treated with 0.1mL anilines per gram of TiO2-SiO2 composite (TSP-A). On the other side, the contact angle 70 has not shown an excellent hydrophobic activity. However, the AFM spectra showed that nanoroughness has started to form on the surface of acrylic paint modified with TiO2-SiO2 than acrylic alone.

  14. SiO2-induced release of sVEGFRs from pulmonary macrophages.

    Science.gov (United States)

    Chao, Jie; Lv, Yan; Chen, Jin; Wang, Jing; Yao, Honghong

    2018-01-01

    The inhalation of silicon dioxide (SiO 2 ) particles causes silicosis, a stubborn pulmonary disease that is characterized by alveolar inflammation during the early stage. Soluble cytokine receptors (SCRs) play important roles in regulating inflammation by either attenuating or promoting cytokine signaling. However, the role of SCRs in silicosis remains unknown. Luminex assays revealed increased soluble vascular endothelial growth factor receptor (sVEGFR) family levels in the plasma of silicosis patients. In an enzyme-linked immunosorbent assay (ELISA), cells from the differentiated human monocytic cell line U937 released sVEGFR family proteins after exposure to SiO 2 (50μg/cm 2 ). Further Western blot experiments revealed that VEGFR expression was also elevated in U937 cells. In contrast, levels of sVEGFR family members did not change in the supernatants of human umbilical vein endothelial cells (HUVECs) after exposure to SiO 2 (50μg/cm 2 ). Interestingly, VEGFR expression in HUVECs decreased after SiO 2 treatment. In a scratch assay, HUVECs exhibited cell migration ability, indicating the acquisition of mesenchymal properties. Our findings highlight the important role of sVEGFRs in both inflammation and fibrosis induced by SiO 2 , suggesting a possible mechanism for the fibrogenic effects observed in pulmonary diseases associated with fibrosis. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. Highly efficient transparent Zn2SiO4:Mn2+ phosphor film on quartz glass

    International Nuclear Information System (INIS)

    Seo, K.I.; Park, J.H.; Kim, J.S.; Kim, G.C.; Yoo, J.H.

    2009-01-01

    Highly efficient transparent Zn 2 SiO 4 :Mn 2+ film phosphors on quartz substrates were deposited by the thermal diffusion of sputtered ZnO:Mn film. They show a textured structure with some preferred orientations. Our film phosphor shows, for the best photoluminescence (PL) brightness, a green PL brightness of about 20% of a commercial Zn 2 SiO 4 :Mn 2+ powder phosphor screen. The film shows a high transmittance of more than 10% at the red-color region. The excellence in PL brightness and transmittance can be explained in terms of the textured crystal growth with a continuous gradient of Zn 2 SiO 4 : Mn 2+ crystals.

  16. LASER APPLICATIONS AND OTHER TOPICS IN QUANTUM ELECTRONICS: Synthesis of bulk SiO2 : MxOy materials in a steady-state laser plume

    Science.gov (United States)

    Lebedev, V. F.

    1997-01-01

    An analysis was made of the conditions of existence of a two-component steady-state laser plume in atmospheric air. Such a plume is used in single-stage synthesis of bulk molten materials belonging to the SiO2 : MxOy system. Targets of the SiO2 : M type (metal foil and a silica glass rod) and doping elements with boiling points below and above the boiling point of silica glass are discussed. The regimes and efficiency of steady-state transfer of the dopants are considered. The efficiency of transfer of metal oxides during growth of samples with the aid of a cw CO2 laser is reported to be ~0.05, 0.4, and 0.75g min-1 kW-1 when the mass dopant concentration is ~8%, 20%, and 60% for the oxides of Ti, Cu, and Ni, respectively.

  17. Photocatalytic application of TiO2/SiO2-based magnetic nanocomposite (Fe3O4@SiO2/TiO2 for reusing of textile wastewater

    Directory of Open Access Journals (Sweden)

    Laleh Enayati Ahangar

    2016-01-01

    Full Text Available In this research we have developed a treatment method for textile wastewater by TiO2/SiO2-based magnetic nanocomposite. Textile wastewater includes a large variety of dyes and chemicals and needs treatments. This manuscript presents a facile method for removing dyes from the textile wastewater by using TiO2/SiO2-based nanocomposite (Fe3O4@SiO2/TiO2 under UV irradiation. This magnetic nanocomposite, as photocatalytically active composite, is synthesized via solution method in mild conditions. A large range of cationic, anionic and neutral dyes including: methyl orange, methylene blue, neutral red, bromocresol green and methyl red are used for treatment investigations. Neutral red and bromocresol green have good results in reusing treatment. The high surface area of nanocomposites improve the kinetic of wastewater treatment. In this method, by using the magnetic properties of Fe3O4 nanoparticles, TiO2-based photocatalyst could be separated and reused for 3 times. The efficiency of this method is respectively 100% and 65% for low concentration (10 ppm and high concentration (50 ppm of neutral red and bromocrosol green after 3 h treatment. The efficiency of treatment using the second used nanocomposite was 90% for 10 ppm of the same dyes.

  18. Effect of gate dielectrics on the performance of p-type Cu2O TFTs processed at room temperature

    KAUST Repository

    Al-Jawhari, Hala A.

    2013-12-01

    Single-phase Cu2O films with p-type semiconducting properties were successfully deposited by reactive DC magnetron sputtering at room temperature followed by post annealing process at 200°C. Subsequently, such films were used to fabricate bottom gate p-channel Cu2O thin film transistors (TFTs). The effect of using high-κ SrTiO3 (STO) as a gate dielectric on the Cu2O TFT performance was investigated. The results were then compared to our baseline process which uses a 220 nm aluminum titanium oxide (ATO) dielectric deposited on a glass substrate coated with a 200 nm indium tin oxide (ITO) gate electrode. We found that with a 150 nm thick STO, the Cu2O TFTs exhibited a p-type behavior with a field-effect mobility of 0.54 cm2.V-1.s-1, an on/off ratio of around 44, threshold voltage equaling -0.62 V and a sub threshold swing of 1.64 V/dec. These values were obtained at a low operating voltage of -2V. The advantages of using STO as a gate dielectric relative to ATO are discussed. © (2014) Trans Tech Publications, Switzerland.

  19. Efficient photocatalytic activity with carbon-doped SiO2 nanoparticles

    KAUST Repository

    Zhang, Dongen

    2013-01-01

    Photocatalysis provides a \\'green\\' approach to completely eliminate various kinds of contaminants that are fatal for current environmental and energy issues. Semiconductors are one of the most frequently used photocatalysts as they can absorb light over a wide spectral range. However, it is also well known that naked SiO2 is not an efficient photocatalyst due to its relatively large band gap, which could only absorb shortwave ultraviolet light. In this report, nanoscale particles of carbon-doped silicon dioxide (C-doped SiO2) for use in photocatalysis were successfully prepared by a facile one-pot thermal process using tetraethylorthosilicate (TEOS) as the source of both silicon and carbon. These particles were subsequently characterized by thermogravimetric analysis, X-ray diffraction, standard and high resolution transmission electron microscopy and X-ray photoelectron spectroscopy. The C-doped SiO2 displayed outstanding photocatalytic properties, as evidenced by its catalysis of Rhodamine B degradation under near-UV irradiation. We propose that carbon doping of the SiO2 lattice creates new energy states between the bottom of the conduction band and the top of the valence band, which narrows the band gap of the material. As a result, the C-doped SiO2 nanoparticles exhibit excellent photocatalytic activities in a neutral environment. The novel synthesis reported herein for this material is both energy efficient and environmentally friendly and as such shows promise as a technique for low-cost, readily scalable industrial production. © 2013 The Royal Society of Chemistry.

  20. Luminescence and origin of lead-related centers in single crystalline films of Y2SiO5 and Lu2SiO5

    International Nuclear Information System (INIS)

    Babin, V.; Gorbenko, V.; Krasnikov, A.; Mihokova, E.; Nikl, M.; Zazubovich, S.; Zorenko, Yu.

    2013-01-01

    In the temperature range 4.2–350 K, the steady-state and time-resolved emission and excitation spectra and luminescence decay kinetics are studied for the undoped Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films grown by liquid phase epitaxy method from the PbO-based flux and, owing to that, containing lead ions substituting for Y 3+ or Lu 3+ ions. Luminescence characteristics of Pb-related centers of different types are identified. On the basis of the results obtained, we suggest that the ultraviolet emission of Pb-related centers arises from the Pb 2+ ions substituting for Y 3+ or Lu 3+ ions in the Y1 and Lu1 lattice sites of the X 2 structure. Possible hypotheses on the origin of the intense complex lead-related blue emission are discussed. We propose phenomenological models describing the excited-state dynamics of the studied luminescence centers. We also determine characteristic parameters of the corresponding relaxed excited states, in particular, the energy separations between the excited states and the rates of the radiative and non-radiative transitions from these states. -- Highlights: •Emission of lead centers in Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films is studied. •The ultraviolet emission arises from Pb 2+ ions located in Y1 or Lu1 lattice sites. •Possible hypotheses on the origin of the blue emission are proposed and discussed. •The relaxed excited states parameters of various Pb-related centers are determined

  1. Synchrotron X-ray irradiation effects on the device characteristics and the resistance to hot-carrier damage of MOSFETs with 4 nm thick gate oxides

    International Nuclear Information System (INIS)

    Tanaka, Yuusuke; Tanabe, Akira; Suzuki, Katsumi

    1998-01-01

    The effects of synchrotron x-ray irradiation on the device characteristics and hot-carrier resistance of n- and p-channel metal oxide semiconductor field effect transistors (MOSFETs) with 4 nm thick gate oxides are investigated. In p-channel MOSFETs, device characteristics were significantly affected by the x-ray irradiation but completely recovered after annealing, while the device characteristics in n-channel MOSFETs were not noticeably affected by the irradiation. This difference appears to be due to a difference in interface-state generation. In p-channel MOSFETs, defects caused by boron-ion penetration through the gate oxides may be sensitive to x-ray irradiation, causing the generation of many interface states. These interface states are completely eliminated after annealing in hydrogen gas. The effects of irradiation on the resistance to hot-carrier degradation in annealed 4 nm thick gate-oxide MOSFETs were negligible even at an x-ray dose of 6,000 mJ/cm 2

  2. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  3. Effect of SiO2 addition and gamma irradiation on the lithium borate glasses

    Science.gov (United States)

    Raut, A. P.; Deshpande, V. K.

    2018-01-01

    The physical properties like density, glass transition temperature (Tg), and ionic conductivity of lithium borate (LB) glasses with SiO2 addition were measured before and after gamma irradiation. Remarkable changes in properties have been obtained in the physical properties of LB glasses with SiO2 addition and after gamma irradiation. The increase in density and glass transition temperature of LB glasses with SiO2 addition has been explained with the help of increase in density of cross linking due to SiO4 tetrahedra formation. The increase in ionic conductivity with SiO2 addition was explained with the help of ‘mixed glass former effect’. The increase in density and Tg of LB glasses with SiO2 addition after gamma irradiation has been attributed to fragmentation of bigger ring structure into smaller rings, which increases the density of cross linking and hence compaction. The exposure of gamma irradiation has lead to decrease in ionic conductivity of LB glasses with SiO2 addition. The atomic displacement caused by gamma irradiation resulted in filling of interstices and decrease in trapping sites. This explains the obtained decrease in ionic conductivity after gamma irradiation of glasses. The obtained results of effect of SiO2 addition and gamma irradiation on the density, Tg and ionic conductivity has been supported by FTIR results.

  4. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  5. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    OpenAIRE

    B. Pivac; P. Dubček; J. Dasović; H. Zorc; S. Bernstorff; J. Zavašnik; B. Vlahovic

    2018-01-01

    The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C) in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm) spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction) and self-organization ...

  6. Effect of SiO 2-ZrO 2 supports prepared by a grafting method on hydrogen production by steam reforming of liquefied natural gas over Ni/SiO 2-ZrO 2 catalysts

    Science.gov (United States)

    Seo, Jeong Gil; Youn, Min Hye; Song, In Kyu

    SiO 2-ZrO 2 supports with various zirconium contents are prepared by grafting a zirconium precursor onto the surface of commercial Carbosil silica. Ni(20 wt.%)/SiO 2-ZrO 2 catalysts are then prepared by an impregnation method, and are applied to hydrogen production by steam reforming of liquefied natural gas (LNG). The effect of SiO 2-ZrO 2 supports on the performance of the Ni(20 wt.%)/SiO 2-ZrO 2 catalysts is investigated. SiO 2-ZrO 2 prepared by a grafting method serves as an efficient support for the nickel catalyst in the steam reforming of LNG. Zirconia enhances the resistance of silica to steam significantly and increases the interaction between nickel and the support, and furthermore, prevents the growth of nickel oxide species during the calcination process through the formation of a ZrO 2-SiO 2 composite structure. The crystalline structures and catalytic activities of the Ni(20 wt.%)/SiO 2-ZrO 2 catalysts are strongly influenced by the amount of zirconium grafted. The conversion of LNG and the yield of hydrogen show volcano-shaped curves with respect to zirconium content. Among the catalysts tested, the Ni(20 wt.%)/SiO 2-ZrO 2 (Zr/Si = 0.54) sample shows the best catalytic performance in terms of both LNG conversion and hydrogen yield. The well-developed and pure tetragonal phase of ZrO 2-SiO 2 (Zr/Si = 0.54) appears to play an important role in the adsorption of steam and subsequent spillover of steam from the support to the active nickel. The small particle size of the metallic nickel in the Ni(20 wt.%)/SiO 2-ZrO 2 (Zr/Si = 0.54) catalyst is also responsible for its high performance.

  7. Use of MnO2 and MnO2 SiO2 for sorbing of Sr-90 from liquid rad waste

    International Nuclear Information System (INIS)

    Subiarto; Las, Thamzil; Aan BH, Martin; Utomo, Cahyo Hari

    1998-01-01

    The synthesis of MnO 2 adsorbent and MnO 2 -SiO 2 composite has been done. MnO 2 synthesis is done by the reaction of KMnO 4 , Mn(NO 3 ) 2 .4H 2 O and Na 2 S 2 O 4 ( MnO 2 -A, MnO 2 -B, and MnO 2 -T ). MnO 2 . SiO 2 is made from KMnO 4 , Na 2 SiO 3 , and H 2 O 2 . The result obtained show the best Sr-90 sorption by MnO 2 -A with Kd = 2085.63 ml/g, by MnO 2 -L with Kd = 755.09 ml/g, and by MnO 2 - SiO 2 composite with Kd = 1466.51 ml/g. From this result, we can conclude that MnO 2 -SiO 2 can be expanded for Sr-90 sorption from liquid radioactive waste. (author)

  8. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    Science.gov (United States)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  9. Electronic states at Si-SiO2 interface introduced by implantation of Si in thermal SiO2

    International Nuclear Information System (INIS)

    Kalnitsky, A.; Poindexter, E.H.; Caplan, P.J.

    1990-01-01

    Interface traps due to excess Si introduced into the Si-SiO 2 system by ion implantation are investigated. Implanted oxides are shown to have interface traps at or slightly above the Si conduction band edge with densities proportional to the density of off-stoichiometric Si at the Si-SiO 2 interface. Diluted oxygen annealing is shown to result in physical separation of interface traps and equilibrium substrate electrons, demonstrating that ''interface'' states are located within a 0.5 nm thick layer of SiO 2 . Possible charge trapping mechanisms are discussed and the effect of these traps on MOS transistor characteristics is described using a sheet charge model. (author)

  10. Photocatalytic hydrogen production using visible-light-responsive Ta 3N5 photocatalyst supported on monodisperse spherical SiO2 particulates

    KAUST Repository

    Liu, Xiaoming

    2014-01-01

    Fine nanoparticles of Ta3N5 (10-20 nm) were synthesized on the surfaces of SiO2 spheres with a diameter of ∼550 nm. A sol-gel method was used to modify the surface of SiO2 with Ta2O5 from TaCl5 dissolved in ethanol in the presence of citric acid and polyethylene glycol. The resulting oxide composites were treated in an NH3 flow at 1123 K to form core-shell structured Ta3N5/SiO2 sub-microspheres. The obtained samples were characterized using powder X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), field emission scanning electron microscopy (FESEM), energy-dispersive X-ray spectra (EDX), transmission electron microscopy (TEM), and photocatalytic activity measurements for H 2 evolution from an aqueous methanol solution. The XRD results demonstrate the expected sequential formation of Ta2O5 layers, followed by Ta3N5 after nitridation on the Ta 2O5/SiO2 composite. SEM and TEM observations indicate that the obtained Ta3N5/SiO2 sub-microspheres have a uniform size distribution with high crystallinity and an obvious core-shell structure. The presence of support maintained the intrinsic photocatalytic activity of Ta3N5 nanoparticles, but it did drastically improve the dispersion of the photocatalysts in the solution. This study proposes the use of an inert support in photocatalytic reactors to improve ease of handling the powder photocatalyst for gas-phase photocatalysis and the suspension of the solution, controlling nature of light harvesting and degree of scattering of the photoreactor. © 2013 Elsevier Ltd. All rights reserved.

  11. Cytotoxicity and effect on GJIC of SiO2 nanoparticles in HL-7702 cells

    International Nuclear Information System (INIS)

    Pan Tao; Jin Minghua; Liu Xiaomei; Du Zhongjun; Zhou Xianqing; Huang Peili; Sun Zhiwei

    2013-01-01

    Objective: To study the cytotoxicity and effect on gap junction intracellular communication (GJIC) of SiO 2 nanoparticles in HL-7702 cells, and to provide experimental basis for toxicity assessment and the security applications of SiO 2 nanoparticles. Methods: Transmission electron microscope (TEM) was used to characterize two kinds of SiO 2 nanoparticles, verifying their size, dispersion and shape; dynamic light scattering (DLS) method was used to analyze the water dispersion and culture medium dispersion of the SiO 2 nanoparticles; MTT assay was carried out to examine the cytotoxicities of the two sizes SiO 2 nanoparticles on the cells; lactate dehydrogenase (LDH) release assay was performed to examine the integrity nano of the cell membrane; Scrape-loading and dye transfer assay was performed to examine the effect of SiO 2 nanoparticles on GJIC. Results: Based on the result of TEM, two kinds of SiO 2 nanoparticles were spherically shaped, uniformly sized and sporadically dispersed; the statistical analysis results showed the diameters of the two nanoparticles were (447.60±20.78) nm and (67.42±5.69) nm, respectively, thus they could be categorized as submicron scale and nano scale. The DLS method results manifested that the hydration nanoparticle sizes of the two SiO 2 nanoparticles were (684.37±18.76) nm, (128.31±7.64) nm in high purity water and (697.02±19.57) nm, (133.74±8.97) nm in RPMI-1640 solution, all the two nanoparticles were well dispersed without aggregation. MTT assay indicated that 24 h after treatment of SiO 2 nanoparticles, the cell viabilities were affected by both the size and the dose of the SiO 2 nanoparticles; the higher the dose was, the less viability the cells exhibited. Moreover, the nano scale particles inflicted more damage to the cells. LDH release assay indicated that the SiO 2 particles could also damage the cell membrane in a dose-dependent and size-dependent way. Scrape-loading and dye transfer assay indicated that the nano

  12. ISAC's Gating-ML 2.0 data exchange standard for gating description.

    Science.gov (United States)

    Spidlen, Josef; Moore, Wayne; Brinkman, Ryan R

    2015-07-01

    The lack of software interoperability with respect to gating has traditionally been a bottleneck preventing the use of multiple analytical tools and reproducibility of flow cytometry data analysis by independent parties. To address this issue, ISAC developed Gating-ML, a computer file format to encode and interchange gates. Gating-ML 1.5 was adopted and published as an ISAC Candidate Recommendation in 2008. Feedback during the probationary period from implementors, including major commercial software companies, instrument vendors, and the wider community, has led to a streamlined Gating-ML 2.0. Gating-ML has been significantly simplified and therefore easier to support by software tools. To aid developers, free, open source reference implementations, compliance tests, and detailed examples are provided to stimulate further commercial adoption. ISAC has approved Gating-ML as a standard ready for deployment in the public domain and encourages its support within the community as it is at a mature stage of development having undergone extensive review and testing, under both theoretical and practical conditions. © 2015 International Society for Advancement of Cytometry.

  13. The Effect of SiO2 Shell on the Suppression of Photocatalytic Activity of TiO2 and ZnO Nanoparticles

    International Nuclear Information System (INIS)

    Lee, Min Hee; Lee, Choon Soo; Patil, Umakant Mahadev; Kochuveedu, Saji Thomas

    2012-01-01

    In this study, we investigate the potential use of TiO 2 SiO 2 and ZnO SiO 2 core/shell nanoparticles (NPs) as effective UV shielding agent. In the typical synthesis, SiO 2 was coated over different types of TiO 2 (anatase and rutile) and ZnO by sol-gel method. The synthesized TiO 2 SiO 2 and ZnO SiO 2 Nps were characterized by UV-Vis, XRD, Sem and TEM. The UV-vis absorbance and transmittance spectra of core shell NPs showed an efficient blocking effect in the UV region and more than 90% transmittance in the visible region. XRD and SAED studies confirmed the formation of amorphous SiO 2 coated over the TiO 2 and ZnO NPs. The FESEM and TEM images shows that coating of SiO 2 over the surface of anatase, rutile TiO 2 and ZnO NPs resulted in the increase in particle size by ∼30 nm. In order to study the UV light shielding capability of the samples, photocatalytic degradation of methylene blue dye on TiO 2 SiO 2 and ZnO SiO 2 NPs was performed. Photocatalytic activity for both types of TiO 2 NPs was partially suppressed. In comparison, the photocatalytic activity of ZnO almost vanished after the SiO 2 coating

  14. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  15. Semiconductor to metallic transition in bulk accumulated amorphous indium-gallium-zinc-oxide dual gate thin-film transistor

    Energy Technology Data Exchange (ETDEWEB)

    Chun, Minkyu; Chowdhury, Md Delwar Hossain; Jang, Jin, E-mail: jjang@khu.ac.kr [Advanced Display Research Center and Department of Information Display, Kyung Hee University, Seoul 130-701 (Korea, Republic of)

    2015-05-15

    We investigated the effects of top gate voltage (V{sub TG}) and temperature (in the range of 25 to 70 {sup o}C) on dual-gate (DG) back-channel-etched (BCE) amorphous-indium-gallium-zinc-oxide (a-IGZO) thin film transistors (TFTs) characteristics. The increment of V{sub TG} from -20V to +20V, decreases the threshold voltage (V{sub TH}) from 19.6V to 3.8V and increases the electron density to 8.8 x 10{sup 18}cm{sup −3}. Temperature dependent field-effect mobility in saturation regime, extracted from bottom gate sweep, show a critical dependency on V{sub TG}. At V{sub TG} of 20V, the mobility decreases from 19.1 to 15.4 cm{sup 2}/V ⋅ s with increasing temperature, showing a metallic conduction. On the other hand, at V{sub TG} of - 20V, the mobility increases from 6.4 to 7.5cm{sup 2}/V ⋅ s with increasing temperature. Since the top gate bias controls the position of Fermi level, the temperature dependent mobility shows metallic conduction when the Fermi level is above the conduction band edge, by applying high positive bias to the top gate.

  16. Irradiation-induced hardening/softening in SiO2 studied with instrumented indentation

    International Nuclear Information System (INIS)

    Nakano, Shinsuke; Muto, Shunsuke; Tanabe, Tetsuo

    2005-01-01

    To understand the plastic deformation mechanism of SiO 2 polytypes, we measured the mechanical parameters of He + -irradiated crystalline SiO 2 (α-quartz, c-SiO 2 ) and vitreous SiO 2 (silica glass, v-SiO 2 ) as functions of the irradiation dose, by using the instrumented indentation method combined with a finite-element analysis. We extracted the effects of local rotation and bending of the SiO 4 framework (the degree of local structural freedom), which play key roles in the plastic deformation, and expressed the hardness change with a simple formula. For v-SiO 2 , the changes in the density and the number of broken bonds correlated well with the change in the degree of freedom. In contrast, for c-SiO 2 the present formulation was insufficient to fully express the hardness change in the structural disordering regime. The structure change by irradiation peculiar to this material is discussed, based on the theoretical formulation

  17. Low-voltage protonic/electronic hybrid indium zinc oxide synaptic transistors on paper substrates

    International Nuclear Information System (INIS)

    Wu, Guodong; Wan, Changjin; Wan, Qing; Zhou, Jumei; Zhu, Liqiang

    2014-01-01

    Low-voltage (1.5 V) indium zinc oxide (IZO)-based electric-double-layer (EDL) thin-film transistors (TFTs) gated by nanogranular proton conducting SiO 2 electrolyte films are fabricated on paper substrates. Both enhancement-mode and depletion-mode operation are obtained by tuning the thickness of the IZO channel layer. Furthermore, such flexible IZO protonic/electronic hybrid EDL TFTs can be used as artificial synapses, and synaptic stimulation response and short-term synaptic plasticity function are demonstrated. The protonic/electronic hybrid EDL TFTs on paper substrates proposed here are promising for low-power flexible paper electronics, artificial synapses and bioelectronics. (paper)

  18. Influence of SiO2 Addition on Properties of PTFE/TiO2 Microwave Composites

    Science.gov (United States)

    Yuan, Ying; Wang, Jie; Yao, Minghao; Tang, Bin; Li, Enzhu; Zhang, Shuren

    2018-01-01

    Composite substrates for microwave circuit applications have been fabricated by filling polytetrafluoroethylene (PTFE) polymer matrix with ceramic powder consisting of rutile TiO2 ( D 50 ≈ 5 μm) partially substituted with fused amorphous SiO2 ( D 50 ≈ 8 μm) with composition x vol.% SiO2 + (50 - x) vol.% TiO2 ( x = 0, 3, 6, 9, 12), and the effects of SiO2 addition on characteristics such as the density, moisture absorption, microwave dielectric properties, and thermal properties systematically investigated. The results show that the filler was well distributed throughout the matrix. High dielectric constant ( ɛ r > 7.19) and extremely low moisture absorption (ceramic particles served as barriers and improved the thermal stability of the PTFE polymer, retarding its decomposition. The temperature coefficient of dielectric constant ( τ ɛ ) of the composites shifted toward the positive direction (from - 309 ppm/°C to - 179 ppm/°C) as the SiO2 content was increased, while the coefficient of thermal expansion remained almost unchanged (˜ 35 ppm/°C).

  19. Bioactivity of gel-glass powders in the CaO-SiO2 system: a comparison with ternary (CaO-P2O5-SiO2) and quaternary glasses (SiO2-CaO-P2O5-Na2O).

    Science.gov (United States)

    Saravanapavan, Priya; Jones, Julian R; Pryce, Russell S; Hench, Larry L

    2003-07-01

    Bioactive glasses react chemically with body fluids in a manner that is compatible with the repair processes of the tissues. This results in the formation of an interfacial bond between the glasses and living tissue. Bioactive glasses also stimulate bone-cell proliferation. This behavior is dependent on the chemical composition as well as the surface texture of the glasses. It has been recently reported that gel-derived monolith specimens in the binary SiO2 - CaO are bioactive over a similar molar range of SiO2 content as the previously studied ternary CaO-P2O5-SiO2 system. In this report, the preparation and bioactivity of the binary gel-glass powder with 70 mol % SiO2 is discussed and its bioactivity is compared with the melt-derived 45S5 (quaternary) Bioglass and sol-gel-derived 58S (ternary) bioactive gel-glass compositions. Dissolution kinetic parameters K(1) and K(2) were also computed based on the silicon release for all glass powders. It was shown that the simple two-component SiO2-CaO gel-glass powder is bioactive with comparable dissolution rates as the clinically used melt-derived 45S5 Bioglass powder and extensively studied sol-gel-derived 58S gel-glass powder. Copyright 2003 Wiley Periodicals, Inc.

  20. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  1. Synthesis and characterization of Al2O3 and SiO2 films with fluoropolymer content using rf-plasma magnetron sputtering technique

    International Nuclear Information System (INIS)

    Islam, Mohammad; Inal, Osman T.

    2008-01-01

    Pure and molecularly mixed inorganic films for protection against atomic oxygen in lower earth orbit were prepared using radio-frequency (rf) plasma magnetron sputtering technique. Alumina (Al 2 O 3 ) and silica (SiO 2 ) films with average grain size in the range of 30-80 nm and fully dense or dense columnar structure were synthesized under different conditions of pressure and power. Simultaneous oxide sputtering and plasma polymerization (PP) of hexafluoropropylene (HFP) led to the formation of molecularly mixed films with fluoropolymer content. The degree of plasma polymerization was strongly influenced by total chamber pressure and the argon to HFP molar ratio (n Ar /n M ). An order of magnitude increase in pressure due to argon during codeposition changed the plasma-polymerization mechanism from radical-chain- to radical-radical-type processes. Subsequently, a shift from linear CH 2 group based chain polymerization to highly disordered fluoropolymer content with branching and cross-linking was observed. Fourier transform infrared spectroscopy studies revealed chemical interaction between depositing SiO 2 and PP-HFP through appearance of absorption bands characteristic of Si-F stretching and expansion of SiO 2 network. The relative amount and composition of plasma-polymerized fluoropolymer in such films can be controlled by changing argon to HFP flow ratio, total chamber pressure, and applied power. These films offer great potential for use as protective coatings in aerospace applications

  2. PENGUJIAN AKTIVITAS KOMPOSIT Fe2O3-SiO2 SEBAGAI FOTOKATALIS PADA FOTODEGRADASI 4-KLOROFENOL (The Activity Test of Fe2O3-SiO2 Composite As Photocatalyst on 4-Chlorophenol Photodegradation

    Directory of Open Access Journals (Sweden)

    Eko Sri Kunarti

    2009-03-01

    Full Text Available ABSTRAK  Pada penelitian ini telah dilakukan pengujian aktivitas komposit Fe2O3-SiO2 sebagai fotokatalis pada fotodegradasi 4-klorofenol. Penelitian diawali dengan preparasi dan karakterisasi fotokatalis Fe2O3-SiO2. Preparasi dilakukan dengan metode sol-gel pada temperatur kamar menggunakan tetraetil ortosilikat (TEOS dan besi (III nitrat sebagai prekursor diikuti dengan perlakuan termal pada temperature 500 oC. Karakterisasi dilakukan dengan metode spektrometri inframerah, difraksi sinar-X dan spektrometri fluoresensi sinar-X. Uji aktivitas komposit untuk fotodegradasi 4-klorofenol dilakukan dalam reaktor tertutup yang dilengkapi dengan lampu UV. Pada uji ini telah dipelajari pengaruh waktu penyinaran dan pH larutan terhadap efektivitas fotodegradasi 4-klorofenol. Hasil penelitian menunjukkan bahwa komposit Fe2O3-SiO2 dapat dipreparasi dengan metode sol-gel pada temperatur kamar diikuti perlakuan termal. Komposit Fe2O3-SiO2 dapat meningkatkan efektivitas fotodegradasi 4-klorofenol dari 11,86 % menjadi 55,38 %. Efektivitas fotodegradasi 4- klorofenol dipengaruhi waktu penyinaran dan pH larutan yang semakin lama waktu penyinaran efektifitas fotodegradasi semakin tinggi, namun waktu penyinaran yang lebih lama dari 4 jam dapat menurunkan efektivitasnya. pH larutan memberikan pengaruh yang berbeda-beda pada efektivitas fotodegradasi 4-klorofenol.   ABSTRACT The activity test of Fe2O3-SiO2 composite as photocatalyst on 4-chlorophenol photodegradation has been studied. The research was initiated by preparation of Fe2O3-SiO2 photocatalyst and followed by characterization. The preparation was conducted by sol-gel method at room temperature using tetraethylorthosilicate (TEOS and iron (III nitrate as precursors followed by thermal treatment at a temperature of 500oC. The characterizations were performed by X-ray Diffraction (XRD, Infrared and X-ray Fluorescence Spectrophotometry. The photocatalytic activity test of composites for 4 chlorophenol

  3. Versatile sputtering technology for Al2O3 gate insulators on graphene

    Directory of Open Access Journals (Sweden)

    Miriam Friedemann, Mirosław Woszczyna, André Müller, Stefan Wundrack, Thorsten Dziomba, Thomas Weimann and Franz J Ahlers

    2012-01-01

    Full Text Available We report a novel, sputtering-based fabrication method of Al2O3 gate insulators on graphene. Electrical performance of dual-gated mono- and bilayer exfoliated graphene devices is presented. Sputtered Al2O3 layers possess comparable quality to oxides obtained by atomic layer deposition with respect to a high relative dielectric constant of about 8, as well as low-hysteresis performance and high breakdown voltage. We observe a moderate carrier mobility of about 1000 cm2 V− 1 s−1 in monolayer graphene and 350 cm2 V− 1 s−1 in bilayer graphene, respectively. The mobility decrease can be attributed to the resonant scattering on atomic-scale defects, likely originating from the Al precursor layer evaporated prior to sputtering.

  4. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    Science.gov (United States)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  5. Synthesis And Characterization Of SiO2, SnO2 And TiO2 Metal Oxide Shells Covering Cu2O Particles

    Directory of Open Access Journals (Sweden)

    Yu Ri

    2015-06-01

    Full Text Available In this work is described a means of improving the chemical stability of Cu2O@SiO2, Cu2O@SnO2 and Cu2O@TiO2 materials. The SiO2, SnO2 and TiO2 coated samples were stable from pH 3 to pH 10 for up to seven days. To determine the stability of the coated nanoparticles, and their colloidal solutions under acidic and basic conditions, colloidal nanoparticle solutions with various pH values were prepared and monitored over time. Details of the effect of variations in pH on the phase stability of core-shell type Cu2O were characterized using transmission electron microscopy and X-ray diffraction.

  6. Reliability Characterization of Digital Microcircuits - Investigation of an In-Process Oxide Reliability Screening Method

    Science.gov (United States)

    1993-04-01

    CLASSIFICATION 18. SECURITY CLASSIFICATION 19. SECURIlY CLASSIFICATION 20. UMITATION OF ABSTRACT OF REPORT OF THIS PAGE OF ABSTRACT UNCLASSIFIED UNCLASSIFIED...with the silicon underneath, growing a thin nitride layer. This layer of Si 3 N 4 , if not completely removed, will retard oxidation in the area...C. Shatas, K. C. Saraswat and J. D. Meindl, "Interfacial and Breakdown Characteristics of MOS Devices with Rapidly Grown Ultrathin SiO Gate

  7. Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, Christopher J.; Neumann, Christopher M.; Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2015-07-28

    Fully depleted silicon-on-insulator transistors were fabricated using two different metal gate deposition mechanisms to compare plasma damage effects on gate oxide quality. Devices fabricated with both plasma-enhanced atomic-layer-deposited (PE-ALD) TiN gates and magnetron plasma sputtered TiN gates showed very good electrostatics and short-channel characteristics. However, the gate oxide quality was markedly better for PE-ALD TiN. A significant reduction in interface state density was inferred from capacitance-voltage measurements as well as a 1200× reduction in gate leakage current. A high-power magnetron plasma source produces a much higher energetic ion and vacuum ultra-violet (VUV) photon flux to the wafer compared to a low-power inductively coupled PE-ALD source. The ion and VUV photons produce defect states in the bulk of the gate oxide as well as at the oxide-silicon interface, causing higher leakage and potential reliability degradation.

  8. Screen-Printed Photochromic Textiles through New Inks Based on SiO2@naphthopyran Nanoparticles.

    Science.gov (United States)

    Pinto, Tânia V; Costa, Paula; Sousa, Céu M; Sousa, Carlos A D; Pereira, Clara; Silva, Carla J S M; Pereira, Manuel Fernando R; Coelho, Paulo J; Freire, Cristina

    2016-10-26

    Photochromic silica nanoparticles (SiO 2 @NPT), fabricated through the covalent immobilization of silylated naphthopyrans (NPTs) based on 2H-naphtho[1,2-b]pyran (S1, S2) and 3H-naphtho[2,1-b]pyran (S3, S4) or through the direct adsorption of the parent naphthopyrans (1, 3) onto silica nanoparticles (SiO 2 NPs), were successfully incorporated onto cotton fabrics by a screen-printing process. Two aqueous acrylic- (AC-) and polyurethane- (PU-) based inks were used as dispersing media. All textiles exhibited reversible photochromism under UV and solar irradiation, developing fast responses and intense coloration. The fabrics coated with SiO 2 @S1 and SiO 2 @S2 showed rapid color changes and high contrasts (ΔE* ab = 39-52), despite presenting slower bleaching kinetics (2-3 h to fade to the original color), whereas the textiles coated with SiO 2 @S3 and SiO 2 @S4 exhibited excellent engagement between coloration and decoloration rates (coloration and fading times of 1 and 2 min, respectively; ΔE* ab = 27-53). The PU-based fabrics showed excellent results during the washing fastness tests, whereas the AC-based textiles evidenced good results only when a protective transfer film was applied over the printed design.

  9. New intelligent multifunctional SiO2/VO2 composite films with enhanced infrared light regulation performance, solar modulation capability, and superhydrophobicity.

    Science.gov (United States)

    Wang, Chao; Zhao, Li; Liang, Zihui; Dong, Binghai; Wan, Li; Wang, Shimin

    2017-01-01

    Highly transparent, energy-saving, and superhydrophobic nanostructured SiO 2 /VO 2 composite films have been fabricated using a sol-gel method. These composite films are composed of an underlying infrared (IR)-regulating VO 2 layer and a top protective layer that consists of SiO 2 nanoparticles. Experimental results showed that the composite structure could enhance the IR light regulation performance, solar modulation capability, and hydrophobicity of the pristine VO 2 layer. The transmittance of the composite films in visible region ( T lum ) was higher than 60%, which was sufficient to meet the requirements of glass lighting. Compared with pristine VO 2 films and tungsten-doped VO 2 film, the near IR control capability of the composite films was enhanced by 13.9% and 22.1%, respectively, whereas their solar modulation capability was enhanced by 10.9% and 22.9%, respectively. The water contact angles of the SiO 2 /VO 2 composite films were over 150°, indicating superhydrophobicity. The transparent superhydrophobic surface exhibited a high stability toward illumination as all the films retained their initial superhydrophobicity even after exposure to 365 nm light with an intensity of 160 mW . cm -2 for 10 h. In addition, the films possessed anti-oxidation and anti-acid properties. These characteristics are highly advantageous for intelligent windows or solar cell applications, given that they can provide surfaces with anti-fogging, rainproofing, and self-cleaning effects. Our technique offers a simple and low-cost solution to the development of stable and visible light transparent superhydrophobic surfaces for industrial applications.

  10. GaN-Based High-k Praseodymium Oxide Gate MISFETs with P2S5/(NH42SX + UV Interface Treatment Technology

    Directory of Open Access Journals (Sweden)

    Chao-Wei Lin

    2012-01-01

    Full Text Available This study examines the praseodymium-oxide- (Pr2O3- passivated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs with high dielectric constant in which the AlGaN Schottky layers are treated with P2S5/(NH42SX + ultraviolet (UV illumination. An electron-beam evaporated Pr2O3 insulator is used instead of traditional plasma-assisted chemical vapor deposition (PECVD, in order to prevent plasma-induced damage to the AlGaN. In this work, the HEMTs are pretreated with P2S5/(NH42SX solution and UV illumination before the gate insulator (Pr2O3 is deposited. Since stable sulfur that is bound to the Ga species can be obtained easily and surface oxygen atoms are reduced by the P2S5/(NH42SX pretreatment, the lowest leakage current is observed in MIS-HEMT. Additionally, a low flicker noise and a low surface roughness (0.38 nm are also obtained using this novel process, which demonstrates its ability to reduce the surface states. Low gate leakage current Pr2O3 and high-k AlGaN/GaN MIS-HEMTs, with P2S5/(NH42SX + UV illumination treatment, are suited to low-noise applications, because of the electron-beam-evaporated insulator and the new chemical pretreatment.

  11. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  12. Development of an oxidation resistant glass-ceramic composite coating on Ti-47Al-2Cr-2Nb alloy

    Science.gov (United States)

    Li, Wenbo; Zhu, Shenglong; Chen, Minghui; Wang, Cheng; Wang, Fuhui

    2014-02-01

    Three glass-ceramic composite coatings were prepared on Ti-47Al-2Cr-2Nb alloy by air spraying technique and subsequent firing. The aim of this work is to study the reactions between glass matrix and inclusions and their effects on the oxidation resistance of the glass-ceramic composite coating. The powders of alumina, quartz, or both were added into the aqueous solution of potassium silicate (ASPS) to form slurries used as the starting materials for the composite coatings. The coating formed from an ASPS-alumina slurry was porous, because the reaction between alumina and potassium silicate glass resulted in the formation of leucite (KAlSi2O6), consuming substantive glass phase and hindering the densification of the composite coating. Cracks were observed in the coating prepared from an ASPS-quartz slurry due to the larger volume shrinkage of the coating than that of the alloy. In contrast, an intact and dense SiO2-Al2O3-glass coating was successfully prepared from an ASPS-alumina-silica slurry. The oxidation behavior of the SiO2-Al2O3-glass composite coating on Ti-47Al-2Cr-2Nb alloy was studied at 900 °C. The SiO2-Al2O3-glass composite coating acted as an oxygen diffusion barrier, and prevented the inward diffusion of the oxygen from the air to the coating/alloy interface, therefore, decreasing the oxidation rate of the Ti-47Al-2Cr-2Nb alloy significantly.

  13. SIMULTANEOUS OBSERVATIONS OF SiO AND H{sub 2}O MASERS TOWARD KNOWN STELLAR H{sub 2}O MASER SOURCES

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jaeheon [Yonsei University Observatory, Seongsan-ro 262, Seodaemun, Seoul 120-749 (Korea, Republic of); Cho, Se-Hyung [Korean VLBI Network Yonsei Radio Astronomy Observatory, Yonsei University, Seongsan-ro 262, Seodaemun, Seoul 120-749 (Korea, Republic of); Kim, Sang Joon, E-mail: jhkim@kasi.re.kr, E-mail: cho@kasi.re.kr, E-mail: sjkim1@khu.ac.kr [Department of Astronomy and Space Science, Kyung Hee University, Seocheon-Dong, Giheung-Gu, Yongin, Gyeonggi-Do 446-701 (Korea, Republic of)

    2013-01-01

    We present the results of simultaneous observations of SiO v = 1, 2, {sup 29}SiO v = 0, J = 1-0, and H{sub 2}O 6{sub 16}-5{sub 23} maser lines toward 152 known stellar H{sub 2}O maser sources using the Yonsei 21 m radio telescope of the Korean VLBI Network from 2009 June to 2011 January. Both SiO and H{sub 2}O masers were detected from 62 sources with a detection rate of 40.8%. The SiO-only maser emission without H{sub 2}O maser detection was detected from 27 sources, while the H{sub 2}O-only maser without SiO maser detection was detected from 22 sources. Therefore, the overall SiO maser emission was detected from 89 sources, resulting in a detection rate of 58.6%. We have identified 70 new detections of the SiO maser emission. For both H{sub 2}O and SiO maser detected sources, the peak and integrated antenna temperatures of SiO masers are stronger than those of H{sub 2}O masers in both Mira variables and OH/IR stars and the relative intensity ratios of H{sub 2}O to SiO masers in OH/IR stars are larger than those in Mira variables. In addition, distributions of 152 observed sources were investigated in the IRAS two-color diagram.

  14. Microwave electromagnetic and absorption properties of SiO2/C core/shell composites plated with metal cobalt

    Science.gov (United States)

    Shen, Guozhu; Fang, Xumin; Wu, Hongyan; Wei, Hongyu; Li, Jingfa; Li, Kaipeng; Mei, Buqing; Xu, Yewen

    2017-04-01

    A facile method has been developed to fabricate magnetic core/shell SiO2/C/Co sub-microspheres via the pyrolysis of SiO2/PANI (polyaniline) and electroless plating method. The electromagnetic parameters of these SiO2/C and SiO2/C/Co composites were measured and the microwave reflection loss properties were evaluated in the frequency range of 2-18 GHz. The results show that the dielectric loss of SiO2/C composite increases with the increase of carbonization temperature and the magnetic loss enhances due to the deposition of cobalt on the SiO2/C sub-microspheres. The reflection loss results exhibit that the microwave absorption properties of the SiO2/C/Co composites are more excellent than those of SiO2/C composites for each thickness. The maximum effective absorption bandwidth (reflection loss ≤ -10 dB) arrives at 5.0 GHz (13.0-18 GHz) for SiO2/C/Co composite with 1.5 mm of thickness and the minimum reflection loss value is -24.0 dB at 5.0 GHz with 4.0 mm of thickness. The microwave loss mechanism of the SiO2/C/Co composites was also discussed in this paper.

  15. Enhanced antioxidation and microwave absorbing properties of SiO2-coated flaky carbonyl iron particles

    Science.gov (United States)

    Zhou, Yingying; Xie, Hui; Zhou, Wancheng; Ren, Zhaowen

    2018-01-01

    SiO2 was successfully coated on the surface of flaky carbonyl iron particles using a chemical bath deposition method in the presence of 3-aminopropyl triethoxysilane (APTES). The morphologies, composition, valence states of elements, as well as antioxidation and electromagnetic properties of the samples were characterized by scanning electron microscope (SEM), energy dispersive spectrometer (EDS), X-ray photoelectron spectroscopy (XPS), thermogravimetric (TG) and microwave network analyzer. TG curve shows the obvious weight gain of carbonyl iron was deferred to 360 °C after SiO2-coated, which can be ascribed to the exits of SiO2 overlayer. Compared with the raw carbonyl iron, SiO2-coated sample shows good wave absorption performance due to its impedance matching. The electromagnetic properties of raw and SiO2-coated carbonyl iron particles were characterized in X band before and after heat treatment at 250 °C for 10 h. It was established that SiO2-coated carbonyl iron demonstrate good thermal stability, indicating SiO2-coating is useful in the usage of microwave absorbers operating at temperature up to 250 °C.

  16. Development of nano SiO2 incorporated nano zinc phosphate coatings on mild steel

    International Nuclear Information System (INIS)

    Tamilselvi, M.; Kamaraj, P.; Arthanareeswari, M.; Devikala, S.; Selvi, J. Arockia

    2015-01-01

    Highlights: • Nano SiO 2 incorporated nano zinc phosphate coating on mild steel was developed. • Coatings showed enhanced corrosion resistance. • The nano SiO 2 is adsorbed on mild steel surface and become nucleation sites. • The nano SiO 2 accelerates the phosphating process. - Abstract: This paper reports the development of nano SiO 2 incorporated nano zinc phosphate coatings on mild steel at low temperature for achieving better corrosion protection. A new formulation of phosphating bath at low temperature with nano SiO 2 was attempted to explore the possibilities of development of nano zinc phosphate coatings on mild steel with improved corrosion resistance. The coatings developed were studied by Scanning Electron Microscopy (SEM), Energy-Dispersive X-ray Spectroscopy (EDX), X-ray Diffraction (XRD), Transmission Electron Microscopy (TEM) and Electrochemical measurements. Significant variation in the coating weight, morphology and corrosion resistance was observed as nano SiO 2 concentrations varied from 0.5–4 g/L. The results showed that, the nano SiO 2 in the phosphating solution changed the initial potential of the interface between mild steel substrate and phosphating solution and reduce the activation energy of the phosphating process, increase the nucleation sites and yielded zinc phosphate coatings of higher coating weight, greater surface coverage and enhanced corrosion resistance. Better corrosion resistance was observed for coatings derived from phosphating bath containing 1.5 g/L nano SiO 2 . The new formulation reported in the present study was free from Ni or Mn salts and had very low concentration of sodium nitrite (0.4 g/L) as accelerator

  17. Interface trapping in (2 ¯ 01 ) β-Ga2O3 MOS capacitors with deposited dielectrics

    Science.gov (United States)

    Jayawardena, Asanka; Ramamurthy, Rahul P.; Ahyi, Ayayi C.; Morisette, Dallas; Dhar, Sarit

    2018-05-01

    The electrical properties of interfaces and the impact of post-deposition annealing have been investigated in gate oxides formed by low pressure chemical vapor deposition (LPCVD SiO2) and atomic layer deposition (Al2O3) on ( 2 ¯ 01 ) oriented n-type β-Ga2O3 single crystals. Capacitance-voltage based methods have been used to extract the interface state densities, including densities of slow `border' traps at the dielectric-Ga2O3 interfaces. It was observed that SiO2-β-Ga2O3 has a higher interface and border trap density than the Al2O3-β-Ga2O3. An increase in shallow interface states was also observed at the Al2O3-β-Ga2O3 interface after post-deposition annealing at higher temperature suggesting the high temperature annealing to be detrimental for Al2O3-Ga2O3 interfaces. Among the different dielectrics studied, LPCVD SiO2 was found to have the lowest dielectric leakage and the highest breakdown field, consistent with a higher conduction band-offset. These results are important for the processing of high performance β-Ga2O3 MOS devices as these factors will critically impact channel transport, threshold voltage stability, and device reliability.

  18. Facile synthesis of microporous SiO2/triangular Ag composite nanostructures for photocatalysis

    Science.gov (United States)

    Sirohi, Sidhharth; Singh, Anandpreet; Dagar, Chakit; Saini, Gajender; Pani, Balaram; Nain, Ratyakshi

    2017-11-01

    In this article, we present a novel fabrication of microporous SiO2/triangular Ag nanoparticles for dye (methylene blue) adsorption and plasmon-mediated degradation. Microporous SiO2 nanoparticles with pore size aminopropyl) trimethoxysilane) to introduce amine groups. Amine-functionalized microporous silica was used for adsorption of triangular silver (Ag) nanoparticles. The synthesized microporous SiO2 nanostructures were investigated for adsorption of different dyes including methylene blue, congo red, direct green 26 and curcumin crystalline. Amine-functionalized microporous SiO2/triangular Ag nanostructures were used for plasmon-mediated photocatalysis of methylene blue. The experimental results revealed that the large surface area of microporous silica facilitated adsorption of dye. Triangular Ag nanoparticles, due to their better charge carrier generation and enhanced surface plasmon resonance, further enhanced the photocatalysis performance.

  19. SiO2, TiO2 and Al2O3 colloid characterization and their cesium and iodine sorption part

    International Nuclear Information System (INIS)

    Hakem, N.

    1995-03-01

    Recent studies have shown the important role played by colloids in the transport behaviour of radionuclides. In the present study, we have investigated the sorption, at tracer level, to two radionuclides 131 I and 137 Cs on some mineral oxide colloids (100 to 500 nm in size) chosen as ''models'' owing to their very distinct isoelectric points; SiO 2 , TiO 2 and A1 2 O 3 (i.e.p. = 2, 6,25, 9,5 respectively). These colloids have first been characterized, under various pH and ionic strength conditions, by potentiometric and electro kinetic measurements. We have then measured the sorption ratios R, resulting from the partition, at equilibrium, of each radionuclide between the colloidal and aqueous phases in the contact. In a second step, we have attempted to describe the sorption mechanisms of iodine and cesium on the three oxide colloids under investigation, using following models: ion-exchange reaction, physical adsorption, Langmuir and Freundlich isotherms and surface complexation theories. (author). 53 refs., 13 tabs., 43 figs., 4 appendixes

  20. Composition and crystallization kinetics of R2O-Al2O3-SiO2 glass-ceramics

    International Nuclear Information System (INIS)

    Xiong, Dehua; Cheng, Jinshu; Li, Hong

    2010-01-01

    The crystallization behavior and microstructure of R 2 O-Al 2 O 3 -SiO 2 (R means K, Na and Li) glass were investigated by means of differential scanning calorimeter (DSC), X-ray diffraction (XRD) and scanning electron microscopy (SEM). The crystallization kinetic parameters including the crystallization apparent activation energy (E a ), the Avrami parameter (n), glass transition temperature (T g ) and the activity energy of glass transition (E t ) were also measured with different methods. The results have shown that: the DSC traces of composition A parent glass have two different precipitation crystallization peaks corresponding to E a1 (A) = 151.4 kJ/mol (Li 2 SiO 3 ) and E a2 (A) = 623.1 kJ/mol (Li 2 Si 2 O 5 ), the average value of n = 1.70 (Li 2 Si 2 O 5 ) for the surface crystallization and E t (A) = 202.8 kJ/mol. And E a (B) = 50.7 kJ/mol (Li 2 SiO 3 ), the average value of n = 3.89 (Li 2 SiO 3 ) for the bulk crystallization and E t (B) = 220.4 kJ/mol for the composition B parent glass. Because of the content of R 2 O is bigger than composition A, composition B parent glass has a lower E a , T g and a larger n, E t .

  1. Excellent Brightness with Shortening Lifetime of Textured Zn2SiO4:Mn2+ Phosphor Films on Quartz Glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Kim, Seongsin Margaret; Kung, Patrick

    2010-04-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor films were fabricated by the thermal diffusion of ZnO:Mn on quartz glass. The Zn2SiO4:Mn2+ phosphor films became textured along several hexagonal directions and their chemical composition was continuously graded at the interface. The decay time of Mn2+ was as short as 4.4 ms, and the optical transition probability of the films defined as the inverse of decay time showed a strong correlation with film texture degree as a function of annealing temperature. The brightest Zn2SiO4:Mn2+ film showed a photoluminescent brightness as high as 65% compared with a commercial Zn2SiO4:Mn2+ phosphor powder screen and a maximum absolute transparency of 70%. These excellent optical properties are explained by the combination of the unique textured structure and continuous grading of the Zn2SiO4:Mn2+ chemical composition at the interface.

  2. Potentiodynamical deposition of nanostructured MnO2 film at the assist of electrodeposited SiO2 as template

    International Nuclear Information System (INIS)

    Wu, Lian-Kui; Xia, Jie; Hou, Guang-Ya; Cao, Hua-Zhen; Tang, Yi-Ping; Zheng, Guo-Qu

    2016-01-01

    Highlights: • MnO 2 -SiO 2 composite film is prepared by potentiodynamical deposition. • Hierarchical porous MnO 2 films is obtained after the etching of SiO 2 . • The obtained MnO 2 film electrode exhibit high specific capacitance. - Abstract: We report a novel silica co-electrodeposition route to prepare nanostructured MnO 2 films. Firstly, MnO 2 -SiO 2 composite film was fabricated on a stainless steel substrate by potentiodynamical deposition, i.e. cyclic deposition, and then the SiO 2 template was removed by simple immersion in concentrated alkaline solution, leading to the formation of a porous MnO 2 (po-MnO 2 ) matrix. The structure and morphology of the obtained films were characterized using Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The electrochemical properties of the po-MnO 2 film were evaluated by cyclic voltammetry (CV), galvanostatic charge–discharge (GCD) and electrochemical impedance spectroscopy (EIS). Results showed that this porous MnO 2 derived from the MnO 2 -SiO 2 composite film exhibits good electrochemical performance for potential use as a supercapacitor material.

  3. Atomic state and characterization of nitrogen at the SiC/SiO2 interface

    International Nuclear Information System (INIS)

    Xu, Y.; Garfunkel, E. L.; Zhu, X.; Lee, H. D.; Xu, C.; Shubeita, S. M.; Gustafsson, T.; Ahyi, A. C.; Sharma, Y.; Williams, J. R.; Lu, W.; Ceesay, S.; Tuttle, B. R.; Pantelides, S. T.; Wan, A.; Feldman, L. C.

    2014-01-01

    We report on the concentration, chemical bonding, and etching behavior of N at the SiC(0001)/SiO 2 interface using photoemission, ion scattering, and computational modeling. For standard NO processing of a SiC MOSFET, a sub-monolayer of nitrogen is found in a thin inter-layer between the substrate and the gate oxide (SiO 2 ). Photoemission shows one main nitrogen related core-level peak with two broad, higher energy satellites. Comparison to theory indicates that the main peak is assigned to nitrogen bound with three silicon neighbors, with second nearest neighbors including carbon, nitrogen, and oxygen atoms. Surprisingly, N remains at the surface after the oxide was completely etched by a buffered HF solution. This is in striking contrast to the behavior of Si(100) undergoing the same etching process. We conclude that N is bound directly to the substrate SiC, or incorporated within the first layers of SiC, as opposed to bonding within the oxide network. These observations provide insights into the chemistry and function of N as an interface passivating additive in SiC MOSFETs

  4. Synthesis of metallic nanoparticles in SiO2 matrices

    International Nuclear Information System (INIS)

    Gutierrez W, C.; Mondragon G, G.; Perez H, R.; Mendoza A, D.

    2004-01-01

    Metallic nanoparticles was synthesized in SiO 2 matrices by means of a process of two stages. The first one proceeded via sol-gel, incorporating the metallic precursors to the reaction system before the solidification of the matrix. Later on, the samples underwent a thermal treatment in atmosphere of H 2 , carrying out the reduction of the metals that finally formed to the nanoparticles. Then it was detected the presence of smaller nanoparticles than 20 nm, dispersed and with the property of being liberated easily of the matrix, conserving a free surface, chemically reactive and with response to external electromagnetic radiation. The system SiO 2 -Pd showed an important thermoluminescent response. (Author)

  5. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  6. Structure and crystallization of SiO2 and B2O3 doped lithium disilicate glasses from theory and experiment.

    Science.gov (United States)

    Erlebach, Andreas; Thieme, Katrin; Sierka, Marek; Rüssel, Christian

    2017-09-27

    Solid solutions of SiO 2 and B 2 O 3 in Li 22SiO 2 are synthesized and characterized for the first time. Their structure and crystallization mechanisms are investigated employing a combination of simulations at the density functional theory level and experiments on the crystallization of SiO 2 and B 2 O 3 doped lithium disilicate glasses. The remarkable agreement of calculated and experimentally determined cell parameters reveals the preferential, kinetically controlled incorporation of [SiO 4 ] and [BO 4 ] at the Li + lattice sites of the Li 22SiO 2 crystal structure. While the addition of SiO 2 increases the glass viscosity resulting in lower crystal growth velocities, glasses containing B 2 O 3 show a reduction of both viscosities and crystal growth velocities. These observations could be rationalized by a change of the chemical composition of the glass matrix surrounding the precipitated crystal phase during the course of crystallization, which leads to a deceleration of the attachment of building units required for further crystal growth at the liquid-crystal interface.

  7. Molybdenum oxide supported on silica (MoO3/SiO2): an efficient and reusable catalyst for the synthesis of 1,8-dioxodecahydroacridines under solvent-free conditions

    International Nuclear Information System (INIS)

    Khojastehnezhad, A.; Vafaei, M.; Moeinpour, F.

    2014-01-01

    Silica supported molybdenum oxide (MoO 3 /SiO 2 ) was found to be and efficient, eco-friendly and heterogeneous catalyst for the multicomponent reaction of aromatic aldehydes, dimedone and ammonium acetate or aromatic amines under solvent-free conditions to afford the corresponding 1,8-dioxodecahydroacridines in high yields. The catalyst can be easily recovered and reused for several times without considerable loss of activity. Furthermore, the present method offers several advantages, such as an easy experimental and work-up procedures, short reaction times and good to excellent yields. For the characterization were used: Fourier transform infrared spectroscopy (Ft-IR), X-ray diffraction and scanning electron microscopy analyses. (Author)

  8. Beyond sixfold coordinated Si in SiO2 glass at ultrahigh pressures.

    Science.gov (United States)

    Prescher, Clemens; Prakapenka, Vitali B; Stefanski, Johannes; Jahn, Sandro; Skinner, Lawrie B; Wang, Yanbin

    2017-09-19

    We investigated the structure of SiO 2 glass up to 172 GPa using high-energy X-ray diffraction. The combination of a multichannel collimator with diamond anvil cells enabled the measurement of structural changes in silica glass with total X-ray diffraction to previously unachievable pressures. We show that SiO 2 first undergoes a change in Si-O coordination number from fourfold to sixfold between 15 and 50 GPa, in agreement with previous investigations. Above 50 GPa, the estimated coordination number continuously increases from 6 to 6.8 at 172 GPa. Si-O bond length shows first an increase due to the fourfold to sixfold coordination change and then a smaller linear decrease up to 172 GPa. We reconcile the changes in relation to the oxygen-packing fraction, showing that oxygen packing decreases at ultrahigh pressures to accommodate the higher than sixfold Si-O coordination. These results give experimental insight into the structural changes of silicate glasses as analogue materials for silicate melts at ultrahigh pressures.

  9. Cotton fabric finishing with TiO2/SiO2 composite hydrosol based on ionic cross-linking method

    International Nuclear Information System (INIS)

    Xu, Z.J.; Tian, Y.L.; Liu, H.L.; Du, Z.Q.

    2015-01-01

    Highlights: • We studied the cotton finishing with TiO 2 /SiO 2 based on ionic cross-linking method. • The samples treated with CHTAC had lower value of whiteness. • The samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. • The ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) was better than with TiO 2 /SiO 2 sol alone. - Abstract: Cotton fabric was successfully modified by 3-chloro-2-hydroxypropyl trimethyl ammonium chloride (CHTAC), 1,2,3,4-butanetetracarboxylic acid (BTCA) and TiO 2 /SiO 2 sol. Self-cleaning characteristic was investigated using a Color Measuring and Matching System with 6 h sunlight irradiation. And the stability of TiO 2 /SiO 2 coatings was explored by measuring the washing fastness and wrinkle resistance of treated cotton samples. In addition, whiteness index, crease recovery angle and tensile strength retention (%) of treated samples were evaluated. Moreover, the morphology, structure change and crystallinity of samples were observed by scanning electron microscopy (SEM), Fourier transform infrared spectroscopy (FTIR) and X-ray diffraction (XRD), respectively. The results revealed that the samples treated with CHTAC had lower value of whiteness index as compared with original cotton fabric. It was also found that samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. Moreover, the treatment of CHTAC and BTCA had adverse effect on the crystallinity of cotton samples, as treated samples had lower crystallinity in comparison with raw cotton fabrics. Nevertheless, the stability of self-cleaning coatings was better for samples treated with ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) than samples treated with TiO 2 /SiO 2 sol alone. Furthermore, compared with original samples the UV-blocking property of ionic cross-linking treated samples was obviously enhanced

  10. Nano-structure formation of Fe-Pt perpendicular magnetic recording media co-deposited with MgO, Al2O3 and SiO2 additives

    International Nuclear Information System (INIS)

    Safran, G.; Suzuki, T.; Ouchi, K.; Barna, P.B.; Radnoczi, G.

    2006-01-01

    Perpendicular magnetic recording media samples were prepared by sputter deposition on sapphire with a layer sequence of MgO seed-layer/Cr under-layer/FeSi soft magnetic under-layer/MgO intermediate layer/FePt-oxide recording layer. The effects of MgO, Al 2 O 3 and SiO 2 additives on the morphology and orientation of the FePt layer were investigated by transmission electron microscopy. The samples exhibited (001) orientation of the L1 FePt phase with the mutual orientations of sapphire substrate//MgO(100)[001]//Cr(100)[11-bar0]//FeSi(100)[11-bar0]//MgO(100) [001]//FePt(001)[100]. The morphology of the FePt films varied due to the co-deposited oxides: The FePt layers were continuous and segmented by stacking faults aligned at 54 o to the surface. Films with SiO 2 addition, beside the oriented columnar FePt grains, exhibited a fraction of misoriented crystallites due to random repeated nucleation. Al 2 O 3 addition resulted in a layered structure, i.e. an initial continuous epitaxial FePt layer covered by a secondary layer of FePt-Al 2 O 3 composite. Both components (FePt and MgO) of the MgO-added samples were grown epitaxially on the MgO intermediate layer, so that a nano-composite of intercalated (001) FePt and (001) MgO was formed. The revealed microstructures and formation mechanisms may facilitate the improvement of the structural and magnetic properties of the FePt-oxide composite perpendicular magnetic recording media

  11. Toluene and chlorobenzene dinitration over solid H3PO4/MoO3/SiO2 catalyst

    International Nuclear Information System (INIS)

    Adamiak, Joanna; Kalinowska-Alichnewicz, Dorota; Szadkowski, Michal; Skupinski, Wincenty

    2011-01-01

    Highlights: → A novel catalyst H 3 PO 4 /MoO 3 /SiO 2 was characterized and used in nitration. → On the surface domains of phosphomolybdic acid (HPM) are obtained. → Dinitrotoluene is obtained with very high yield i.e. 96 wt.% in mild conditions. → Dinitrochlorobenzene is obtained with only twelve-fold excess of nitric acid. → It is sulfuric acid free and solvent free nitration of aromatic compounds. - Abstract: A new catalyst, H 3 PO 4 /MoO 3 /SiO 2 , was prepared by modification of MoO 3 /SiO 2 using phosphoric acid. The characterization of the catalyst was performed using Infrared and Raman Spectroscopy, potentiometric titration and nitrogen adsorption-desorption methods. Molybdenum oxides were identified along with phosphomolybdic acid and polymolybdates on the modified surface. The suitability of the catalysts for toluene and chlorobenzene nitration in continuous process was examined. Toluene is effectively nitrated to dinitrotoluene (DNT) in one-stage process (96 wt.% of DNT in the product) and in mild conditions i.e. at room temperature and only with ten-fold excess of nitric acid. In chlorobenzene nitration only twelve-fold excess of nitric acid is needed to obtain as high yield as 95 wt.%. Most importantly, the novel catalysts we have developed, provide the opportunity for sulfuric acid- free nitration of aromatic compounds.

  12. Ca2 Al2 SiO7 :Ce3+ phosphors for mechanoluminescence dosimetry.

    Science.gov (United States)

    Tiwari, Geetanjali; Brahme, Nameeta; Sharma, Ravi; Bisen, D P; Sao, Sanjay Kumar; Sahu, Ishwar Prasad

    2016-12-01

    A series of Ce 3+ ion single-doped Ca 2 Al 2 SiO 7 phosphors was synthesized by a combustion-assisted method at an initiating temperature of 600 °C. The samples were annealed at 1100 °C for 3 h and their X-ray diffraction patterns confirmed a tetragonal structure. The phase structure, particle size, surface morphology and elemental analysis were analyzed using X-ray diffraction (XRD), transmission electron microscope (TEM), scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) spectroscopy techniques. Thermoluminescence (TL) intensity increased with increase in ultraviolet (UV) light exposure time up to 15 min. With further increase in the UV irradiation time the TL intensity decreases. The increase in TL intensity indicates that trap concentration increased with UV exposure time. A broad peak at 121 °C suggested the existence of a trapping level. The peak of mechanoluminescence (ML) intensity versus time curve increased linearly with increasing impact velocity of the moving piston. Mechanoluminescence intensity increased with increase in UV irradiation time up to 15 min. Under UV-irradiation excitation, the TL and ML emission spectra of Ca 2 Al 2 SiO 7 :Ce 3+ phosphor showed the characteristic emission of Ce 3+ peaking at 400 nm (UV-violet) and originating from the Ce 3+ transitions of 5d-4f ( 2 F 5/2 and 2 F 7/2 ). The photoluminescence (PL) emission spectra for Ca 2 Al 2 SiO 7 :Ce 3+ were similar to the ML/TL emission spectra. The mechanism of ML excitation and the suitability of the Ca 2 Al 2 SiO 7 :Ce 3+ phosphor for radiation dosimetry are discussed. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  13. Toluene and chlorobenzene dinitration over solid H3PO4/MoO3/SiO2 catalyst.

    Science.gov (United States)

    Adamiak, Joanna; Kalinowska-Alichnewicz, Dorota; Szadkowski, Michał; Skupiński, Wincenty

    2011-11-15

    A new catalyst, H(3)PO(4)/MoO(3)/SiO(2), was prepared by modification of MoO(3)/SiO(2) using phosphoric acid. The characterization of the catalyst was performed using Infrared and Raman Spectroscopy, potentiometric titration and nitrogen adsorption-desorption methods. Molybdenum oxides were identified along with phosphomolybdic acid and polymolybdates on the modified surface. The suitability of the catalysts for toluene and chlorobenzene nitration in continuous process was examined. Toluene is effectively nitrated to dinitrotoluene (DNT) in one-stage process (96 wt.% of DNT in the product) and in mild conditions i.e. at room temperature and only with ten-fold excess of nitric acid. In chlorobenzene nitration only twelve-fold excess of nitric acid is needed to obtain as high yield as 95 wt.%. Most importantly, the novel catalysts we have developed, provide the opportunity for sulfuric acid- free nitration of aromatic compounds. Copyright © 2011 Elsevier B.V. All rights reserved.

  14. Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics

    KAUST Repository

    Alshammari, Fwzah Hamud; Hota, Mrinal Kanti; Wang, Zhenwei; Aljawhari, Hala; Alshareef, Husam N.

    2017-01-01

    Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93

  15. Raman and optical absorption spectroscopic investigation of Yb-Er codoped phosphate glasses containing SiO2

    Institute of Scientific and Technical Information of China (English)

    Youkuo Chen; Lei Wen; Lili Hu; Wei Chen; Y. Guyot; G. Boulon

    2009-01-01

    Yb-Er codoped Na2O-Al2O3-P2Os-xSiO2 glasses containing 0 鈥? 20 mol% SiO2 were prepared successfully. The addition of SiO2 to the phosphate glass not only lengthens the bond between P5+ and non-bridging oxygen but also reduces the number of P=O bond. In contrast with silicate glass in which there is only four-fold coordinated Si4+, most probably there coexist [SiO4] tetrahedron and [SiO6] octahedron in our glasses. Within the range of 0 鈥? 20 mol% SiO2 addition, the stimulated emission cross-section of Er3+ ion only decreases no more than 10%. The Judd-Ofelt intensity parameters of Er3+, 惟.2 does not change greatly, but 惟74 and 惟6 decrease obviously with increasing SiO2 addition, because the bond between Er + and O2- is more strongly covalently bonded.

  16. SiO2 stabilized Pt/C cathode catalyst for proton exchange membrane fuel cells

    International Nuclear Information System (INIS)

    Zhu Tong; Du Chunyu; Liu Chuntao; Yin Geping; Shi Pengfei

    2011-01-01

    This paper describes the preparation of SiO 2 stabilized Pt/C catalyst (SiO 2 /Pt/C) by the hydrolysis of alkoxysilane, and examines the possibility that the SiO 2 /Pt/C is used as a durable cathode catalyst for proton exchange membrane fuel cells (PEMFCs). TEM and XRD results revealed that the hydrolysis of alkoxysilane did not significantly change the morphology and crystalline structure of Pt particles. The SiO 2 /Pt/C catalyst exhibited higher durability than the Pt/C one, due to the facts that the silica layers covered were beneficial for reducing the Pt aggregation and dissolution as well as increasing the corrosion resistance of supports, although the benefit of silica covering was lower than the case of Pt/CNT catalyst. Also, it was observed that the activity of the SiO 2 /Pt/C catalyst for the oxygen reduction reaction was somewhat reduced compared to the Pt/C one after the silica covering. This reduction was partially due to the low oxygen kinetics as revealed by the rotating-disk-electrode measurement. Silica covering by hydrolysis of only 3-aminopropyl trimethoxysilane is able to achieve a good balance between the durability and activity, leading to SiO 2 /Pt/C as a promising cathode catalyst for PEMFCs.

  17. Characterization of the implantation damage in SiO2 with x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ajioka, T.; Ushio, S.

    1986-01-01

    X-ray photoelectron spectroscopy (XPS) has been applied to characterize the damage introduced into SiO 2 by ion implantation. By measuring the peak width of Si/sub 2p/ from SiO 2 which corresponds to perturbation of the SiO 2 network, good depth profiles of the damage have been obtained for implanted samples and subsequently annealed samples. The results show that the damage distributed more widely than that calculated from energy deposition and that the perturbation of the network is caused not only by radiation damage but also by the existence of impurities in the network. It has been found that the XPS method is effective to understand the atomic structure, and thus, electrical properties of SiO 2

  18. Adsorption of uranyl in SiO2 porous glass

    International Nuclear Information System (INIS)

    Benedetto, F. E.; Prado, M. O.

    2013-01-01

    Vitreous SiO 2 porous matrices can be used in many applications involving the uptake of chemical species on its solid surface. In this work, vitreous silica sponges were prepared from a sodium borosilicate glass manufactured in our laboratory. The product obtained was then separated into phases with subsequent leaching of the soluble phase rich in B and Na. The resulting porous matrices have a specific surface of 35 m2/gr. Adsorption of uranyl ions onto the SiO 2 porous surface was studied to evaluate the use of this material as a filter for treatment of uranium containing water. The effects of contact time, adsorbent mass and equilibrium concentration of solution were studied. The porous adsorbent exhibits a pseudo-second-order kinetic behavior. The sponges with adsorbed uranium were thermally sealed as a way of U immobilization. Retention of uranium was confirmed during the matrix sealing by TGA. Uranium concentration before and after adsorption tests were made by means of ICP-OES. For uranium concentration of 800 ppm, 72 hours contact time and pH of 3.5, the amount of uranium adsorbed was 21.06 ± 0.02 mg U per gram of vitreous porous SiO 2 . (author)

  19. Plasma Deposited SiO2 for Planar Self-Aligned Gate Metal-Insulator-Semiconductor Field Effect Transistors on Semi-Insulating InP

    Science.gov (United States)

    Tabory, Charles N.; Young, Paul G.; Smith, Edwyn D.; Alterovitz, Samuel A.

    1994-01-01

    Metal-insulator-semiconductor (MIS) field effect transistors were fabricated on InP substrates using a planar self-aligned gate process. A 700-1000 A gate insulator of Si02 doped with phosphorus was deposited by a direct plasma enhanced chemical vapor deposition at 400 mTorr, 275 C, 5 W, and power density of 8.5 MW/sq cm. High frequency capacitance-voltage measurements were taken on MIS capacitors which have been subjected to a 700 C anneal and an interface state density of lxl0(exp 11)/eV/cq cm was found. Current-voltage measurements of the capacitors show a breakdown voltage of 107 V/cm and a insulator resistivity of 10(exp 14) omega cm. Transistors were fabricated on semi-insulating InP using a standard planar self-aligned gate process in which the gate insulator was subjected to an ion implantation activation anneal of 700 C. MIS field effect transistors gave a maximum extrinsic transconductance of 23 mS/mm for a gate length of 3 microns. The drain current drift saturated at 87.5% of the initial current, while reaching to within 1% of the saturated value after only 1x10(exp 3). This is the first reported viable planar InP self-aligned gate transistor process reported to date.

  20. Off-line wafer level reliability control: unique measurement method to monitor the lifetime indicator of gate oxide validated within bipolar/CMOS/DMOS technology

    Science.gov (United States)

    Gagnard, Xavier; Bonnaud, Olivier

    2000-08-01

    We have recently published a paper on a new rapid method for the determination of the lifetime of the gate oxide involved in a Bipolar/CMOS/DMOS technology (BCD). Because this previous method was based on a current measurement with gate voltage as a parameter needing several stress voltages, it was applied only by lot sampling. Thus, we tried to find an indicator in order to monitor the gate oxide lifetime during the wafer level parametric test and involving only one measurement of the device on each wafer test cell. Using the Weibull law and Crook model, combined with our recent model, we have developed a new test method needing only one electrical measurement of MOS capacitor to monitor the quality of the gate oxide. Based also on a current measurement, the parameter is the lifetime indicator of the gate oxide. From the analysis of several wafers, we gave evidence of the possibility to detect a low performance wafer, which corresponds to the infantile failure on the Weibull plot. In order to insert this new method in the BCD parametric program, a parametric flowchart was established. This type of measurement is an important challenges, because the actual measurements, breakdown charge, Qbd, and breakdown electric field, Ebd, at parametric level and Ebd and interface states density, Dit during the process cannot guarantee the gate oxide lifetime all along fabrication process. This indicator measurement is the only one, which predicts the lifetime decrease.

  1. Efficient one-pot sonochemical synthesis of thickness-controlled silica-coated superparamagnetic iron oxide (Fe3O4/SiO2) nanospheres

    Science.gov (United States)

    Abbas, Mohamed; Abdel-Hamed, M. O.; Chen, Jiangang

    2017-12-01

    A facile and eco-friendly efficient sonochemical approach was designed for the synthesis of highly crystalline Fe3O4 and Fe3O4/SiO2 core/shell nanospheres in single reaction. The generated physical properties (shock waves, microjets, and turbulent flows) from ultrasonication as a consequence of the collapse of microbubbles and polyvinylpyrrolidone (PVP) as a chemical linker were found to play a crucial role in the successful formation of the core/shell NPs within short time than the previously reported methods. Transmission electron microscopy revealed that a uniform SiO2 shell is successfully coated over Fe3O4 nanospheres, and the thickness of the silica shell could be easily controlled in the range from 5 to 15 nm by adjusting the reaction parameters. X-ray diffraction data were employed to confirm the formation of highly crystalline and pure phase of a cubic inverse spinel structure for magnetite (Fe3O4) nanospheres. The magnetic properties of the as-synthesized Fe3O4 and Fe3O4/SiO2 core/shell nanospheres were measured at room temperature using vibrating sample magnetometer, and the results demonstrated a high magnetic moment values with superparamagnetic properties.

  2. Effects of four step sintering on Y2O3: SiO2 nanocomposite

    International Nuclear Information System (INIS)

    Ahlawat, Rachna

    2015-01-01

    Need for high performance materials for advanced applications have led to the development of new concepts in materials design processing and their fabrication. The development of nanocrystalline materials with improved and novel properties is an important turning point in materials research. In present work, we report synthesis and structural characterization of Y 2 O 3 : SiO 2 nanocomposite. Sol-gel technique is being used to prepare-Y 2 O 3 SiO 2 nanocomposite due to its effectiveness in preparing samples with good mixing of starting materials and at relatively low reaction temperature. We have used Y(NO 3 ) 3 .4H 2 O and TEOS as precursors and followed usual approach of sol-gel technique, the final product of Y 2 O 3 : SiO 2 nanocomposite is obtained in the form of powder. The powder samples were sintered at different temperature for different time durations in programmable muffle furnace. The samples were characterized by complementary techniques as X-Ray Diffraction (XRD), Fourier Transforms Infrared Spectroscopy (FTIR) and Transmission Electron Microscopy (TEM). (author)

  3. The electrorheological properties of nano-sized SiO2 particle materials doped with rare earths

    International Nuclear Information System (INIS)

    Liu Yang; Liao Fuhui; Li Junran; Zhang Shaohua; Chen Shumei; Wei Chenguan; Gao Song

    2006-01-01

    Electrorheological (ER) materials of pure SiO 2 and SiO 2 doped with rare earths (RE = Ce, Gd, Y) (non-metallic glasses (silicates)) were prepared using Na 2 SiO 3 and RECl 3 as starting materials. The electrorheological properties are not enhanced by all rare earth additions. The material doped with Ce exhibits the best ER performance

  4. A facile approach to fabricate Au nanoparticles loaded SiO2 microspheres for catalytic reduction of 4-nitrophenol

    International Nuclear Information System (INIS)

    Tang, Mingyi; Huang, Guanbo; Li, Xianxian; Pang, Xiaobo; Qiu, Haixia

    2015-01-01

    Hydrophilic and biocompatible macromolecules were used to improve and simplify the process for the fabrication of core/shell SiO 2 @Au composite particles. The influence of polymers on the morphology of SiO 2 @Au particles with different size of SiO 2 cores was analyzed by transmission electron microscopy and scanning electron microscopy. The optical property of the SiO 2 @Au particles was studied with UV–Vis spectroscopy. The results indicate that the structure and composition of macromolecules affect the morphology of Au layers on SiO 2 microspheres. The SiO 2 @Au particles prepared in the presence of polyvinyl alcohol (PVA) or polyvinylpyrrolidone (PVP) have thin and complete Au nanoshells owing to their inducing act in preferential growth of Au nanoparticles along the surface of SiO 2 microspheres. SiO 2 @Au particles can be also prepared from SiO 2 microspheres modified with 3-aminopropyltrimethoxysilane in the presence of PVA or PVP. This offers a simple way to fabricate a Au layer on SiO 2 or other microspheres. The SiO 2 @Au particles demonstrated high catalytic activity in the reduction of 4-nitrophenol. - Highlights: • Facile direct deposition method for Au nanoparticles on silica microspheres. • Influence of different types of macromolecule on the formation of Au shell. • High catalytic performance of Au nanoparticles on silica microspheres

  5. Luminescence characteristics of Mg2SiO4:Nd

    International Nuclear Information System (INIS)

    Indira, P.; Subrahmanyam, R.V.; Murthy, K.V.R.

    2011-01-01

    Thermoluminescence (TL) properties of Magnesium Ortho silicate (2:1) Mg 2 SiO 4 doped with various concentrations of rare earth (Nd) have been studied. The phosphor material were prepared using standard solid state reaction technique and heated specimens at 1100 ± 20 deg C for two hours. 4% Ammonium chloride was used as flux. The received material was grinded in an agate mortar and pestle. The TL exhibited by the Mg 2 SiO 4 with varying concentration of Nd is interesting in nature. It is interesting to note but as the concentration of Nd increases the peak around 125 deg C TL peak intensity increases. But the hump around 200 deg C resolved as TL peak at 253 deg C with high intensity. (author)

  6. A 2D analytical cylindrical gate tunnel FET (CG-TFET) model: impact of shortest tunneling distance

    Science.gov (United States)

    Dash, S.; Mishra, G. P.

    2015-09-01

    A 2D analytical tunnel field-effect transistor (FET) potential model with cylindrical gate (CG-TFET) based on the solution of Laplace’s equation is proposed. The band-to-band tunneling (BTBT) current is derived by the help of lateral electric field and the shortest tunneling distance. However, the analysis is extended to obtain the subthreshold swing (SS) and transfer characteristics of the device. The dependency of drain current, SS and transconductance on gate voltage and shortest tunneling distance is discussed. Also, the effect of scaling the gate oxide thickness and the cylindrical body diameter on the electrical parameters of the device is analyzed.

  7. A 2D analytical cylindrical gate tunnel FET (CG-TFET) model: impact of shortest tunneling distance

    International Nuclear Information System (INIS)

    Dash, S; Mishra, G P

    2015-01-01

    A 2D analytical tunnel field-effect transistor (FET) potential model with cylindrical gate (CG-TFET) based on the solution of Laplace’s equation is proposed. The band-to-band tunneling (BTBT) current is derived by the help of lateral electric field and the shortest tunneling distance. However, the analysis is extended to obtain the subthreshold swing (SS) and transfer characteristics of the device. The dependency of drain current, SS and transconductance on gate voltage and shortest tunneling distance is discussed. Also, the effect of scaling the gate oxide thickness and the cylindrical body diameter on the electrical parameters of the device is analyzed. (paper)

  8. Visible Light Excited Catalysis and Reusability Performances of TiO2@Pr:Y2SiO5 Upconversion Materials

    Directory of Open Access Journals (Sweden)

    Yan Jiao

    2017-01-01

    Full Text Available To get high efficiency photodegradation on pollutants under visible light, Pr(III doped Y2SiO5 upconversion materials and anatase TiO2 nanofilm coated Pr:Y2SiO5 composite have been prepared by using a sol-gel method. XRD and SEM test results indicated that TiO2 nanofilm was well coated on Pr:Y2SiO5 to form TiO2@Pr:Y2SiO5 composite particles with the sizes of 0.5–1.0 μm. To avoid secondary pollution resulting from incomplete recovery of catalyst particles, TiO2@Pr:Y2SiO5 was loaded on the glass fiber filters by using a dip-coating method. It is found that the catalyst particles were embedded into the carrier firmly, even after having been reused for 6 times. The luminescence intensities of TiO2@Pr:Y2SiO5 were getting down sharply with the coating contents of TiO2 increased, which was attributed to the adsorption of the luminescence by the TiO2 film in situ. As a result, TiO2@Pr:Y2SiO5 with 4% TiO2, which presented lowest luminescence intensity, showed the highest efficiency on the photodegradation of nitrobenzene wastewater. The catalysts loaded on glass fiber filters showed excellent reusability on the photodegradation of nitrobenzene and presented a photodegradation rate of 95% at the first time and up to 75.9% even after 6 times of reusing by the treatment time of 12 h.

  9. Lithium ion intercalation in thin crystals of hexagonal TaSe2 gated by a polymer electrolyte

    Science.gov (United States)

    Wu, Yueshen; Lian, Hailong; He, Jiaming; Liu, Jinyu; Wang, Shun; Xing, Hui; Mao, Zhiqiang; Liu, Ying

    2018-01-01

    Ionic liquid gating has been used to modify the properties of layered transition metal dichalcogenides (TMDCs), including two-dimensional (2D) crystals of TMDCs used extensively recently in the device work, which has led to observations of properties not seen in the bulk. The main effect comes from the electrostatic gating due to the strong electric field at the interface. In addition, ionic liquid gating also leads to ion intercalation when the ion size of the gate electrolyte is small compared to the interlayer spacing of TMDCs. However, the microscopic processes of ion intercalation have rarely been explored in layered TMDCs. Here, we employed a technique combining photolithography device fabrication and electrical transport measurements on the thin crystals of hexagonal TaSe2 using multiple channel devices gated by a polymer electrolyte LiClO4/Polyethylene oxide (PEO). The gate voltage and time dependent source-drain resistances of these thin crystals were used to obtain information on the intercalation process, the effect of ion intercalation, and the correlation between the ion occupation of allowed interstitial sites and the device characteristics. We found a gate voltage controlled modulation of the charge density waves and a scattering rate of charge carriers. Our work suggests that ion intercalation can be a useful tool for layered materials engineering and 2D crystal device design.

  10. The effects of irradiation and proton implantation on the density of mobile protons in SiO2 films

    International Nuclear Information System (INIS)

    Vanheusden, K.

    1998-04-01

    Proton implantation into the buried oxide of Si/SiO 2 /Si structures does not introduce mobile protons. The cross section for capture of radiation-induced electrons by mobile protons is two orders of magnitude smaller than for electron capture by trapped holes. The data provide new insights into the atomic mechanisms governing the generation and radiation tolerance of mobile protons in SiO 2 . This can lead to improved techniques for production and radiation hardening of radiation tolerant memory devices

  11. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo

    2018-02-28

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates. Then, bottom-contact graphene field effect transistors (G-FETs) were fabricated on the NP SiO2/Si substrate to measure the transfer curves. The graphene transferred onto the NP SiO2/Si substrate showed relatively n-doped behavior compared to the graphene transferred onto a flat SiO2/Si substrate, as evidenced by the blue-shift of the 2D peak position (∼2700 cm−1) in the Raman spectra due to contact doping. As the porosity increased within the substrate, the Dirac voltage shifted to a more positive or negative value, depending on the initial doping type (p- or n-type, respectively) of the contact doping. The Dirac voltage shifts with porosity were ascribed mainly to the compensation for the reduced capacitance owing to the SiO2–air hetero-structured dielectric layer within the periodically aligned nanopores capped by the suspended graphene (electrostatic doping). The hysteresis (Dirac voltage difference during the forward and backward scans) was reduced when utilizing an NP SiO2/Si substrate with smaller pores and/or a low porosity because fewer H2O or O2 molecules could be trapped inside the smaller pores.

  12. Fourier transform infrared spectroscopic study of gamma irradiated SiO2 nanoparticles

    Science.gov (United States)

    Huseynov, Elchin; Garibov, Adil; Mehdiyeva, Ravan; Huseynova, Efsane

    2018-03-01

    In the present work, nano SiO2 particles are investigated before and after gamma irradiation (25, 50, 75, 100 and 200 kGy) using Fourier transform infrared (FTIR) spectroscopy method for the wavenumber between 400-4000 cm-1. It is found that as a result of spectroscopic analysis, five new peaks have appeared after gamma radiation. Two of new obtained peaks (which are located at 687 cm-1 and 2357 cm-1 of wavenumber) were formed as a result of gamma radiation interaction with Si-O bonds. Another three new peaks (peaks appropriate to 941, 2052 and 2357 cm-1 values of wavenumber) appear as a result of interaction of water with nano SiO2 particles after gamma irradiation. It has been defined as asymmetrical bending vibration, symmetrical bending vibration, symmetrical stretching vibration and asymmetrical stretching vibration of Si-O bonds appropriate to peaks.

  13. Effect of Ni/Al2O3-SiO2 and Ni/Al2O3-SiO2 with K2O Promoter Catalysts on H2, CO and CH4 Concentration by CO2 Gasification of Rosa Multiflora Biomass

    Directory of Open Access Journals (Sweden)

    Tursunov Obid

    2017-11-01

    Full Text Available The thermal behaviour of the Rosa mutiflora biomass by thermogravimetric analysis was studied at heating rate 3 K min−1 from ambient temperature to 950 °C. TGA tests were performed in high purity carbon dioxide (99 998% with a flow rate 200 ml/min and 100 mg of sample, milled and sieved to a particle size below 250 µm. Moreover, yields of gasification products such as hydrogen (H2, carbon monoxide (CO and methane (CH4 were determined based on the thermovolumetric measurements of catalytic (Ni/Al2O3-SiO2 and Ni/Al2O3-SiO2 with K2O promoter catalysts and non-catalytic gasification of the Rosa multiflora biomass. Additionally, carbon conversion degrees are presented. Calculations were made of the kinetic parameters of carbon monoxide and hydrogen formation reaction in the catalytic and non-catalytic CO2 gasification processes. A high temperature of 950 °C along with Ni/Al2O3-SiO2and Ni/Al2O3-SiO2 with K2O promoter catalysts resulted in a higher conversion of Rosa multiflora biomass into gaseous yield production with greatly increasing of H2 and CO contents. Consequently, H2 and CO are the key factors to produce renewable energy and bio-gases (synthesis gas. The parameters obtained during the experimental examinations enable a tentative assessment of plant biomasses for the process of large-scale gasification in industrial sectors.

  14. Hazards of TiO2 and amorphous SiO2 nanoparticles

    NARCIS (Netherlands)

    Reijnders, L.; Kahn, H.A.; Arif, I.A.

    2012-01-01

    TiO2 and amorphous SiO2 nanoparticles have been described as ‘safe’, ‘non-toxic’ and ‘environment friendly’ in scientific literature. However, though toxicity data are far from complete, there is evidence that these nanoparticles are hazardous. TiO2 nanoparticles have been found hazardous to humans

  15. Silicon electrodeposition from chloride-fluoride melts containing K2SiF6 and SiO2

    Directory of Open Access Journals (Sweden)

    Zhuk Sergey I.

    2017-01-01

    Full Text Available Silicon electrodeposition on glassy carbon from the KF-KCl-K2SiF6, KF-KCl-K2SiF6-KOH and KF-KCl-K2SiF6-SiO2 melts was studied by the cyclic voltammetry. Тhe electroreduction of Si(IV to metallic Si was observed as a single 4-electron wave under all considered conditions. The reactions of cathode reduction of silicon from fluoride and oxyfluoride complexes were suggested. It was shown that the process can be controlled by the preliminary transformation of SiO44- to SiF62- and SiOxFyz-. The influence of the current density on structure and morphology of silicon deposits obtained during galvanostatic electrolysis of the KF-KCl-K2SiF6-SiO2 melt was studied.

  16. Ag-decorated Fe_3O_4@SiO_2 core-shell nanospheres: Seed-mediated growth preparation and their antibacterial activity during the consecutive recycling

    International Nuclear Information System (INIS)

    Li, Miaomiao; Wu, Wenjie; Qiao, Ru; Tan, Linxiang; Li, Zhengquan; Zhang, Yong

    2016-01-01

    We demonstrated a seed-mediated growth approach to synthesize Ag nanoparticles-decorated Fe_3O_4@SiO_2 core-shell nanospheres without use of surface functionalization. The particle size and decoration density of the immobilized Ag nanoparticles on SiO_2 surface were tunable by adjusting the added AgNO_3 concentration and the alternating repetition times in seed-mediated growth procedure. The as-prepared Ag-decorated Fe_3O_4@SiO_2 nanospheres exhibited excellent antibacterial activities against Escherichia coli, Bacillus subtilis and Candida albicans, in which the minimum inhibitory concentration were 12.5 μg mL"−"1, 50 μg mL"−"1 and 50 μg mL"−"1, respectively. It is speculated that their antibacterial activity is attributed to both the interaction of released Ag ions with the functional groups of vital enzymes and proteins and the strong oxidation of reactive oxygen species generated under the action of photoinduced electrons in Ag nanoparticles. Besides studying their antibacterial mechanism, we also investigated the variation of antibacterial activity of these heterostructured nanospheres during the consecutive magnetic separation and recycling. It shows that the magnetic antibacterial agent could be reused and its activity remained stable even after nine cycles, which enable it to be promisingly applied in biomedical areas. - Highlights: • Ag-decorated Fe_3O_4@SiO_2 were synthesized via a seed-mediated growth method. • The core-shell heterostructures exhibited excellent antibacterial activity. • The activity was attributed to the effect of released Ag"+ with ROS oxidation. • The antibacterial agent was reused during magnetic separation and recycling.

  17. Co-electrospinning fabrication and photocatalytic performance of TiO2/SiO2 core/sheath nanofibers with tunable sheath thickness

    International Nuclear Information System (INIS)

    Cao, Houbao; Du, Pingfan; Song, Lixin; Xiong, Jie; Yang, Junjie; Xing, Tonghai; Liu, Xin; Wu, Rongrong; Wang, Minchao; Shao, Xiaoli

    2013-01-01

    Graphical abstract: - Highlights: • The core–sheath TiO 2 /SiO 2 nanofibers were fabricated by co-electrospinning technique. • The catalytic property of nanofibers with different sheath thickness was studied. • The potential methods of improving catalytic efficiency are suggested. - Abstract: In this paper, core/sheath TiO 2 /SiO 2 nanofibers with tunable sheath thickness were directly fabricated via a facile co-electrospinning technique with subsequent calcination at 500 °C. The morphologies and structures of core/sheath TiO 2 /SiO 2 nanofibers were characterized by TGA, FESEM, TEM, FTIR, XPS and BET. It was found that the 1D core/sheath nanofibers are made up of anatase–rutile TiO 2 core and amorphous SiO 2 sheath. The influences of SiO 2 sheath and its thickness on the photoreactivity were evaluated by observing photo-degradation of methylene blue aqueous solution under the irradiation of UV light. Compared with pure TiO 2 nanofibers, the core/sheath TiO 2 /SiO 2 nanofibers performed a better catalytic performance. That was attributed to not only efficient separation of hole–electron pairs resulting from the formation of heterojunction but also larger surface area and surface silanol group which will be useful to provide higher capacity for oxygen adsorption to generate more hydroxyl radicals. And the optimized core/sheath TiO 2 /SiO 2 nanofibers with a sheath thickness of 37 nm exhibited the best photocatalytic performance

  18. Physical Modeling of Gate-Controlled Schottky Barrier Lowering of Metal-Graphene Contacts in Top-Gated Graphene Field-Effect Transistors

    Science.gov (United States)

    Mao, Ling-Feng; Ning, Huansheng; Huo, Zong-Liang; Wang, Jin-Yan

    2015-12-01

    A new physical model of the gate controlled Schottky barrier height (SBH) lowering in top-gated graphene field-effect transistors (GFETs) under saturation bias condition is proposed based on the energy conservation equation with the balance assumption. The theoretical prediction of the SBH lowering agrees well with the experimental data reported in literatures. The reduction of the SBH increases with the increasing of gate voltage and relative dielectric constant of the gate oxide, while it decreases with the increasing of oxide thickness, channel length and acceptor density. The magnitude of the reduction is slightly enhanced under high drain voltage. Moreover, it is found that the gate oxide materials with large relative dielectric constant (>20) have a significant effect on the gate controlled SBH lowering, implying that the energy relaxation of channel electrons should be taken into account for modeling SBH in GFETs.

  19. Physical Modeling of Gate-Controlled Schottky Barrier Lowering of Metal-Graphene Contacts in Top-Gated Graphene Field-Effect Transistors.

    Science.gov (United States)

    Mao, Ling-Feng; Ning, Huansheng; Huo, Zong-Liang; Wang, Jin-Yan

    2015-12-17

    A new physical model of the gate controlled Schottky barrier height (SBH) lowering in top-gated graphene field-effect transistors (GFETs) under saturation bias condition is proposed based on the energy conservation equation with the balance assumption. The theoretical prediction of the SBH lowering agrees well with the experimental data reported in literatures. The reduction of the SBH increases with the increasing of gate voltage and relative dielectric constant of the gate oxide, while it decreases with the increasing of oxide thickness, channel length and acceptor density. The magnitude of the reduction is slightly enhanced under high drain voltage. Moreover, it is found that the gate oxide materials with large relative dielectric constant (>20) have a significant effect on the gate controlled SBH lowering, implying that the energy relaxation of channel electrons should be taken into account for modeling SBH in GFETs.

  20. Stability of trapped electrons in SiO2

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Winokur, P.S.; Flament, O.; Leray, J.L.

    1998-01-01

    Electron trapping near the Si/SiO 2 interface plays a crucial role in mitigating the response of MOS devices to ionizing radiation or high-field stress. These electrons offset positive charge due to trapped holes, and can be present at densities exceeding 10 12 cm -2 in the presence of a similar density of trapped positive charge. The nature of the defects that serve as hosts for trapped electrons in the near-interfacial SiO 2 is presently unknown, although there is compelling evidence that these defects are often intimately associated with trapped holes. This association is depicted most directly in the model of Lelis et al., which suggests that trapped electrons and holes occupy opposite sides of a compensated E center in SiO 2 . Charge exchange between electron traps and the Si can occur over a wide range of time scales, depending on the trap depth and location relative to the Si/SiO 2 interface. Here the authors report a detailed study of the stability of electron traps associated with trapped holes near the Si/SiO 2 interface

  1. Theory of Al2O3 incorporation in SiO2

    DEFF Research Database (Denmark)

    Lægsgaard, Jesper

    2002-01-01

    Different possible forms of Al2O3 units in a SiO2 network are studied theoretically within the framework of density-functional theory. Total-energy differences between the various configurations are obtained, and simple thermodynamical arguments are used to provide an estimate of their relative...

  2. Effect of SiO2 passivation overlayers on hillock formation in Al thin films

    International Nuclear Information System (INIS)

    Kim, Deok-kee

    2012-01-01

    Hillock formation in Al thin films with varying thicknesses of SiO 2 as a passivation layer was investigated during thermal cycling. Based on the stress measurements and the number of hillocks, 250 nm thick SiO 2 was thick enough to suppress the hillock formation and the suppression of hillock at 250 nm passivation and the lack of suppression at thinner passivation is related to the presence/absence of protection against the diffusive flow of atoms from the surrounding area to the surface due to the biaxial compressive stresses present in the film through the weak spots in the passivation layer. The stress state of Al films measured during annealing (the driving force for hillock formation) did not vary much with SiO 2 thickness. A small number of hillocks formed during the plasma enhanced chemical vapor deposition of SiO 2 overlayers at 300 °C. - Highlights: ► We examined the effect of SiO 2 overlayers on hillock formation in Al thin films. ► Thin overlayers were not effective in suppressing diffusive flow to the surface. ► A thick overlayer suppressed the diffusive flow from the interior to the surface. ► The stress state of Al films did not vary much with SiO 2 passivation thickness. ► High mechanical strength provided a large driving force for the large grain growth.

  3. Evolutionary search for new high-k dielectric materials: methodology and applications to hafnia-based oxides.

    Science.gov (United States)

    Zeng, Qingfeng; Oganov, Artem R; Lyakhov, Andriy O; Xie, Congwei; Zhang, Xiaodong; Zhang, Jin; Zhu, Qiang; Wei, Bingqing; Grigorenko, Ilya; Zhang, Litong; Cheng, Laifei

    2014-02-01

    High-k dielectric materials are important as gate oxides in microelectronics and as potential dielectrics for capacitors. In order to enable computational discovery of novel high-k dielectric materials, we propose a fitness model (energy storage density) that includes the dielectric constant, bandgap, and intrinsic breakdown field. This model, used as a fitness function in conjunction with first-principles calculations and the global optimization evolutionary algorithm USPEX, efficiently leads to practically important results. We found a number of high-fitness structures of SiO2 and HfO2, some of which correspond to known phases and some of which are new. The results allow us to propose characteristics (genes) common to high-fitness structures--these are the coordination polyhedra and their degree of distortion. Our variable-composition searches in the HfO2-SiO2 system uncovered several high-fitness states. This hybrid algorithm opens up a new avenue for discovering novel high-k dielectrics with both fixed and variable compositions, and will speed up the process of materials discovery.

  4. Crystallization and chemical durability of glasses in the system Bi2O3-SiO2

    International Nuclear Information System (INIS)

    Fredericci, C.

    2011-01-01

    The crystallization of the Bi 2 O 3 -SiO 2 -TiO 2 -Al 2 O 3 -Na 2 O-K 2 O and Bi 2 O 3 -SiO 2 -ZnO-Al 2 O 3 -B 2 O 3 -Na 2 O glasses was studied using glass samples prepared by traditional melt-quench method. Differential thermal analysis (DTA) curves suggested that surface crystallization played a major role in the crystallization of the glass samples. X-ray diffraction (XRD) analysis revealed the crystallization of bismuth silicate for both glasses and bismuth silicate and zinc silicate for the glass containing ZnO. Through scanning electron microscopy (MEV) and energy dispersive spectroscopy (EDS), it was possible to observe that the crystals of zinc silicate (Zn 2 SiO 4 ) were readily attacked by hot 0,1 N sulfuric acid, whereas bismuth silicate crystals were more resistant to acidic attack etching. (author)

  5. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    Directory of Open Access Journals (Sweden)

    B. Pivac

    2018-01-01

    Full Text Available The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction and self-organization (in horizontal direction. The formed films produce intensive photoluminescence (PL with a peak at 500 nm. The explored dynamics of the PL decay show the existence of a very rapid process similar to the one found at Ge/SiO2 defected interface layers.

  6. Si nanocrystals embedded in SiO2: Optical studies in the vacuum ultraviolet range

    DEFF Research Database (Denmark)

    Pankratov, V.; Osinniy, Viktor; Kotlov, A.

    2011-01-01

    done. It is demonstrated that the experimentally determined blueshift of the photoluminescence excitation and absorption spectra is larger than the theoretical predictions. The influence of point defects in the SiO2 matrix on the optical and luminescence properties of the embedded Si nanocrystals...... is discussed. Moreover, it is demonstrated that no energy transfer takes place between the SiO2 and Si nanocrystals when the excitation energy is higher than the band-to-band transition energy in SiO2....

  7. B2O3/SiO2 substitution effect on structure and properties of Na2O-CaO-SrO-P2O5-SiO2 bioactive glasses from molecular dynamics simulations.

    Science.gov (United States)

    Ren, Mengguo; Lu, Xiaonan; Deng, Lu; Kuo, Po-Hsuen; Du, Jincheng

    2018-05-23

    The effect of B2O3/SiO2 substitution in SrO-containing 55S4.3 bioactive glasses on glass structure and properties, such as ionic diffusion and glass transition temperature, was investigated by combining experiments and molecular dynamics simulations with newly developed potentials. Both short-range (such as bond length and bond angle) and medium-range (such as polyhedral connection and ring size distribution) structures were determined as a function of glass composition. The simulation results were used to explain the experimental results for glass properties such as glass transition temperature and bioactivity. The fraction of bridging oxygen increased linearly with increasing B2O3 content, resulting in an increase in overall glass network connectivity. Ion diffusion behavior was found to be sensitive to changes in glass composition and the trend of the change with the level of substitution is also temperature dependent. The differential scanning calorimetry (DSC) results show a decrease in glass transition temperature (Tg) with increasing B2O3 content. This is explained by the increase in ion diffusion coefficient and decrease in ion diffusion energy barrier in glass melts, as suggested by high-temperature range (above Tg) ion diffusion calculations as B2O3/SiO2 substitution increases. In the low-temperature range (below Tg), the Ea for modifier ions increased with B2O3/SiO2 substitution, which can be explained by the increase in glass network connectivity. Vibrational density of states (VDOS) were calculated and show spectral feature changes as a result of the substitution. The change in bioactivity with B2O3/SiO2 substitution is discussed with the change in pH value and release of boric acid into the solution.

  8. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium–gallium–zinc oxide gate stack

    Science.gov (United States)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-01

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium–gallium–zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>104). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  9. Synaptic behaviors of thin-film transistor with a Pt/HfO x /n-type indium-gallium-zinc oxide gate stack.

    Science.gov (United States)

    Yang, Paul; Park, Daehoon; Beom, Keonwon; Kim, Hyung Jun; Kang, Chi Jung; Yoon, Tae-Sik

    2018-07-20

    We report a variety of synaptic behaviors in a thin-film transistor (TFT) with a metal-oxide-semiconductor gate stack that has a Pt/HfO x /n-type indium-gallium-zinc oxide (n-IGZO) structure. The three-terminal synaptic TFT exhibits a tunable synaptic weight with a drain current modulation upon repeated application of gate and drain voltages. The synaptic weight modulation is analog, voltage-polarity dependent reversible, and strong with a dynamic range of multiple orders of magnitude (>10 4 ). This modulation process emulates biological synaptic potentiation, depression, excitatory-postsynaptic current, paired-pulse facilitation, and short-term to long-term memory transition behaviors as a result of repeated pulsing with respect to the pulse amplitude, width, repetition number, and the interval between pulses. These synaptic behaviors are interpreted based on the changes in the capacitance of the Pt/HfO x /n-IGZO gate stack, the channel mobility, and the threshold voltage that result from the redistribution of oxygen ions by the applied gate voltage. These results demonstrate the potential of this structure for three-terminal synaptic transistor using the gate stack composed of the HfO x gate insulator and the IGZO channel layer.

  10. Ultralow-density SiO2 aerogels prepared by a two-step sol-gel process

    International Nuclear Information System (INIS)

    Wang Jue; Li Qing; Shen Jun; Zhou Bin; Chen Lingyan; Jiang; Weiyang

    1996-01-01

    Low density SiO 2 gels are prepared by a two-step sol-gel process from TEOS. The influence of various solution ratios on the gelation process is investigated. The comparative characterization of gels using different solvent, such as ethanol, acetone and methyl cyanide, is also given. The ultralow-density SiO 2 aerogels with density less than 10 kg/m 3 are prepared by CO 2 supercritical drying technique. The structure difference between SiO 2 aerogels prepared by conventional single-step process and the two-step process is also presented

  11. Structure-Dependent Spectroscopic Properties of Yb3+-Doped Phosphosilicate Glasses Modified by SiO2

    Directory of Open Access Journals (Sweden)

    Ling Wang

    2017-02-01

    Full Text Available Yb3+-doped phosphate glasses containing different amounts of SiO2 were successfully synthesized by the conventional melt-quenching method. The influence mechanism of SiO2 on the structural and spectroscopic properties was investigated systematically using the micro-Raman technique. It was worth noting that the glass with 26.7 mol % SiO2 possessed the longest fluorescence lifetime (1.51 ms, the highest gain coefficient (1.10 ms·pm2, the maximum Stark splitting manifold of 2F7/2 level (781 cm−1, and the largest scalar crystal-field NJ and Yb3+ asymmetry degree. Micro-Raman spectra revealed that introducing SiO2 promoted the formation of P=O linkages, but broke the P=O linkages when the SiO2 content was greater than 26.7 mol %. Based on the previous 29Si MAS NMR experimental results, these findings further demonstrated that the formation of [SiO6] may significantly affect the formation of P=O linkages, and thus influences the spectroscopic properties of the glass. These results indicate that phosphosilicate glasses may have potential applications as a Yb3+-doped gain medium for solid-state lasers and optical fiber amplifiers.

  12. Structure-Dependent Spectroscopic Properties of Yb3+-Doped Phosphosilicate Glasses Modified by SiO2

    Science.gov (United States)

    Wang, Ling; Zeng, Huidan; Yang, Bin; Ye, Feng; Chen, Jianding; Chen, Guorong; Smith, Andew T.; Sun, Luyi

    2017-01-01

    Yb3+-doped phosphate glasses containing different amounts of SiO2 were successfully synthesized by the conventional melt-quenching method. The influence mechanism of SiO2 on the structural and spectroscopic properties was investigated systematically using the micro-Raman technique. It was worth noting that the glass with 26.7 mol % SiO2 possessed the longest fluorescence lifetime (1.51 ms), the highest gain coefficient (1.10 ms·pm2), the maximum Stark splitting manifold of 2F7/2 level (781 cm−1), and the largest scalar crystal-field NJ and Yb3+ asymmetry degree. Micro-Raman spectra revealed that introducing SiO2 promoted the formation of P=O linkages, but broke the P=O linkages when the SiO2 content was greater than 26.7 mol %. Based on the previous 29Si MAS NMR experimental results, these findings further demonstrated that the formation of [SiO6] may significantly affect the formation of P=O linkages, and thus influences the spectroscopic properties of the glass. These results indicate that phosphosilicate glasses may have potential applications as a Yb3+-doped gain medium for solid-state lasers and optical fiber amplifiers. PMID:28772601

  13. Investigation of aluminum gate CMP in a novel alkaline solution

    International Nuclear Information System (INIS)

    Feng Cuiyue; Liu Yuling; Sun Ming; Zhang Wenqian; Zhang Jin; Wang Shuai

    2016-01-01

    Beyond 45 nm, due to the superior CMP performance requirements with the metal gate of aluminum in the advanced CMOS process, a novel alkaline slurry for an aluminum gate CMP with poly-amine alkali slurry is investigated. The aluminum gate CMP under alkaline conditions has two steps: stock polishing and fine polishing. A controllable removal rate, the uniformity of aluminum gate and low corrosion are the key challenges for the alkaline polishing slurry of the aluminum gate CMP. This work utilizes the complexation-soluble function of FA/O II and the preference adsorption mechanism of FA/O I nonionic surfactant to improve the uniformity of the surface chemistry function with the electrochemical corrosion research, such as OCP-TIME curves, Tafel curves and AC impedance. The result is that the stock polishing slurry (with SiO 2 abrasive) contains 1 wt.% H 2 O 2 ,0.5 wt.% FA/O II and 1.0 wt.% FA/O I nonionic surfactant. For a fine polishing process, 1.5 wt.% H 2 O 2 , 0.4 wt.% FA/O II and 2.0 wt.% FA/O I nonionic surfactant are added. The polishing experiments show that the removal rates are 3000 ± 50 Å/min and 1600 ± 60 Å/min, respectively. The surface roughnesses are 2.05 ± 0.128 nm and 1.59 ± 0.081 nm, respectively. A combination of the functions of FA/O II and FA/O I nonionic surfactant obtains a controllable removal rate and a better surface roughness in alkaline solution. (paper)

  14. Enhancement of photocatalytic properties of TiO2 nanoparticles doped with CeO2 and supported on SiO2 for phenol degradation

    International Nuclear Information System (INIS)

    Hao, Chunjing; Li, Jing; Zhang, Zailei; Ji, Yongjun; Zhan, Hanhui; Xiao, Fangxing; Wang, Dan; Liu, Bin; Su, Fabing

    2015-01-01

    Highlights: • CeO 2 -TiO 2 /SiO 2 composites were prepared via a facile co-precipitation method. • Introduction of SiO 2 support increases the dispersion of CeO 2 -TiO 2 . • CeO 2 -TiO 2 /SiO 2 exhibits an enhanced photocatalytic efficiency for phenol degradation. • Ce 3+ /Ce 4+ pair coexisting in CeO 2 improves electron–hole pairs separation efficiency. - Abstract: A series of CeO 2 -TiO 2 and CeO 2 -TiO 2 /SiO 2 composites were prepared with TiCl 4 and Ce (NO 3 ) 3 ·6H 2 O as precursors via a facile co-precipitation method. The obtained samples were characterized by various techniques such as X-ray diffraction (XRD), nitrogen adsorption (N 2 -BET), Fourier transformation infrared spectrum (FT-IR), scanning electron microscopy (SEM), transmission electron microscopy (TEM), and UV–Vis spectroscopy measurements. The results indicated that TiO 2 doped with CeO 2 and supported on SiO 2 could reduce the crystallite size, inhibit the phase transformation, enhance the thermal stability, and effectively extend the spectral response from UV to visible range. When applied to the phenol photodegradation on a homemade batch reactor with an external cooling jacket, the CeO 2 -TiO 2 /SiO 2 catalysts exhibited significantly enhanced photodegradation efficiency in comparison with commercial Degussa P25 and CeO 2 -TiO 2 . The unique catalytic properties of CeO 2 -TiO 2 /SiO 2 were ascribed to improved electron–hole pairs separation efficiency and formation of more reactive oxygen species owing to the presence of Ce 3+ /Ce 4+ , as well as high dispersion of active component of CeO 2 -TiO 2 as a result of the introduction of SiO 2 support. Furthermore, the catalysts can be easily recovered from the reaction solution by centrifugation and reused for four cycles without significant loss of activity

  15. Fiber-optic thermometer application of thermal radiation from rare-earth end-doped SiO2 fiber

    International Nuclear Information System (INIS)

    Katsumata, Toru; Morita, Kentaro; Komuro, Shuji; Aizawa, Hiroaki

    2014-01-01

    Visible light thermal radiation from SiO 2 glass doped with Y, La, Ce, Pr, Nd, Eu, Tb, Dy, Ho, Er, Tm, Yb, and Lu were studied for the fiber-optic thermometer application based on the temperature dependence of thermal radiation. Thermal radiations according to Planck's law of radiation are observed from the SiO 2 fibers doped with Y, La, Ce, Pr, Eu, Tb, and Lu at the temperature above 1100 K. Thermal radiations due to f-f transitions of rare-earth ions are observed from the SiO 2 fibers doped with Nd, Dy, Ho, Er, Tm, and Yb at the temperature above 900 K. Peak intensities of thermal radiations from rare-earth doped SiO 2 fibers increase sensitively with temperature. Thermal activation energies of thermal radiations by f-f transitions seen in Nd, Dy, Ho, Er, Tm, and Yb doped SiO 2 fibers are smaller than those from SiO 2 fibers doped with Y, La, Ce, Pr, Eu, Tb, and Lu. Thermal radiation due to highly efficient f-f transitions in Nd, Dy, Ho, Er, Tm, and Yb ions emits more easily than usual thermal radiation process. Thermal radiations from rare-earth doped SiO 2 are potentially applicable for the fiber-optic thermometry above 900 K

  16. Preparation of Raspberry-like Superhydrophobic SiO2 Particles by Sol-gel Method and Its Potential Applications

    Directory of Open Access Journals (Sweden)

    Xu Gui-Long

    2011-12-01

    Full Text Available Raspberry‐like SiO2 particles with a nano‐micro‐binary structure were prepared by a simple sol‐gel method using tetraethoxysilane (TEOS and methyltriethoxysilane (MTES as precursors. The chemical components and morphology of the SiO2 particles were characterized by Fourier transform infrared spectroscopy (FT‐IR and a Transmission electron microscope (TEM. The surface topography and wetting behaviour of the raspberry‐like SiO2 surface were observed with a Scanning electron microscope (SEM and studied by the water/oil contact angle (CA, respectively. The thermal stability of the prepared SiO2 particles was characterized by TGA analysis. The results show that the highly dispersed SiO2 particles initially prepared by the sol‐gel method turn into raspberry‐like particles with during the aging process. The raspberry‐like SiO2 particles show superhydrophobicity and superoleophilicity across a wide range of pH values. The SiO2 particles were thermally stable up to 475°C, while above this temperature the hydrophobicity decreases and finally becomes superhydrophobic when the temperature reaches 600°C. The raspberry‐like SiO2 particles which were prepared have potential applications in the fields of superhydrophobic surfaces, water‐oil separation, anti‐corrosion and fluid transportation.

  17. Comprehensive study and design of scaled metal/high-k/Ge gate stacks with ultrathin aluminum oxide interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Asahara, Ryohei; Hideshima, Iori; Oka, Hiroshi; Minoura, Yuya; Hosoi, Takuji, E-mail: hosoi@mls.eng.osaka-u.ac.jp; Shimura, Takayoshi; Watanabe, Heiji [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Ogawa, Shingo [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Toray Research Center Inc., 3-3-7 Sonoyama, Otsu, Shiga 520-8567 (Japan); Yoshigoe, Akitaka; Teraoka, Yuden [Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Sayo-gun, Hyogo 679-5148 (Japan)

    2015-06-08

    Advanced metal/high-k/Ge gate stacks with a sub-nm equivalent oxide thickness (EOT) and improved interface properties were demonstrated by controlling interface reactions using ultrathin aluminum oxide (AlO{sub x}) interlayers. A step-by-step in situ procedure by deposition of AlO{sub x} and hafnium oxide (HfO{sub x}) layers on Ge and subsequent plasma oxidation was conducted to fabricate Pt/HfO{sub 2}/AlO{sub x}/GeO{sub x}/Ge stacked structures. Comprehensive study by means of physical and electrical characterizations revealed distinct impacts of AlO{sub x} interlayers, plasma oxidation, and metal electrodes serving as capping layers on EOT scaling, improved interface quality, and thermal stability of the stacks. Aggressive EOT scaling down to 0.56 nm and very low interface state density of 2.4 × 10{sup 11 }cm{sup −2}eV{sup −1} with a sub-nm EOT and sufficient thermal stability were achieved by systematic process optimization.

  18. pH sensor using AlGaN/GaN high electron mobility transistors with Sc2O3 in the gate region

    International Nuclear Information System (INIS)

    Kang, B. S.; Wang, H. T.; Ren, F.; Gila, B. P.; Abernathy, C. R.; Pearton, S. J.; Johnson, J. W.; Rajagopal, P.; Roberts, J. C.; Piner, E. L.; Linthicum, K. J.

    2007-01-01

    Ungated AlGaN/GaN high electron mobility transistors (HEMTs) exhibit large changes in current upon exposing the gate region to polar liquids. The polar nature of the electrolyte introduced leds to a change of surface charges, producing a change in surface potential at the semiconductor/liquid interface. The use of Sc 2 O 3 gate dielectric produced superior results to either a native oxide or UV ozone-induced oxide in the gate region. The ungated HEMTs with Sc 2 O 3 in the gate region exhibited a linear change in current between pH 3 and 10 of 37 μA/pH. The HEMT pH sensors show stable operation with a resolution of <0.1 pH over the entire pH range. The results indicate that the HEMTs may have application in monitoring pH solution changes between 7 and 8, the range of interest for testing human blood

  19. Super-bright and short-lived photoluminescence of textured Zn2SiO4:Mn2+ phosphor film on quartz glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Seo, Kwangil; Kwon, Kevin; Kung, Patrick; Kim, Seongsin M.

    2010-02-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor film was fabricated by a thermal diffusion of ZnO:Mn on quartz glass. The characterization has been performed in terms of Mn2+ ions concentration (Mn/Zn=1~9 mol %). As an increase of Mn2+ ions concentration in the Zn2SiO4:Mn2+ phosphor film, the emission peak was red shifted from 519 nm to 526 nm, and the decay time to 10% of the maximum intensity was shorter from 20 ms to 0.5 ms. All annealed Zn2SiO4:Mn2+ phosphor films became textured along some hexagonal directions on the amorphous quartz glass. The brightest Zn2SiO4:Mn2+ film at optimal Mn2+ concentration of 5 % showed the photoluminescence brightness of 65 % and the shortened decay time of 4.4 ms in comparison with a commercially Zn2SiO4: Mn2+ powder phosphor screen. The excellencies can be attributed to a unique textured structure.

  20. In-situ synthesis of SiO2@MOF composites for high-efficiency removal of aniline from aqueous solution

    Science.gov (United States)

    Han, Tongtong; Li, Caifeng; Guo, Xiangyu; Huang, Hongliang; Liu, Dahuan; Zhong, Chongli

    2016-12-01

    A series of SiO2@aluminum-MOF(MIL-68) composites with different SiO2 loadings have been synthesized by a simple and mild compositing strategy for high-efficiency removal of aniline. As evidenced from SEM and TEM images as well as the particle size distribution, the incorporation of SiO2 can improve the dispersity of MIL-68(Al) in composites, and result in the smaller particle size than that of pristine MIL-68(Al). Besides, the adsorption of aniline over SiO2, MIL-68(Al), the physical mixture of these two materials, and SiO2@MIL-68(Al) composites was investigated comparatively, demonstrating a relatively high adsorption capacity (531.9 mg g-1) of 7% SiO2@MIL-68(Al) towards aniline. Combining the ultrafast adsorption dynamics (reaching equilibrium within 40 s) and great reusability, 7% SiO2@MIL-68(Al) shows excellent adsorption performance. This indicates that the SiO2@MIL-68(Al) composites possess great potential applications as a kind of fascinating adsorbent in water pollution protection.

  1. Protonic/electronic hybrid oxide transistor gated by chitosan and its full-swing low voltage inverter applications

    Energy Technology Data Exchange (ETDEWEB)

    Chao, Jin Yu [Shanxi Province Key Laboratory High Gravity Chemical Engineering, North University of China, Taiyuan 030051 (China); Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhu, Li Qiang, E-mail: lqzhu@nimte.ac.cn; Xiao, Hui [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Yuan, Zhi Guo, E-mail: ncityzg@163.com [Shanxi Province Key Laboratory High Gravity Chemical Engineering, North University of China, Taiyuan 030051 (China)

    2015-12-21

    Modulation of charge carrier density in condensed materials based on ionic/electronic interaction has attracted much attention. Here, protonic/electronic hybrid indium-zinc-oxide (IZO) transistors gated by chitosan based electrolyte were obtained. The chitosan-based electrolyte illustrates a high proton conductivity and an extremely strong proton gating behavior. The transistor illustrates good electrical performances at a low operating voltage of ∼1.0 V such as on/off ratio of ∼3 × 10{sup 7}, subthreshold swing of ∼65 mV/dec, threshold voltage of ∼0.3 V, and mobility of ∼7 cm{sup 2}/V s. Good positive gate bias stress stabilities are obtained. Furthermore, a low voltage driven resistor-loaded inverter was built by using an IZO transistor in series with a load resistor, exhibiting a linear relationship between the voltage gain and the supplied voltage. The inverter is also used for decreasing noises of input signals. The protonic/electronic hybrid IZO transistors have potential applications in biochemical sensors and portable electronics.

  2. Assembly of Fe3O4 nanoparticles on SiO2 monodisperse spheres

    Indian Academy of Sciences (India)

    Assembly of Fe3O4 nanoparticles on SiO2 monodisperse spheres. K C BARICK and D BAHADUR*. Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology Bombay,. Mumbai 400 076, India. Abstract. The assembly of superparamagnetic Fe3O4 nanoparticles on submicroscopic SiO2 ...

  3. The Tunable Bandgap of AB-Stacked Bilayer Graphene on SiO2 with H2O Molecule Adsorption

    International Nuclear Information System (INIS)

    Wang Tao; Guo Qing; Liu Yan; Wang Wen-Bo; Sheng Kuang; Ao Zhi-Min; Yu Bin

    2011-01-01

    The atomic and electronic structures of AB-stacking bilayer graphene (BLG) in the presence of H 2 O molecules are investigated by density functional theory calculations. For free-standing BLG, the bandgap is opened to 0.101 eV with a single H 2 O molecule adsorbed on its surface. The perfectly suspended BLG is sensitive to H 2 O adsorbates, which break the BLG lattice symmetry and open an energy gap. While a single H 2 O molecule is adsorbed on the BLG surface with a SiO 2 substrate, the bandgap widens to 0.363 eV. Both the H 2 O molecule adsorption and the oxide substrate contribute to the BLG bandgap opening. The phenomenon is interpreted with the charge transfer process in 2D carbon nanostructures. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  4. Short-Term Synaptic Plasticity Regulation in Solution-Gated Indium-Gallium-Zinc-Oxide Electric-Double-Layer Transistors.

    Science.gov (United States)

    Wan, Chang Jin; Liu, Yang Hui; Zhu, Li Qiang; Feng, Ping; Shi, Yi; Wan, Qing

    2016-04-20

    In the biological nervous system, synaptic plasticity regulation is based on the modulation of ionic fluxes, and such regulation was regarded as the fundamental mechanism underlying memory and learning. Inspired by such biological strategies, indium-gallium-zinc-oxide (IGZO) electric-double-layer (EDL) transistors gated by aqueous solutions were proposed for synaptic behavior emulations. Short-term synaptic plasticity, such as paired-pulse facilitation, high-pass filtering, and orientation tuning, was experimentally emulated in these EDL transistors. Most importantly, we found that such short-term synaptic plasticity can be effectively regulated by alcohol (ethyl alcohol) and salt (potassium chloride) additives. Our results suggest that solution gated oxide-based EDL transistors could act as the platforms for short-term synaptic plasticity emulation.

  5. 100-nm gate lithography for double-gate transistors

    Science.gov (United States)

    Krasnoperova, Azalia A.; Zhang, Ying; Babich, Inna V.; Treichler, John; Yoon, Jung H.; Guarini, Kathryn; Solomon, Paul M.

    2001-09-01

    The double gate field effect transistor (FET) is an exploratory device that promises certain performance advantages compared to traditional CMOS FETs. It can be scaled down further than the traditional devices because of the greater electrostatic control by the gates on the channel (about twice as short a channel length for the same gate oxide thickness), has steeper sub-threshold slope and about double the current for the same width. This paper presents lithographic results for double gate FET's developed at IBM's T. J. Watson Research Center. The device is built on bonded wafers with top and bottom gates self-aligned to each other. The channel is sandwiched between the top and bottom polysilicon gates and the gate length is defined using DUV lithography. An alternating phase shift mask was used to pattern gates with critical dimensions of 75 nm, 100 nm and 125 nm in photoresist. 50 nm gates in photoresist have also been patterned by 20% over-exposure of nominal 100 nm lines. No trim mask was needed because of a specific way the device was laid out. UV110 photoresist from Shipley on AR-3 antireflective layer were used. Process windows, developed and etched patterns are presented.

  6. Infrared spectroscopic ellipsometry of micrometer-sized SiO2 line gratings

    Science.gov (United States)

    Walder, Cordula; Zellmeier, Matthias; Rappich, Jörg; Ketelsen, Helge; Hinrichs, Karsten

    2017-09-01

    For the design and process control of periodic nano-structured surfaces spectroscopic ellipsometry is already established in the UV-VIS spectral regime. The objective of this work is to show the feasibility of spectroscopic ellipsometry in the infrared, exemplarily, on micrometer-sized SiO2 line gratings grown on silicon wafers. The grating period ranges from 10 to about 34 μm. The IR-ellipsometric spectra of the gratings exhibit complex changes with structure variations. Especially in the spectral range of the oxide stretching modes, the presence of a Rayleigh singularity can lead to pronounced changes of the spectrum with the sample geometry. The IR-ellipsometric spectra of the gratings are well reproducible by calculations with the RCWA method (Rigorous Coupled Wave Analysis). Therefore, infrared spectroscopic ellipsometry allows the quantitative characterization and process control of micrometer-sized structures.

  7. InAs nanocrystals on SiO2/Si by molecular beam epitaxy for memory applications

    International Nuclear Information System (INIS)

    Hocevar, Moiera; Regreny, Philippe; Descamps, Armel; Albertini, David; Saint-Girons, Guillaume; Souifi, Abdelkader; Gendry, Michel; Patriarche, Gilles

    2007-01-01

    We studied a memory structure based on InAs nanocrystals grown by molecular beam epitaxy directly on thermal SiO 2 on silicon. Both nanocrystal diameter and density can be controlled by growth parameters. Transmission electron microscopy analysis shows high crystallinity and low size dispersion. In an electrical test structure with a 3.5 nm tunnel oxide, we observed that 80% of the initial injected electrons remain stored in the InAs nanocrystals after 3 months and that the retention time for electrons in InAs nanocrystals is four orders of magnitude higher than in silicon nanocrystals

  8. Valence band variation in Si (110) nanowire induced by a covered insulator

    International Nuclear Information System (INIS)

    Hong-Hua, Xu; Xiao-Yan, Liu; Yu-Hui, He; Gang, Du; Ru-Qi, Han; Jin-Feng, Kang; Chun, Fan; Ai-Dong, Sun

    2010-01-01

    In this work, we investigate strain effects induced by the deposition of gate dielectrics on the valence band structures in Si (110) nanowire via the simulation of strain distribution and the calculation of a generalized 6×6k·p strained valence band. The nanowire is surrounded by the gate dielectric. Our simulation indicates that the strain of the amorphous SiO 2 insulator is negligible without considering temperature factors. On the other hand, the thermal residual strain in a nanowire with amorphous SiO 2 insulator which has negligible lattice misfit strain pushes the valence subbands upwards by chemical vapour deposition and downwards by thermal oxidation treatment. In contrast with the strain of the amorphous SiO 2 insulator, the strain of the HfO 2 gate insulator in Si (110) nanowire pushes the valence subbands upwards remarkably. The thermal residual strain by HfO 2 insulator contributes to the up-shifting tendency. Our simulation results for valence band shifting and warping in Si nanowires can provide useful guidance for further nanowire device design. (classical areas of phenomenology)

  9. UV Light-Driven Photodegradation of Methylene Blue by Using Mn0.5Zn0.5Fe2O4/SiO2 Nanocomposites

    Science.gov (United States)

    Indrayana, I. P. T.; Julian, T.; Suharyadi, E.

    2018-04-01

    The photodegradation activity of nanocomposites for 20 ppm methylene blue solution has been investigated in this work. Nanocomposites Mn0.5Zn0.5Fe2O4/SiO2 have been synthesized using coprecipitation method. The X-ray diffraction (XRD) pattern confirmed the formation of three phases in sample Mn0.5Zn0.5Fe2O4/SiO2 i.e., Mn0.5Zn0.5Fe2O4, Zn(OH)2, and SiO2. The appearance of SiO2 phase showed that the encapsulation process has been carried out. The calculated particles size of Mn0.5Zn0.5Fe2O4/SiO2 is greater than Mn0.5Zn0.5Fe2O4. Bonding analysis via vibrational spectra for Mn0.5Zn0.5Fe2O4/SiO2 confirmed the formation of bonds Me-O-Si stretching (2854.65 cm-1) and Si-O-Si asymmetric stretching (1026.13 cm-1). The optical gap energy of Mn0.5Zn0.5Fe2O4/SiO2 was smaller (2.70 eV) than Mn0.5Zn0.5Fe2O4 (3.04 eV) due to smaller lattice dislocation and microstrain that affect their electronic structure. The Mn0.5Zn0.5Fe2O4/SiO2 showed high photodegradation ability due to smaller optical gap energy and the appearance of SiO2 ligand that can easily attract dye molecules. The Mn0.5Zn0.5Fe2O4/SiO2 also showed high degradation activity even without UV light radiation. The result showed that photodegradation reaction doesn’t follow pseudo-first order kinetics.

  10. Preparation of TiO2-SiO2 composite photocatalysts for environmental applications

    Czech Academy of Sciences Publication Activity Database

    Paušová, Š.; Krýsa, J.; Jirkovský, Jaromír; Prevot, V.; Mailhot, G.

    2014-01-01

    Roč. 89, č. 8 (2014), s. 1129-1135 ISSN 0268-2575 Institutional support: RVO:61388955 Keywords : photocatalysis * TiO2/SiO2 * composite Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 2.349, year: 2014

  11. Transfer free graphene growth on SiO2 substrate at 250 °C

    Science.gov (United States)

    Vishwakarma, Riteshkumar; Rosmi, Mohamad Saufi; Takahashi, Kazunari; Wakamatsu, Yuji; Yaakob, Yazid; Araby, Mona Ibrahim; Kalita, Golap; Kitazawa, Masashi; Tanemura, Masaki

    2017-03-01

    Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

  12. Integrated nanophotonic hubs based on ZnO-Tb(OH3/SiO2 nanocomposites

    Directory of Open Access Journals (Sweden)

    Lin Yu

    2011-01-01

    Full Text Available Abstract Optical integration is essential for practical application, but it remains unexplored for nanoscale devices. A newly designed nanocomposite based on ZnO semiconductor nanowires and Tb(OH3/SiO2 core/shell nanospheres has been synthesized and studied. The unique sea urchin-type morphology, bright and sharply visible emission bands of lanthanide, and large aspect ratio of ZnO crystalline nanotips make this novel composite an excellent signal receiver, waveguide, and emitter. The multifunctional composite of ZnO nanotips and Tb(OH3/SiO2 nanoparticles therefore can serve as an integrated nanophotonics hub. Moreover, the composite of ZnO nanotips deposited on a Tb(OH3/SiO2 photonic crystal can act as a directional light fountain, in which the confined radiation from Tb ions inside the photonic crystal can be well guided and escape through the ZnO nanotips. Therefore, the output emission arising from Tb ions is truly directional, and its intensity can be greatly enhanced. With highly enhanced lasing emissions in ZnO-Tb(OH3/SiO2 as well as SnO2-Tb(OH3/SiO2 nanocomposites, we demonstrate that our approach is extremely beneficial for the creation of low threshold and high-power nanolaser.

  13. Functionalized sio2 microspheres for extracting oil from produced water

    KAUST Repository

    Mishra, Himanshu

    2017-03-16

    Functionalized material, methods of producing the functionalized material, and use thereof for separation processes such as but not limited to use for separating and extracting a dissolved organic foulant, charged contaminant or oily matter or any combination thereof from water, such as produced water, are provided. In an embodiment, the functionalized material is a mineral material, such as mica, silica (e.g. an SiO2 microsphere) or a metal oxide, and the outer surface of the material is functionalized with an alkyl chain or a perfluorinated species. In an embodiment, the method of making the functionalized material, includes: a) providing a mineral material; b) providing an alkyl chain and/or a perfluorinated species, the alkyl chain or perfluorinated species selected to dissolve organic foulants, charged contaminants or oily matter from water or any combination thereof; c) hydroxylating the material via a concentrated acid solution or a basic solution; and d) grafting the alkyl chain and/or the perfluorinated species onto the material via a silanation reaction.

  14. Gate length variation effect on performance of gate-first self-aligned In₀.₅₃Ga₀.₄₇As MOSFET.

    Science.gov (United States)

    Mohd Razip Wee, Mohd F; Dehzangi, Arash; Bollaert, Sylvain; Wichmann, Nicolas; Majlis, Burhanuddin Y

    2013-01-01

    A multi-gate n-type In₀.₅₃Ga₀.₄₇As MOSFET is fabricated using gate-first self-aligned method and air-bridge technology. The devices with different gate lengths were fabricated with the Al2O3 oxide layer with the thickness of 8 nm. In this letter, impact of gate length variation on device parameter such as threshold voltage, high and low voltage transconductance, subthreshold swing and off current are investigated at room temperature. Scaling the gate length revealed good enhancement in all investigated parameters but the negative shift in threshold voltage was observed for shorter gate lengths. The high drain current of 1.13 A/mm and maximum extrinsic transconductance of 678 mS/mm with the field effect mobility of 364 cm(2)/Vs are achieved for the gate length and width of 0.2 µm and 30 µm, respectively. The source/drain overlap length for the device is approximately extracted about 51 nm with the leakage current in order of 10(-8) A. The results of RF measurement for cut-off and maximum oscillation frequency for devices with different gate lengths are compared.

  15. Gate Length Variation Effect on Performance of Gate-First Self-Aligned In0.53Ga0.47As MOSFET

    Science.gov (United States)

    Mohd Razip Wee, Mohd F.; Dehzangi, Arash; Bollaert, Sylvain; Wichmann, Nicolas; Majlis, Burhanuddin Y.

    2013-01-01

    A multi-gate n-type In0.53Ga0.47As MOSFET is fabricated using gate-first self-aligned method and air-bridge technology. The devices with different gate lengths were fabricated with the Al2O3 oxide layer with the thickness of 8 nm. In this letter, impact of gate length variation on device parameter such as threshold voltage, high and low voltage transconductance, subthreshold swing and off current are investigated at room temperature. Scaling the gate length revealed good enhancement in all investigated parameters but the negative shift in threshold voltage was observed for shorter gate lengths. The high drain current of 1.13 A/mm and maximum extrinsic transconductance of 678 mS/mm with the field effect mobility of 364 cm2/Vs are achieved for the gate length and width of 0.2 µm and 30µm, respectively. The source/drain overlap length for the device is approximately extracted about 51 nm with the leakage current in order of 10−8 A. The results of RF measurement for cut-off and maximum oscillation frequency for devices with different gate lengths are compared. PMID:24367548

  16. Refractories in the Al2O3-ZrO2-SiO2 system

    International Nuclear Information System (INIS)

    Banerjee, S.P.; Bhadra, A.K.; Sircar, N.R.

    1978-01-01

    The effect of addition of ZrO 2 in different proportions in the refractories of the Al 2 O 3 -SiO 2 system was studied. The investigation was confined to two broad ranges of compositions incorporating zirconia (15-30 percent and 80-85 percent) in the Al 2 O 3 -ZrO 2 -SiO 2 system. The overall attainment of properties is dependent upon the mode of fabrication and firing, and bears a relationship with the phase assemblages and the relative proportion thereof. Of the different characteristics, the trend of dissociation of zircon has been found to be specially significant vis-a-vis the temperature of firing and thermal shock resistance. Reassociation of the dissociated products has been ascribed to bring forth improved resistance to thermal spalling. The different products developed during this investigation are considered to be very promising which find useful applications in view of the properties attained by them. (auth.)

  17. Bulk properties and near-critical behaviour of SiO2 fluid

    Science.gov (United States)

    Green, Eleanor C. R.; Artacho, Emilio; Connolly, James A. D.

    2018-06-01

    Rocky planets and satellites form through impact and accretion processes that often involve silicate fluids at extreme temperatures. First-principles molecular dynamics (FPMD) simulations have been used to investigate the bulk thermodynamic properties of SiO2 fluid at high temperatures (4000-6000 K) and low densities (500-2240 kg m-3), conditions which are relevant to protoplanetary disc condensation. Liquid SiO2 is highly networked at the upper end of this density range, but depolymerises with increasing temperature and volume, in a process characterised by the formation of oxygen-oxygen (Odbnd O) pairs. The onset of vaporisation is closely associated with the depolymerisation process, and is likely to be non-stoichiometric at high temperature, initiated via the exsolution of O2 molecules to leave a Si-enriched fluid. By 6000 K the simulated fluid is supercritical. A large anomaly in the constant-volume heat capacity occurs near the critical temperature. We present tabulated thermodynamic properties for silica fluid that reconcile observations from FPMD simulations with current knowledge of the SiO2 melting curve and experimental Hugoniot curves.

  18. High carrier mobility of CoPc wires based field-effect transistors using bi-layer gate dielectric

    Directory of Open Access Journals (Sweden)

    Murali Gedda

    2013-11-01

    Full Text Available Polyvinyl alcohol (PVA and anodized Al2O3 layers were used as bi-layer gate for the fabrication of cobalt phthalocyanine (CoPc wire base field-effect transistors (OFETs. CoPc wires were grown on SiO2 surfaces by organic vapor phase deposition method. These devices exhibit a field-effect carrier mobility (μEF value of 1.11 cm2/Vs. The high carrier mobility for CoPc molecules is attributed to the better capacitive coupling between the channel of CoPc wires and the gate through organic-inorganic dielectric layer. Our measurements also demonstrated the way to determine the thicknesses of the dielectric layers for a better process condition of OFETs.

  19. Effect of top gate bias on photocurrent and negative bias illumination stress instability in dual gate amorphous indium-gallium-zinc oxide thin-film transistor

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Eunji; Chowdhury, Md Delwar Hossain; Park, Min Sang; Jang, Jin, E-mail: jjang@khu.ac.kr [Advanced Display Research Center and Department of Information Display, Kyung Hee University, Seoul 130-701 (Korea, Republic of)

    2015-12-07

    We have studied the effect of top gate bias (V{sub TG}) on the generation of photocurrent and the decay of photocurrent for back channel etched inverted staggered dual gate structure amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film-transistors. Upon 5 min of exposure of 365 nm wavelength and 0.7 mW/cm{sup 2} intensity light with negative bottom gate bias, the maximum photocurrent increases from 3.29 to 322 pA with increasing the V{sub TG} from −15 to +15 V. By changing V{sub TG} from negative to positive, the Fermi level (E{sub F}) shifts toward conduction band edge (E{sub C}), which substantially controls the conversion of neutral vacancy to charged one (V{sub O} → V{sub O}{sup +}/V{sub O}{sup 2+} + e{sup −}/2e{sup −}), peroxide (O{sub 2}{sup 2−}) formation or conversion of ionized interstitial (O{sub i}{sup 2−}) to neutral interstitial (O{sub i}), thus electron concentration at conduction band. With increasing the exposure time, more carriers are generated, and thus, maximum photocurrent increases until being saturated. After negative bias illumination stress, the transfer curve shows −2.7 V shift at V{sub TG} = −15 V, which gradually decreases to −0.42 V shift at V{sub TG} = +15 V. It clearly reveals that the position of electron quasi-Fermi level controls the formation of donor defects (V{sub O}{sup +}/V{sub O}{sup 2+}/O{sub 2}{sup 2−}/O{sub i}) and/or hole trapping in the a-IGZO /interfaces.

  20. Microstructural dependence on relevant physical-mechanical properties on SiO2-Na2O-CaO-P2O5 biological glasses.

    Science.gov (United States)

    Rajendran, V; Begum, A Nishara; Azooz, M A; el Batal, F H

    2002-11-01

    Bioactive glasses of the system SiO2-Na2O-CaO-P2O5 have been prepared by the normal melting and annealing technique. The elastic moduli, attenuation, Vickers hardness, fracture toughness and fracture surface energy have been obtained using the known method at room temperature. The temperature dependence of elastic moduli and attenuation measurements have been extended over a wide range of temperature from 150 to 500 K. The SiO2 content dependence of velocities, attenuation, elastic moduli, and other parameters show an interesting observation at 45 wt% of SiO2 by exhibiting an anomalous behaviour. A linear relation is developed for Tg, which explores the influence of Na2O on SiO2-Na2O-CaO-P2O5 bioactive glasses. The measured hardness, fracture toughness and fracture surface energy show a linear relation with Young's modulus. It is also interesting to note that the observed results are functions of polymerisation and the number of non-bridging oxygens (NBO) prevailing in the network with change in SiO2 content. The temperature dependence of velocities, attenuation and elastic moduli show the existence of softening in the glass network structure as temperature increases.

  1. Adhesion of Y2O3-Al2O3-SiO2 coatings to typical aerospace substrates

    International Nuclear Information System (INIS)

    Marraco-Borderas, C.; Nistal, A.; Garcia, E.; Sainz, M.A.; Martin de la Escalera, F.; Essa, Y.; Miranzo, P.

    2016-01-01

    High performance lightweight materials are required in the aerospace industry. Silicon carbide, carbon fiber reinforced carbon and slicon carbide composites comply with those requirements but they suffer from oxidation at the high temperature of the service conditions. One of the more effective approaches to prevent this problem is the use of protecting ceramic coatings, where the good adhesion between substrates and coatings are paramount to guarantee the optimal protection performance. In the present work, the adhesion between those substrates and glass coatings of the Y2O3-Al2O3-SiO2 system processed by oxyacetylene flame spraying is analyzed. Increasing load scratch tests are employed for determining the failure type, maximum load and their relation with the elastic and mechanical properties of the coatings. The results points to the good adhesion of the coatings to silicon carbide and carbon fibre reinforced silicon carbide while the carbon fiber reinforced carbon is not a suitable material to be coated. (Author)

  2. The effect of rare earth dopants on the structure, surface texture and photocatalytic properties of TiO2-SiO2 prepared by sol-gel method

    International Nuclear Information System (INIS)

    Mohamed, R.M.; Mkhalid, I.A.

    2010-01-01

    The sol-gel method was successfully used to prepare a series of TiO 2 -SiO 2 and rare earth (RE) (La 3+ , Nd 3+ , Sm 3+ , Gd 3+ )-doped TiO 2 -SiO 2 nanoparticles at a doping level of 3 atomic percent. The structural features of parent TiO 2 -SiO 2 and RE-TiO 2 -SiO 2 fired at 550 o C have been investigated by XRD, UV-diffuse reflection, SEM and nitrogen adsorption measurements at -196 o C. XRD data verified the formation of typical characteristic anatase form in all the prepared RE-doped TiO 2 -SiO 2 samples. In comparison with the pure TiO 2 -SiO 2 samples (ca. 35 nm in diameter), the RE-TiO 2 -SiO 2 samples have relatively small particle size indicating that the doping with RE metal ions can improve the particle morphology, and retard the grain growth of TiO 2 -SiO 2 during heat treatment. The results indicated that Gd 3+ doped TiO 2 -SiO 2 has the lowest bandgap and particle size compared with pure TiO 2 -SiO 2 and other nanoparticles of RE-doped TiO 2 -SiO 2 . The highest surface area (S BET ) and pore volume (V p ) values were recorded for Gd-TiO 2 -SiO 2 as well. The effect of doping on the photoactivity was evaluated by the photocatalytic degradation of EDTA as a probe reaction. Among all the pure and RE-doped TiO 2 -SiO 2 , Gd 3+ -TiO 2 -SiO 2 performed the highest catalytic activity towards the tested reaction. That might be due to its special characteristics of particle size, surface texture and bandgap properties. Details of the synthesis procedure and results of the characterization studies of the produced RE-TiO 2 -SiO 2 are presented in this paper.

  3. Efficient VEGF targeting delivery of DOX using Bevacizumab conjugated SiO2@LDH for anti-neuroblastoma therapy.

    Science.gov (United States)

    Zhu, Rongrong; Wang, Zhaoqi; Liang, Peng; He, Xiaolie; Zhuang, Xizhen; Huang, Ruiqi; Wang, Mei; Wang, Qigang; Qian, Yechang; Wang, Shilong

    2017-11-01

    Vascular endothelial growth factor (VEGF) plays an important role in angiogenesis and is highly expressed in carcinoma, which make it an important target for tumor targeting therapy. Neuroblastoma is the main cause for cancer-related death in children. Like most solid tumors, it is also accompanied with the overexpression of VEGF. Doxorubicin Hydrochloride (DOX), a typical chemotherapeutic agent, exhibits efficient anticancer activities for various cancers. However, DOX, without targeting ability, usually causes severe damage to normal tissues. To overcome the shortages, we designed a novel nano-composite, which is Bevacizumab (Bev) modified SiO 2 @LDH nanoparticles (SiO 2 @LDH-Bev), loading with DOX to achieve targeting ability and curative efficiency. SiO 2 @LDH-DOX and SiO 2 @LDH-Bev-DOX nanoparticles were synthesized and the physicochemical properties were characterized by TEM detection, Zeta potential analysis, FTIR, Raman and XPS analysis. Then in vitro and in vivo anti-neuroblastoma efficiency, targeting ability and mechanisms of anti-carcinoma and anti-angiogenesis of SiO 2 @LDH-Bev-DOX were explored. Our results indicated that we obtained the core-shell structure SiO 2 @LDH-Bev with an average diameter of 253±10nm and the amount of conjugated Bev was 4.59±0.38μg/mg SiO 2 @LDH-Bev. SiO 2 @LDH-Bev-DOX could improve the cellular uptake and the targeting effect of DOX to brain and tumor, enhance the anti-neuroblastoma and anti-angiogenesis efficiency both in vitro and in vivo, and alleviate side effects of DOX sharply, especially hepatic injury. In addition, we also demonstrated that angiogenesis inhibitory effect was mediated by DOX and VEGF triggered signal pathways, including PI3K/Akt, Raf/MEK/ERK, and adhesion related pathways. In summary, SiO 2 @LDH-Bev could be a potential VEGF targeting nanocarrier applied in VEGF positive cancer therapy. This paper explored that a novel core-shell structure nanomaterial SiO 2 @LDH and modified SiO 2 @LDH with

  4. Effect of Dielectric Interface on the Performance of MoS2 Transistors.

    Science.gov (United States)

    Li, Xuefei; Xiong, Xiong; Li, Tiaoyang; Li, Sichao; Zhang, Zhenfeng; Wu, Yanqing

    2017-12-27

    Because of their wide bandgap and ultrathin body properties, two-dimensional materials are currently being pursued for next-generation electronic and optoelectronic applications. Although there have been increasing numbers of studies on improving the performance of MoS 2 field-effect transistors (FETs) using various methods, the dielectric interface, which plays a decisive role in determining the mobility, interface traps, and thermal transport of MoS 2 FETs, has not been well explored and understood. In this article, we present a comprehensive experimental study on the effect of high-k dielectrics on the performance of few-layer MoS 2 FETs from 300 to 4.3 K. Results show that Al 2 O 3 /HfO 2 could boost the mobility and drain current. Meanwhile, MoS 2 transistors with Al 2 O 3 /HfO 2 demonstrate a 2× reduction in oxide trap density compared to that of the devices with the conventional SiO 2 substrate. Also, we observe a negative differential resistance effect on the device with 1 μm-channel length when using conventional SiO 2 as the gate dielectric due to self-heating, and this is effectively eliminated by using the Al 2 O 3 /HfO 2 gate dielectric. This dielectric engineering provides a highly viable route to realizing high-performance transition metal dichalcogenide-based FETs.

  5. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  6. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    International Nuclear Information System (INIS)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-01-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiO x layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W/L=10 μm/50 μm) fabricated on glass exhibited a high field-effect mobility of 35.8 cm 2 /V s, a subthreshold gate swing value of 0.59 V/decade, a thrseshold voltage of 5.9 V, and an I on/off ratio of 4.9x10 6 , which is acceptable for use as the switching transistor of an active-matrix TFT backplane

  7. Water droplet behavior on superhydrophobic SiO2 nanocomposite films during icing/deicing cycles

    NARCIS (Netherlands)

    Lazauskas, A.; Guobiene, A.; Prosycevas, I.; Baltrusaitis, V.; Grigaliunas, V.; Narmontas, P.; Baltrusaitis, Jonas

    2013-01-01

    This work investigates water droplet behavior on superhydrophobic (water contact angle value of 162 ± 1°) SiO2 nanocomposite films subjected to repetitive icing/deicing treatments, changes in SiO2 nanocomposite film surface morphology and their non-wetting characteristics. During the experiment,

  8. SiO2 Antireflection Coatings Fabricated by Electron-Beam Evaporation for Black Monocrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Minghua Li

    2014-01-01

    Full Text Available In this work we prepared double-layer antireflection coatings (DARC by using the SiO2/SiNx:H heterostructure design. SiO2 thin films were deposited by electron-beam evaporation on the conventional solar cell with SiNx:H single-layer antireflection coatings (SARC, while to avoid the coverage of SiO2 on the front side busbars, a steel mask was utilized as the shelter. The thickness of the SiNx:H as bottom layer was fixed at 80 nm, and the varied thicknesses of the SiO2 as top layer were 105 nm and 122 nm. The results show that the SiO2/SiNx:H DARC have a much lower reflectance and higher external quantum efficiency (EQE in short wavelengths compared with the SiNx:H SARC. A higher energy conversion efficiency of 17.80% was obtained for solar cells with SiO2 (105 nm/SiNx:H (80 nm DARC, an absolute conversion efficiency increase of 0.32% compared with the conventional single SiNx:H-coated cells.

  9. Capacitance-voltage characteristics of MOS capacitors with Ge nanocrystals embedded in ZrO2 gate material

    International Nuclear Information System (INIS)

    Lee, Hye-Ryoung; Choi, Samjong; Cho, Kyoungah; Kim, Sangsig

    2007-01-01

    Capacitance versus voltage (C-V) curves of Ge-nanocrystals (NCs)-embedded metal-oxide-semiconductor (MOS) capacitors are characterized in this work. Ge NCs were formed in 20-nm thick ZrO 2 gate layers by ion implantation and subsequent annealing procedures. The formation of the Ge NCs in the ZrO 2 gate layers was confirmed by high-resolution transmission electron microscopy and energy dispersive spectroscopy. The C-V curves obtained from a representative MOS capacitor embedded with the Ge NCs exhibit a 3 V memory window as bias voltage varied from 9 to - 9 V and then back to the initial positive voltage, whereas MOS capacitors without Ge NCs show negligible memory windows at the same voltage range. This indicates the presence of charge storages in the Ge NCs. The counterclockwise hysteresis observed from the C-V curves implies that electrons are trapped in Ge NCs presented inside the ZrO 2 gate layer. And our experimental results obtained from capacitance versus time measurements show good retention characteristics of Ge-NCs-embedded MOS capacitors with ZrO 2 gate material for the application of NFGM

  10. Transparently wrap-gated semiconductor nanowire arrays for studies of gate-controlled photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Nylund, Gustav; Storm, Kristian; Torstensson, Henrik; Wallentin, Jesper; Borgström, Magnus T.; Hessman, Dan; Samuelson, Lars [Solid State Physics, Nanometer Structure Consortium, Lund University, Box 118, S-221 00 Lund (Sweden)

    2013-12-04

    We present a technique to measure gate-controlled photoluminescence (PL) on arrays of semiconductor nanowire (NW) capacitors using a transparent film of Indium-Tin-Oxide (ITO) wrapping around the nanowires as the gate electrode. By tuning the wrap-gate voltage, it is possible to increase the PL peak intensity of an array of undoped InP NWs by more than an order of magnitude. The fine structure of the PL spectrum reveals three subpeaks whose relative peak intensities change with gate voltage. We interpret this as gate-controlled state-filling of luminescing quantum dot segments formed by zincblende stacking faults in the mainly wurtzite NW crystal structure.

  11. A novel partial SOI LDMOSFET with periodic buried oxide for breakdown voltage and self heating effect enhancement

    Science.gov (United States)

    Jamali Mahabadi, S. E.; Rajabi, Saba; Loiacono, Julian

    2015-09-01

    In this paper a partial silicon on insulator (PSOI) lateral double diffused metal oxide semiconductor field effect transistor (LDMOSFET) with periodic buried oxide layer (PBO) for enhancing breakdown voltage (BV) and self-heating effects (SHEs) is proposed for the first time. This new structure is called periodic buried oxide partial silicon on insulator (PBO-PSOI). In this structure, periodic small pieces of SiO2 were used as the buried oxide (BOX) layer in PSOI to modulate the electric field in the structure. It was demonstrated that the electric field is distributed more evenly by producing additional electric field peaks, which decrease the common peaks near the drain and gate junctions in the PBO-PSOI structure. Hence, the area underneath the electric field curve increases which leads to higher breakdown voltage. Also a p-type Si window was introduced in the source side to force the substrate to share the vertical voltage drop, leading to a higher vertical BV. Furthermore, the Si window under the source and those between periodic pieces of SiO2 create parallel conduction paths between the active layer and substrate thereby alleviating the SHEs. Simulations with the two dimensional ATLAS device simulator from the Silvaco suite of simulation tools show that the BV of PBO-PSOI is 100% higher than that of the conventional partial SOI (C-PSOI) structure. Furthermore the PBO-PSOI structure alleviates SHEs to a greater extent than its C-PSOI counterpart. The achieved drain current for the PBO-PSOI structure (100 μA), at drain-source voltage of VDS = 100 V and gate-source voltage of VGS = 25 V, is shown to be significantly larger than that in C-PSOI and fully depleted SOI (FD-SOI) structures (87 μA and 51 μA respectively). Drain current can be further improved at the expense of BV by increasing the doping of the drift region.

  12. TaxHf1−xB2–SiC multiphase oxidation protective coating for SiC-coated carbon/carbon composites

    International Nuclear Information System (INIS)

    Ren, Xuanru; Li, Hejun; Fu, Qiangang; Li, Kezhi

    2014-01-01

    Highlights: • Ta x Hf 1−x B 2 –SiC coating was prepared on SiC coated C/C by in-situ reaction method. • TaB 2 and HfB 2 were introduced in the form of solid solution Ta x Hf 1−x B 2 . • The coating could protect C/C for 1480 h with only 0.57% mass loss at 1773 K in air. • Oxidation layer consists of out Ta–Si–O compound layer and inner SiO 2 glass layer. • Ta–Si–O compound silicate layer presents a better stability than SiO 2 glass layer. - Abstract: A Ta x Hf 1−x B 2 –SiC coating was prepared by in-situ reaction method on SiC coated C/C composites. Ta x Hf 1−x B 2 phase is the form of solid solution between TaB 2 and HfB 2 . Isothermal oxidation behavior at 1773 K and ablation behavior of the coated C/C were tested. Ta x Hf 1−x B 2 –SiC/SiC coating could protect the C/C from oxidation at 1773 K for 1480 h and ablation above 2200 K for 40 s. During oxidation, oxides of Ta and Hf atoms exist as “pinning phases” in the compound glass layer consisted of outer Ta–Si–O compound silicate layer and inner SiO 2 glass layer, which was responsible for the excellent oxidation resistance

  13. Design and Synthesis of Hierarchical SiO2@C/TiO2 Hollow Spheres for High-Performance Supercapacitors.

    Science.gov (United States)

    Zhang, Ying; Zhao, Yan; Cao, Shunsheng; Yin, Zhengliang; Cheng, Li; Wu, Limin

    2017-09-06

    TiO 2 has been widely investigated as an electrode material because of its long cycle life and good durability, but the relatively low theoretical capacity restricts its practical application. Herein, we design and synthesize novel hierarchical SiO 2 @C/TiO 2 (HSCT) hollow spheres via a template-directed method. These unique HSCT hollow spheres combine advantages from both TiO 2 such as cycle stability and SiO 2 with a high accessible area and ionic transport. In particular, the existence of a C layer is able to enhance the electrical conductivity. The SiO 2 layer with a porous structure can increase the ion diffusion channels and accelerate the ion transfer from the outer to the inner layers. The electrochemical measurements demonstrate that the HSCT-hollow-sphere-based electrode manifests a high specific capacitance of 1018 F g -1 at 1 A g -1 which is higher than those for hollow TiO 2 (113 F g -1 ) and SiO 2 /TiO 2 (252 F g -1 ) electrodes, and substantially higher than those of all the previously reported TiO 2 -based electrodes.

  14. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    Science.gov (United States)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  15. Synthesis of unidirectional structures of SiO2-Ag using Au nanoparticles as nucleation centers

    International Nuclear Information System (INIS)

    Villa S, G.; Mendoza A, D.; Gutierrez W, C.; Perez H, R.

    2008-01-01

    This paper reports a method to synthesize Ag unidirectional structures covered with SiO 2 by sol-gel technique using Au nanoparticles as nucleation centers of the unidirectional structures. In the first phase unidirectional structures of SiO 2 -Ag CI are obtained by sol-gel, using TEOS as a precursor of metallic structures (Ag) and the incorporation of Au nanoparticles as nucleation centers for growth of unidirectional structures. In the second stage, one-way systems are subjected to thermal treatment in H 2 atmosphere for obtain AG 0 particles through mechanisms that diffusion and coalescence of silver to form structures that have a thin cover of SiO 2 . Analysis by scanning electron microscopy, transmission and atomic force microscopy allowed to determine the chemical composition and microstructural properties of unidirectional systems SiO 2 -Ag. (Author)

  16. Positron annihilation spectroscopy of the interface between nanocrystalline Si and SiO2

    International Nuclear Information System (INIS)

    Pi, X.D.; Coleman, P.G.; Harding, R.; Davies, G.; Gwilliam, R.M.; Sealy, B.J.

    2003-01-01

    Positron annihilation spectroscopy has been employed to study changes in the interface region between nanocrystalline Si and SiO 2 , following annealing between 400 deg. C and 900 deg. C in nitrogen or oxygen. With the support of photoluminescence spectroscopy we find that nitrogen and oxygen are trapped in voids at the interface at low temperatures. At temperatures above 700 deg. C both nitrogen and oxygen react with Si nanocrystals, and the resulting volume increase introduces stress in the SiO 2 matrix which is relaxed by the shrinkage of its intrinsic open volume. Oxygen appears to enhance Si diffusion in SiO 2 so that the agglomeration of Si nanocrystals occurs more readily during annealing in oxygen than in nitrogen

  17. Microstructural, thermal, physical and mechanical behavior of the self compacting concrete containing SiO2 nanoparticles

    International Nuclear Information System (INIS)

    Nazari, Ali; Riahi, Shadi

    2010-01-01

    Research highlights: → TiO 2 nanoparticles effects on flexural strength of self compacting concrete. → Physical and microstructural consideration. → Mechanical tests. → Thermal analysis. → Porosimetry. - Abstract: In the present study, flexural strength, thermal properties and microstructure of self compacting concrete with different amount of SiO 2 nanoparticles has been investigated. SiO 2 nanoparticles with the average particle size of 15 nm were partially added to self compacting concrete and various behaviors of the specimens have been measured. The results indicate that SiO 2 nanoparticles are able to improve the flexural strength of self compacting concrete and recover the negative effects of superplasticizer on flexural strength of the specimens. SiO 2 nanoparticle as a partial replacement of cement up to 4 wt% could accelerate C-S-H gel formation as a result of the increased crystalline Ca(OH) 2 amount at the early ages of hydration. The increased the SiO 2 nanoparticles' content more than 4 wt%, causes the reduced the flexural strength because of unsuitable dispersion of nanoparticles in the concrete matrix. Accelerated peak appearance in conduction calorimetry tests, more weight loss in thermogravimetric analysis and more rapid appearance of peaks related to hydrated products in X-ray diffraction results, all also indicate that SiO 2 nanoparticles up to 4 wt% could improve the mechanical and physical properties of the specimens. Finally, SiO 2 nanoparticles could improve the pore structure of concrete and shift the distributed pores to harmless and few-harm pores.

  18. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  19. Temperature stability of c-axis oriented LiNbO3/SiO2/Si thin film layered structures

    International Nuclear Information System (INIS)

    Tomar, Monika; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K.

    2001-01-01

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO 3 thin film layered structures on passivated silicon (SiO 2 /Si) substrate with and without a non-piezoelectric SiO 2 overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO 2 overlayer on LiNbO 3 film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K 2 =3.45% and a zero TCD can be obtained in the SiO 2 /LiNbO 3 /SiO 2 /Si structure with a 0.235λ thick LiNbO 3 layer sandwiched between 0.1λ thick SiO 2 layers. (author)

  20. Crystallization behavior of (1 - x)Li2O.xNa2O.Al2O3.4SiO2 glasses

    International Nuclear Information System (INIS)

    Wang, Moo-Chin; Cheng, Chih-Wei; Chang, Kuo-Ming; Hsi, Chi-Shiung

    2010-01-01

    The crystallization behavior of the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses has been investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), electron diffraction (ED) and energy dispersive spectroscopy (EDS). The crystalline phase was composed of β-spodumene. The isothermal crystallization kinetics of β-spodumene from the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses has also been studied by a quantitative X-ray diffraction method. The activation energy of β-spodumene formation decreases from 359.2 to 317.8 kJ/mol when the Na 2 O content increases from 0 to 0.4 mol and it increases from 317.8 to 376.9 kJ/mol when the Na 2 O content increases from 0.4 to 0.6 mol. The surface nucleation and plate-like growth were dominant in the crystallization of the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses.