WorldWideScience

Sample records for sio2 gate insulators

  1. Investigation of pentacene growth on SiO2 gate insulator after photolithography for nitrogen-doped LaB6 bottom-contact electrode formation

    Science.gov (United States)

    Maeda, Yasutaka; Hiroki, Mizuha; Ohmi, Shun-ichiro

    2018-04-01

    Nitrogen-doped (N-doped) LaB6 is a candidate material for the bottom-contact electrode of n-type organic field-effect transistors (OFETs). However, the formation of a N-doped LaB6 electrode affects the surface morphology of a pentacene film. In this study, the effects of surface treatments and a N-doped LaB6 interfacial layer (IL) were investigated to improve the pentacene film quality after N-doped LaB6 electrode patterning with diluted HNO3, followed by resist stripping with acetone and methanol. It was found that the sputtering damage during N-doped LaB6 deposition on a SiO2 gate insulator degraded the crystallinity of pentacene. The H2SO4 and H2O2 (SPM) and diluted HF treatments removed the damaged layer on the SiO2 gate insulator surface. Furthermore, the N-doped LaB6 IL improved the crystallinity of pentacene and realized dendritic grain growth. Owing to these surface treatments, the hole mobility improved from 2.8 × 10-3 to 0.11 cm2/(V·s), and a steep subthreshold swing of 78 mV/dec for the OFET with top-contact configuration was realized in air even after bottom-contact electrode patterning.

  2. Nonvolatile Memories Using Quantum Dot (QD) Floating Gates Assembled on II-VI Tunnel Insulators

    Science.gov (United States)

    Suarez, E.; Gogna, M.; Al-Amoody, F.; Karmakar, S.; Ayers, J.; Heller, E.; Jain, F.

    2010-07-01

    This paper presents preliminary data on quantum dot gate nonvolatile memories using nearly lattice-matched ZnS/Zn0.95Mg0.05S/ZnS tunnel insulators. The GeO x -cladded Ge and SiO x -cladded Si quantum dots (QDs) are self-assembled site-specifically on the II-VI insulator grown epitaxially over the Si channel (formed between the source and drain region). The pseudomorphic II-VI stack serves both as a tunnel insulator and a high- κ dielectric. The effect of Mg incorporation in ZnMgS is also investigated. For the control gate insulator, we have used Si3N4 and SiO2 layers grown by plasma- enhanced chemical vapor deposition.

  3. Plasma Deposited SiO2 for Planar Self-Aligned Gate Metal-Insulator-Semiconductor Field Effect Transistors on Semi-Insulating InP

    Science.gov (United States)

    Tabory, Charles N.; Young, Paul G.; Smith, Edwyn D.; Alterovitz, Samuel A.

    1994-01-01

    Metal-insulator-semiconductor (MIS) field effect transistors were fabricated on InP substrates using a planar self-aligned gate process. A 700-1000 A gate insulator of Si02 doped with phosphorus was deposited by a direct plasma enhanced chemical vapor deposition at 400 mTorr, 275 C, 5 W, and power density of 8.5 MW/sq cm. High frequency capacitance-voltage measurements were taken on MIS capacitors which have been subjected to a 700 C anneal and an interface state density of lxl0(exp 11)/eV/cq cm was found. Current-voltage measurements of the capacitors show a breakdown voltage of 107 V/cm and a insulator resistivity of 10(exp 14) omega cm. Transistors were fabricated on semi-insulating InP using a standard planar self-aligned gate process in which the gate insulator was subjected to an ion implantation activation anneal of 700 C. MIS field effect transistors gave a maximum extrinsic transconductance of 23 mS/mm for a gate length of 3 microns. The drain current drift saturated at 87.5% of the initial current, while reaching to within 1% of the saturated value after only 1x10(exp 3). This is the first reported viable planar InP self-aligned gate transistor process reported to date.

  4. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  5. Silicon dioxide with a silicon interfacial layer as an insulating gate for highly stable indium phosphide metal-insulator-semiconductor field effect transistors

    Science.gov (United States)

    Kapoor, V. J.; Shokrani, M.

    1991-01-01

    A novel gate insulator consisting of silicon dioxide (SiO2) with a thin silicon (Si) interfacial layer has been investigated for high-power microwave indium phosphide (InP) metal-insulator-semiconductor field effect transistors (MISFETs). The role of the silicon interfacial layer on the chemical nature of the SiO2/Si/InP interface was studied by high-resolution X-ray photoelectron spectroscopy. The results indicated that the silicon interfacial layer reacted with the native oxide at the InP surface, thus producing silicon dioxide, while reducing the native oxide which has been shown to be responsible for the instabilities in InP MISFETs. While a 1.2-V hysteresis was present in the capacitance-voltage (C-V) curve of the MIS capacitors with silicon dioxide, less than 0.1 V hysteresis was observed in the C-V curve of the capacitors with the silicon interfacial layer incorporated in the insulator. InP MISFETs fabricated with the silicon dioxide in combination with the silicon interfacial layer exhibited excellent stability with drain current drift of less than 3 percent in 10,000 sec, as compared to 15-18 percent drift in 10,000 sec for devices without the silicon interfacial layer. High-power microwave InP MISFETs with Si/SiO2 gate insulators resulted in an output power density of 1.75 W/mm gate width at 9.7 GHz, with an associated power gain of 2.5 dB and 24 percent power added efficiency.

  6. SiO2/AlON stacked gate dielectrics for AlGaN/GaN MOS heterojunction field-effect transistors

    Science.gov (United States)

    Watanabe, Kenta; Terashima, Daiki; Nozaki, Mikito; Yamada, Takahiro; Nakazawa, Satoshi; Ishida, Masahiro; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    Stacked gate dielectrics consisting of wide bandgap SiO2 insulators and thin aluminum oxynitride (AlON) interlayers were systematically investigated in order to improve the performance and reliability of AlGaN/GaN metal–oxide–semiconductor (MOS) devices. A significantly reduced gate leakage current compared with that in a single AlON layer was achieved with these structures, while maintaining the superior thermal stability and electrical properties of the oxynitride/AlGaN interface. Consequently, distinct advantages in terms of the reliability of the gate dielectrics, such as an improved immunity against electron injection and an increased dielectric breakdown field, were demonstrated for AlGaN/GaN MOS capacitors with optimized stacked structures having a 3.3-nm-thick AlON interlayer.

  7. Improved linearity and reliability in GaN metal-oxide-semiconductor high-electron-mobility transistors using nanolaminate La2O3/SiO2 gate dielectric

    Science.gov (United States)

    Hsu, Ching-Hsiang; Shih, Wang-Cheng; Lin, Yueh-Chin; Hsu, Heng-Tung; Hsu, Hisang-Hua; Huang, Yu-Xiang; Lin, Tai-Wei; Wu, Chia-Hsun; Wu, Wen-Hao; Maa, Jer-Shen; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2016-04-01

    Improved device performance to enable high-linearity power applications has been discussed in this study. We have compared the La2O3/SiO2 AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with other La2O3-based (La2O3/HfO2, La2O3/CeO2 and single La2O3) MOS-HEMTs. It was found that forming lanthanum silicate films can not only improve the dielectric quality but also can improve the device characteristics. The improved gate insulation, reliability, and linearity of the 8 nm La2O3/SiO2 MOS-HEMT were demonstrated.

  8. Improving thermal insulation of TC4 using YSZ-based coating and SiO2 aerogel

    Directory of Open Access Journals (Sweden)

    Lei Jin

    2015-04-01

    Full Text Available In this paper, air plasmas spray (APS was used to prepare YSZ and Sc2O3–YSZ (ScYSZ coating in order to improve the thermal insulation ability of TC4 alloy. SiO2 aerogel was also synthesized and affixed on TC4 titanium alloy to inhabit thermal flow. The microstructures, phase compositions and thermal insulation performance of three coatings were analyzed in detail. The results of thermal diffusivity test by a laser flash method showed that the thermal diffusivities of YSZ, Sc2O3–YSZ and SiO2 aerogel are 0.553, 0.539 and 0.2097×10−6 m2/s, respectively. Then, the thermal insulation performances of three kinds of coating were investigated from 20 °C to 400 °C using high infrared radiation heat flux technology. The experimental results indicated that the corresponding temperature difference between the top TC4 alloy (400 °C and the bottom surface of YSZ is 41.5 °C for 0.6 mm thickness coating. For 1 mm thickness coating, the corresponding temperature difference between the top TC4 alloys (400 °C and the bottom surface of YSZ, ScYSZ, SiO2 aerogel three specimens is 54, 54.6 and 208 °C, respectively. The coating thickness and species were found to influence the heat insulation ability. In these materials, YSZ and ScYSZ exhibited a little difference for heat insulation behavior. However, SiO2 aerogel was the best one among them and it can be taken as protection material on TC4 alloys. In outer space, SiO2 aerogel can meet the need of thermal insulation of TC4 of high-speed aircraft.

  9. Magnetic gating of a 2D topological insulator

    Science.gov (United States)

    Dang, Xiaoqian; Burton, J. D.; Tsymbal, Evgeny Y.

    2016-09-01

    Deterministic control of transport properties through manipulation of spin states is one of the paradigms of spintronics. Topological insulators offer a new playground for exploring interesting spin-dependent phenomena. Here, we consider a ferromagnetic ‘gate’ representing a magnetic adatom coupled to the topologically protected edge state of a two-dimensional (2D) topological insulator to modulate the electron transmission of the edge state. Due to the locked spin and wave vector of the transport electrons the transmission across the magnetic gate depends on the mutual orientation of the adatom magnetic moment and the current. If the Fermi energy matches an exchange-split bound state of the adatom, the electron transmission can be blocked due to the full back scattering of the incident wave. This antiresonance behavior is controlled by the adatom magnetic moment orientation so that the transmission of the edge state can be changed from 1 to 0. Expanding this consideration to a ferromagnetic gate representing a 1D chain of atoms shows a possibility to control the spin-dependent current of a strip of a 2D topological insulator by magnetization orientation of the ferromagnetic gate.

  10. Fabrication of amorphous InGaZnO thin-film transistor with solution processed SrZrO3 gate insulator

    Science.gov (United States)

    Takahashi, Takanori; Oikawa, Kento; Hoga, Takeshi; Uraoka, Yukiharu; Uchiyama, Kiyoshi

    2017-10-01

    In this paper, we describe a method of fabrication of thin film transistors (TFTs) with high dielectric constant (high-k) gate insulator by a solution deposition. We chose a solution processed SrZrO3 as a gate insulator material, which possesses a high dielectric constant of 21 with smooth surface. The IGZO-TFT with solution processed SrZrO3 showed good switching property and enough saturation features, i.e. field effect mobility of 1.7cm2/Vs, threshold voltage of 4.8V, sub-threshold swing of 147mV/decade, and on/off ratio of 2.3×107. Comparing to the TFTs with conventional SiO2 gate insulator, the sub-threshold swing was improved by smooth surface and high field effect due to the high dielectric constant of SrZrO3. These results clearly showed that use of solution processed high-k SrZrO3 gate insulator could improve sub-threshold swing. In addition, the residual carbon originated from organic precursors makes TFT performances degraded.

  11. Top-gate pentacene-based organic field-effect transistor with amorphous rubrene gate insulator

    Science.gov (United States)

    Hiroki, Mizuha; Maeda, Yasutaka; Ohmi, Shun-ichiro

    2018-02-01

    The scaling of organic field-effect transistors (OFETs) is necessary for high-density integration and for this, OFETs with a top-gate configuration are required. There have been several reports of damageless lithography processes for organic semiconductor or insulator layers. However, it is still difficult to fabricate scaled OFETs with a top-gate configuration. In this study, the lift-off process and the device characteristics of the OFETs with a top-gate configuration utilizing an amorphous (α) rubrene gate insulator were investigated. We have confirmed that α-rubrene shows an insulating property, and its extracted linear mobility was 2.5 × 10-2 cm2/(V·s). The gate length and width were 10 and 60 µm, respectively. From these results, the OFET with a top-gate configuration utilizing an α-rubrene gate insulator is promising for the high-density integration of scaled OFETs.

  12. Improving thermal insulation of TC4 using YSZ-based coating and SiO2 aerogel

    OpenAIRE

    Jin, Lei; Li, Peizhong; Zhou, Haibin; Zhang, Wei; Zhou, Guodong; Wang, Chun

    2015-01-01

    In this paper, air plasmas spray (APS) was used to prepare YSZ and Sc2O3–YSZ (ScYSZ) coating in order to improve the thermal insulation ability of TC4 alloy. SiO2 aerogel was also synthesized and affixed on TC4 titanium alloy to inhabit thermal flow. The microstructures, phase compositions and thermal insulation performance of three coatings were analyzed in detail. The results of thermal diffusivity test by a laser flash method showed that the thermal diffusivities of YSZ, Sc2O3–YSZ and SiO2...

  13. Valence band variation in Si (110) nanowire induced by a covered insulator

    International Nuclear Information System (INIS)

    Hong-Hua, Xu; Xiao-Yan, Liu; Yu-Hui, He; Gang, Du; Ru-Qi, Han; Jin-Feng, Kang; Chun, Fan; Ai-Dong, Sun

    2010-01-01

    In this work, we investigate strain effects induced by the deposition of gate dielectrics on the valence band structures in Si (110) nanowire via the simulation of strain distribution and the calculation of a generalized 6×6k·p strained valence band. The nanowire is surrounded by the gate dielectric. Our simulation indicates that the strain of the amorphous SiO 2 insulator is negligible without considering temperature factors. On the other hand, the thermal residual strain in a nanowire with amorphous SiO 2 insulator which has negligible lattice misfit strain pushes the valence subbands upwards by chemical vapour deposition and downwards by thermal oxidation treatment. In contrast with the strain of the amorphous SiO 2 insulator, the strain of the HfO 2 gate insulator in Si (110) nanowire pushes the valence subbands upwards remarkably. The thermal residual strain by HfO 2 insulator contributes to the up-shifting tendency. Our simulation results for valence band shifting and warping in Si nanowires can provide useful guidance for further nanowire device design. (classical areas of phenomenology)

  14. High performance top-gated indium–zinc–oxide thin film transistors with in-situ formed HfO{sub 2} gate insulator

    Energy Technology Data Exchange (ETDEWEB)

    Song, Yang, E-mail: yang_song@brown.edu [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); Zaslavsky, A. [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States); Paine, D.C. [School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States)

    2016-09-01

    We report on top-gated indium–zinc–oxide (IZO) thin film transistors (TFTs) with an in-situ formed HfO{sub 2} gate dielectric insulator. Building on our previous demonstration of high-performance IZO TFTs with Al{sub 2}O{sub 3}/HfO{sub 2} gate dielectric, we now report on a one-step process, in which Hf is evaporated onto the 20 nm thick IZO channel, forming a partially oxidized HfO{sub x} layer, without any additional insulator in-between. After annealing in air at 300 °C, the in-situ reaction between partially oxidized Hf and IZO forms a high quality HfO{sub 2} gate insulator with a low interface trapped charge density N{sub TC} ~ 2.3 × 10{sup 11} cm{sup −2} and acceptably low gate leakage < 3 × 10{sup −7} A/cm{sup 2} at gate voltage V{sub G} = 1 V. The annealed TFTs with gate length L{sub G} = 50 μm have high mobility ~ 95 cm{sup 2}/V ∙ s (determined via the Y-function technique), high on/off ratio ~ 10{sup 7}, near-zero threshold voltage V{sub T} = − 0.02 V, and a subthreshold swing of 0.062 V/decade, near the theoretical limit. The on-current of our proof-of-concept TFTs is relatively low, but can be improved by reducing L{sub G}, indicating that high-performance top-gated HfO{sub 2}-isolated IZO TFTs can be fabricated using a single-step in-situ dielectric formation approach. - Highlights: • High-performance indium–zinc–oxide (IZO) thin film transistors (TFTs). • Single-step in-situ dielectric formation approach simplifies fabrication process. • During anneal, reaction between HfO{sub x} and IZO channel forms a high quality HfO{sub 2} layer. • Gate insulator HfO{sub 2} shows low interface trapped charge and small gate leakage. • TFTs have high mobility, near-zero threshold voltage, and a low subthreshold swing.

  15. Low-voltage organic field-effect transistors based on novel high-κ organometallic lanthanide complex for gate insulating materials

    Directory of Open Access Journals (Sweden)

    Qi Liu

    2014-08-01

    Full Text Available A novel high-κ organometallic lanthanide complex, Eu(tta3L (tta=2-thenoyltrifluoroacetonate, L = 4,5-pinene bipyridine, is used as gate insulating material to fabricate low-voltage pentacene field-effect transistors (FETs. The optimized gate insulator exhibits the excellent properties such as low leakage current density, low surface roughness, and high dielectric constant. When operated under a low voltage of −5 V, the pentacene FET devices show the attractive electrical performance, e.g. carrier mobility (μFET of 0.17 cm2 V−1 s−1, threshold voltage (Vth of −0.9 V, on/off current ratio of 5 × 103, and subthreshold slope (SS of 1.0 V dec−1, which is much better than that of devices obtained on conventional 300 nm SiO2 substrate (0.13 cm2 V−1 s−1, −7.3 V and 3.1 V dec−1 for μFET, Vth and SS value when operated at −30 V. These results indicate that this kind of high-κ organometallic lanthanide complex becomes a promising candidate as gate insulator for low-voltage organic FETs.

  16. High fluence swift heavy ion structure modification of the SiO{sub 2}/Si interface and gate insulator in 65 nm MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Yao [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Gao, Bo, E-mail: gaobo@scu.edu.cn [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Gong, Min [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Willis, Maureen [College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Yang, Zhimei [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); Guan, Mingyue [College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Li, Yun [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China)

    2017-04-01

    In this work, a study of the structure modification, induced by high fluence swift heavy ion radiation, of the SiO{sub 2}/Si structures and gate oxide interface in commercial 65 nm MOSFETs is performed. A key and novel point in this study is the specific use of the transmission electron microscopy (TEM) technique instead of the conventional atomic force microscope (AFM) or scanning electron microscope (SEM) techniques which are typically performed following the chemical etching of the sample to observe the changes in the structure. Using this method we show that after radiation, the appearance of a clearly visible thin layer between the SiO{sub 2} and Si is observed presenting as a variation in the TEM intensity at the interface of the two materials. Through measuring the EDX line scans we reveal that the Si:O ratio changed and that this change can be attributed to the migration of the Si towards interface after the Si-O bond is destroyed by the swift heavy ions. For the 65 nm MOSFET sample, the silicon substrate, the SiON insulator and the poly-silicon gate interfaces become blurred under the same irradiation conditions.

  17. Novel Quantum Dot Gate FETs and Nonvolatile Memories Using Lattice-Matched II-VI Gate Insulators

    Science.gov (United States)

    Jain, F. C.; Suarez, E.; Gogna, M.; Alamoody, F.; Butkiewicus, D.; Hohner, R.; Liaskas, T.; Karmakar, S.; Chan, P.-Y.; Miller, B.; Chandy, J.; Heller, E.

    2009-08-01

    This paper presents the successful use of ZnS/ZnMgS and other II-VI layers (lattice-matched or pseudomorphic) as high- k gate dielectrics in the fabrication of quantum dot (QD) gate Si field-effect transistors (FETs) and nonvolatile memory structures. Quantum dot gate FETs and nonvolatile memories have been fabricated in two basic configurations: (1) monodispersed cladded Ge nanocrystals (e.g., GeO x -cladded-Ge quantum dots) site-specifically self-assembled over the lattice-matched ZnMgS gate insulator in the channel region, and (2) ZnTe-ZnMgTe quantum dots formed by self-organization, using metalorganic chemical vapor-phase deposition (MOCVD), on ZnS-ZnMgS gate insulator layers grown epitaxially on Si substrates. Self-assembled GeO x -cladded Ge QD gate FETs, exhibiting three-state behavior, are also described. Preliminary results on InGaAs-on-InP FETs, using ZnMgSeTe/ZnSe gate insulator layers, are presented.

  18. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    Science.gov (United States)

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  19. Surface properties of SiO2 with and without H2O2 treatment as gate dielectrics for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of H2O2 treatment on the surface properties of SiO2 is studied. H2O2 treatment leads to the formation of Si(sbnd OH)x at the SiO2 surface that serves to reduce the number of trap states, inducing the shift of the Fermi level toward the conduction band minimum. H2O2 treatment also leads to a noticeable reduction in the value of the SiO2 capacitance per unit area. The effect of SiO2 layers with H2O2 treatment on the behavior of carrier transports for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. Experimental identification confirms that the shift of the threshold voltage towards negative gate-source voltages is due to the reduced number of trap states in SiO2 near the pentacene/SiO2 interface. The existence of a hydrogenated layer between pentacene and SiO2 leads to a change in the pentacene-SiO2 interaction, increasing the value of the carrier mobility.

  20. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.; Wang, H.; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2012-01-01

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  1. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.

    2012-09-10

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  2. The effect of the gate electrode on the C-V- characteristics of the structure M-TmF3-SiO2-Si

    International Nuclear Information System (INIS)

    Basily, R.R.

    1979-09-01

    The C-V characteristics of the structure M-TmF 3 -SiO 2 -Si, thermally treated at a temperature of 300 0 C for 15 minutes, were investigated. At higher temperatures to about 150 0 C, the hysteresis of the C-V characteristics is completely absent, whereas at room temperature hysteresis depends on the applied voltage and on the material of the gate electrode. The dependence of the flat band voltage shift on the applied voltage, the thickness of SiO 2 layer and the material of the gate electrode were measured. (author)

  3. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  4. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    Science.gov (United States)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  5. Versatile sputtering technology for Al2O3 gate insulators on graphene

    Directory of Open Access Journals (Sweden)

    Miriam Friedemann, Mirosław Woszczyna, André Müller, Stefan Wundrack, Thorsten Dziomba, Thomas Weimann and Franz J Ahlers

    2012-01-01

    Full Text Available We report a novel, sputtering-based fabrication method of Al2O3 gate insulators on graphene. Electrical performance of dual-gated mono- and bilayer exfoliated graphene devices is presented. Sputtered Al2O3 layers possess comparable quality to oxides obtained by atomic layer deposition with respect to a high relative dielectric constant of about 8, as well as low-hysteresis performance and high breakdown voltage. We observe a moderate carrier mobility of about 1000 cm2 V− 1 s−1 in monolayer graphene and 350 cm2 V− 1 s−1 in bilayer graphene, respectively. The mobility decrease can be attributed to the resonant scattering on atomic-scale defects, likely originating from the Al precursor layer evaporated prior to sputtering.

  6. Physical and Electrical Properties of SiO2 Layer Synthesized by Eco-Friendly Method

    Science.gov (United States)

    Kim, Jong-Woong; Kim, Young-Seok; Hong, Sung-Jei; Hong, Tae-Hwan; Han, Jeong-In

    2010-05-01

    SiO2 thin film has a wide range of applications, including insulation layers in microelectronic devices, such as semiconductors and flat panel displays, due to its advantageous characteristics. Herein, we developed a new eco-friendly method for manufacturing SiO2 nanoparticles and, thereby, SiO2 paste to be used in the digital printing process for the fabrication of SiO2 film. By excluding harmful Cl- and NO3- elements from the SiO2 nanoparticle synthetic process, we were able to lower the heat treatment temperature for the SiO2 precursor from 600 to 300 °C and the diameter of the final SiO2 nanoparticles to about 14 nm. The synthesized SiO2 nanoparticles were dispersed in an organic solvent with additives to make a SiO2 paste for feasibility testing. The SiO2 paste was printed onto a glass substrate to test the feasibility of using it for digital printing. The insulation resistance of the printed film was high enough for it to be used as an insulation layer for passivation.

  7. Thermal response of Ru electrodes in contact with SiO2 and Hf-based high-k gate dielectrics

    International Nuclear Information System (INIS)

    Wen, H.-C.; Lysaght, P.; Alshareef, H.N.; Huffman, C.; Harris, H.R.; Choi, K.; Senzaki, Y.; Luan, H.; Majhi, P.; Lee, B.H.; Campin, M. J.; Foran, B.; Lian, G.D.; Kwong, D.-L.

    2005-01-01

    A systematic experimental evaluation of the thermal stability of Ru metal gate electrodes in direct contact with SiO 2 and Hf-based dielectric layers was performed and correlated with electrical device measurements. The distinctly different interfacial reactions in the Ru/SiO 2 , Ru/HfO 2 , and Ru/HfSiO x film systems were observed through cross-sectional high-resolution transmission electron microscopy, high angle annular dark field scanning transmission electron microscopy with electron-energy-loss spectra, and energy dispersive x-ray spectra analysis. Ru interacted with SiO 2 , but remained stable on HfO 2 at 1000 deg. C. The onset of Ru/SiO 2 interfacial interactions is identified via silicon substrate pitting possibly from Ru diffusion into the dielectric in samples exposed to a 900 deg. C/10-s anneal. The dependence of capacitor device degradation with decreasing SiO 2 thickness suggests Ru diffuses through SiO 2 , followed by an abrupt, rapid, nonuniform interaction of ruthenium silicide as Ru contacts the Si substrate. Local interdiffusion detected on Ru/HfSiO x samples may be due to phase separation of HfSiO x into HfO 2 grains within a SiO 2 matrix, suggesting that SiO 2 provides a diffusion pathway for Ru. Detailed evidence consistent with a dual reaction mechanism for the Ru/SiO 2 system at 1000 deg. C is presented

  8. Structural Evaluation of 5,5′-Bis(naphth-2-yl)-2,2′-bithiophene in Organic Field-Effect Transistors with n-Octadecyltrichlorosilane Coated SiO2 Gate Dielectric

    DEFF Research Database (Denmark)

    Lauritzen, Andreas E.; Torkkeli, Mika; Bikondoa, Oier

    2018-01-01

    We report on the structure and morphology of 5,5′-bis(naphth-2-yl)-2,2′-bithiophene (NaT2) films in bottom-contact organic field-effect transistors (OFETs) with octadecyltrichlorosilane (OTS) coated SiO2 gate dielectric, characterized by atomic force microscopy (AFM), grazing-incidence X......-ray diffraction (GIXRD), and electrical transport measurements. Three types of devices were investigated with the NaT2 thin-film deposited either on (1) pristine SiO2 (corresponding to higher surface energy, 47 mJ/m2) or on OTS deposited on SiO2 under (2) anhydrous or (3) humid conditions (corresponding to lower...... surface energies, 20–25 mJ/m2). NaT2 films grown on pristine SiO2 form nearly featureless three-dimensional islands. NaT2 films grown on OTS/SiO2 deposited under anhydrous conditions form staggered pyramid islands where the interlayer spacing corresponds to the size of the NaT2 unit cell. At the same time...

  9. Simulation of dual-gate SOI MOSFET with different dielectric layers

    Science.gov (United States)

    Yadav, Jyoti; Chaudhary, R.; Mukhiya, R.; Sharma, R.; Khanna, V. K.

    2016-04-01

    The paper presents the process design and simulation of silicon-on-insulator (SOI)-based dual-gate metal oxide field-effect transistor (DG-MOSFET) stacked with different dielectric layers on the top of gate oxide. A detailed 2D process simulation of SOI-MOSFETs and its electrical characterization has been done using SILVACO® TCAD tool. A variation in transconductance was observed with different dielectric layers, AlN-gate MOSFET having the highest tranconductance value as compared to other three dielectric layers (SiO2, Si3N4 and Al2O3).

  10. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  11. N-channel thin-film transistors based on 1,4,5,8-naphthalene tetracarboxylic dianhydride with ultrathin polymer gate buffer layer

    International Nuclear Information System (INIS)

    Tanida, Shinji; Noda, Kei; Kawabata, Hiroshi; Matsushige, Kazumi

    2009-01-01

    N-channel operation of thin-film transistors based on 1,4,5,8-naphthalene tetracarboxylic dianhydride (NTCDA) with a 9-nm-thick poly(methyl methacrylate) (PMMA) gate buffer layer was examined. The uniform coverage of the ultrathin PMMA layer on an SiO 2 gate insulator, verified by X-ray reflectivity measurement, caused the increase of electron field-effect mobility because of the suppression of electron traps existing on the SiO 2 surface. In addition, air stability for n-channel operation of the NTCDA transistor was also improved by the PMMA layer which possibly prevented the adsorption of ambient water molecules onto the SiO 2 surface.

  12. HfO2 and SiO2 as barriers in magnetic tunneling junctions

    Science.gov (United States)

    Shukla, Gokaran; Archer, Thomas; Sanvito, Stefano

    2017-05-01

    SiO2 and HfO2 are both high-k, wide-gap semiconductors, currently used in the microelectronic industry as gate barriers. Here we investigate whether the same materials can be employed to make magnetic tunnel junctions, which in principle can be amenable for integration in conventional Si technology. By using a combination of density functional theory and the nonequilibrium Green's functions method for quantum transport we have studied the transport properties of Co [0001 ] /SiO2[001 ] /Co [0001 ] and Fe [001 ] /HfO2[001 ] /Fe [001 ] junctions. In both cases we found a quite large magnetoresistance, which is explained through the analysis of the real band structure of the magnets and the complex one of the insulator. We find that there is no symmetry spin filtering for the Co-based junction since the high transmission Δ2' band crosses the Fermi level, EF, for both spin directions. However, the fact that Co is a strong ferromagnet makes the orbital contribution to the two Δ2' spin subbands different, yielding magnetoresistance. In contrast for the Fe-based junction symmetry filtering is active for an energy window spanning between the Fermi level and 1 eV below EF, with Δ1 symmetry contributing to the transmission.

  13. The InP - SiO2 interface: Electron tunneling into oxide traps

    International Nuclear Information System (INIS)

    Prasad, S.J.; Owen, S.J.T.

    1985-01-01

    Indium Phosphide is an attractive material for high-speed devices. Though many successful devices have been built and demonstrated, InP MISFET's still suffer from drain current drift. From the data current drift measurements, the shift in the threshold voltage ΔV was computed for different times. It was found that a linear relationship exists between √ΔV and log(t). When a positive bias-stress was applied to the gate of an MIS capacitor for a time t, the C-V cure shifted by an amount ΔV and again, a linear relationship was observed between √ΔV and log(t). This was verified on four different gate insulators: pyrolytic SiO 2 at 320 0 C and 360 0 C, plasma oxide at 300 0 C and photo CVD oxide at 225 0 C. These results can only be explained by a model in which electrons tunnel from the substrate into oxide traps

  14. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    Science.gov (United States)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  15. Graphene-insulator-semiconductor capacitors as superior test structures for photoelectric determination of semiconductor devices band diagrams

    Directory of Open Access Journals (Sweden)

    K. Piskorski

    2018-05-01

    Full Text Available We report on the advantages of using Graphene-Insulator-Semiconductor (GIS instead of Metal-Insulator-Semiconductor (MIS structures in reliable and precise photoelectric determination of the band alignment at the semiconductor-insulator interface and of the insulator band gap determination. Due to the high transparency to light of the graphene gate in GIS structures large photocurrents due to emission of both electrons and holes from the substrate and negligible photocurrents due to emission of carriers from the gate can be obtained, which allows reliable determination of barrier heights for both electrons, Ee and holes, Eh from the semiconductor substrate. Knowing the values of both Ee and Eh allows direct determination of the insulator band gap EG(I. Photoelectric measurements were made of a series of Graphene-SiO2-Si structures and an example is shown of the results obtained in sequential measurements of the same structure giving the following barrier height values: Ee = 4.34 ± 0.01 eV and Eh = 4.70 ± 0.03 eV. Based on this result and results obtained for other structures in the series we conservatively estimate the maximum uncertainty of both barrier heights estimations at ± 0.05 eV. This sets the SiO2 band gap estimation at EG(I = 7.92 ± 0.1 eV. It is shown that widely different SiO2 band gap values were found by research groups using various determination methods. We hypothesize that these differences are due to different sensitivities of measurement methods used to the existence of the SiO2 valence band tail.

  16. Graphene-insulator-semiconductor capacitors as superior test structures for photoelectric determination of semiconductor devices band diagrams

    Science.gov (United States)

    Piskorski, K.; Passi, V.; Ruhkopf, J.; Lemme, M. C.; Przewlocki, H. M.

    2018-05-01

    We report on the advantages of using Graphene-Insulator-Semiconductor (GIS) instead of Metal-Insulator-Semiconductor (MIS) structures in reliable and precise photoelectric determination of the band alignment at the semiconductor-insulator interface and of the insulator band gap determination. Due to the high transparency to light of the graphene gate in GIS structures large photocurrents due to emission of both electrons and holes from the substrate and negligible photocurrents due to emission of carriers from the gate can be obtained, which allows reliable determination of barrier heights for both electrons, Ee and holes, Eh from the semiconductor substrate. Knowing the values of both Ee and Eh allows direct determination of the insulator band gap EG(I). Photoelectric measurements were made of a series of Graphene-SiO2-Si structures and an example is shown of the results obtained in sequential measurements of the same structure giving the following barrier height values: Ee = 4.34 ± 0.01 eV and Eh = 4.70 ± 0.03 eV. Based on this result and results obtained for other structures in the series we conservatively estimate the maximum uncertainty of both barrier heights estimations at ± 0.05 eV. This sets the SiO2 band gap estimation at EG(I) = 7.92 ± 0.1 eV. It is shown that widely different SiO2 band gap values were found by research groups using various determination methods. We hypothesize that these differences are due to different sensitivities of measurement methods used to the existence of the SiO2 valence band tail.

  17. Thin-barrier enhancement-mode AlGaN/GaN MIS-HEMT using ALD Al2O3 as gate insulator

    International Nuclear Information System (INIS)

    Wang Zheli; Zhou Jianjun; Kong Yuechan; Kong Cen; Dong Xun; Yang Yang; Chen Tangsheng

    2015-01-01

    A high-performance enhancement-mode (E-mode) gallium nitride (GaN)-based metal–insulator–semiconductor high electron mobility transistor (MIS-HEMT) that employs a 5-nm-thick aluminum gallium nitride (Al 0.3 Ga 0.7 N) as a barrier layer and relies on silicon nitride (SiN) passivation to control the 2DEG density is presented. Unlike the SiN passivation, aluminum oxide (Al 2 O 3 ) by atomic layer deposition (ALD) on AlGaN surface would not increase the 2DEG density in the heterointerface. ALD Al 2 O 3 was used as gate insulator after the depletion by etching of the SiN in the gate region. The E-mode MIS-HEMT with gate length (L G ) of 1 μm showed a maximum drain current density (I DS ) of 657 mA/mm, a maximum extrinsic transconductance (g m ) of 187 mS/mm and a threshold voltage (V th ) of 1 V. Comparing with the corresponding E-mode HEMT, the device performances had been greatly improved due to the insertion of Al 2 O 3 gate insulator. This provided an excellent way to realize E-mode AlGaN/GaN MIS-HEMTs with both high V th and I DS . (paper)

  18. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    Science.gov (United States)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  19. The Microwave Noise Behaviour Of Dual Material Gate Silicon On Insulator

    Science.gov (United States)

    Jafar, N.; Soin, N.

    2009-06-01

    This work presents the noise behaviour due to the applied Dual Material Gate (DMG) on the 75 nm n-channel Silicon On Insulator (SOI) device operating in the fully depletion mode, particularly for microwave circuit design. Influences of DMG properties namely the gate length ratio (L1:L2) and gate material workfunction difference (ΔΦM) as well as structural and operational parameters which are silicon thickness (TSi) and threshold voltage (VTH) setting variation on the noise performance were carried out on simulation basis using ATLAS 2D. Results show better noise performance in DMG as compare to the standard gate structure of FD-SOI devices. Higher VTH for DMG design is recommended for minimized noise figure in line with the advantage of inverse VTH roll-off characteristics for short channel effects suppression.

  20. Surface modification of polyimide gate insulators for solution-processed 2,7-didecyl[1]benzothieno[3,2-b][1]benzothiophene (C10-BTBT) thin-film transistors.

    Science.gov (United States)

    Jang, Kwang-Suk; Kim, Won Soo; Won, Jong-Myung; Kim, Yun-Ho; Myung, Sung; Ka, Jae-Won; Kim, Jinsoo; Ahn, Taek; Yi, Mi Hye

    2013-01-21

    The surface property of a polyimide gate insulator was successfully modified with an n-octadecyl side-chain. Alkyl chain-grafted poly(amic acid), the polyimide precursor, was synthesized using the diamine comonomer with an alkyl side-chain. By adding a base catalyst to the poly(amic acid) coating solution, the imidization temperature of the spin-coated film could be reduced to 200 °C. The 350 nm-thick polyimide film had a dielectric constant of 3.3 at 10 kHz and a leakage current density of less than 8.7 × 10(-10) A cm(-2), while biased from 0 to 100 V. To investigate the potential of the alkyl chain-grafted polyimide film as a gate insulator for solution-processed organic thin-film transistors (TFTs), we fabricated C(10)-BTBT TFTs. C(10)-BTBT was deposited on the alkyl chain-grafted polyimide gate insulator by spin-coating, forming a well-ordered crystal structure. The field-effect mobility and the on/off current ratio of the TFT device were measured to be 0.20-0.56 cm(2) V(-1) s(-1) and >10(5), respectively.

  1. Electrical and structural properties of CaF sub 2 films

    CERN Document Server

    Kim, D Y; Yi, J S

    1999-01-01

    Fluoride films have many practical applications such as gate insulators for thin-film transistors(TFTs), anti-reflection coatings, and optical waveguides. We have investigated fluoride films as gate insulators for TFT applications. Most of gate oxide films of TFTs, like SiO sub 2 , Ta sub 2 O sub 5 , Al sub 2 O sub 3 , and SiO sub x , exhibited problems with the trap charge density, lattice mismatch, and interface states, As a way of circumventing these problems in conventional gate insulators, we investigated CaF sub 2 which has a low interface trap charge density and lattice constant similar to that of the Si surface. We were able to achieve almost epitaxial CaF sub 2 film growth in the (200) plane on a (100) p-type Si substrate. Investigations of the structural properties of CaF sub 2 films for various substrate temperatures resulted in the best lattice mismatch of 0.7 % and an average surface roughness of 8.4 A. The C-V results for the Metal-Insulator-Semiconductor (MIS) structure of the CaF sub 2 films s...

  2. In-Ga-Zn-oxide thin-film transistors with Sb2TeOx gate insulators fabricated by reactive sputtering using a metallic Sb2Te target

    International Nuclear Information System (INIS)

    Cheong, Woo-Seok

    2011-01-01

    Using reactive sputtering, we made transparent amorphous Sb 2 TeO x thin films from a metallic Sb 2 Te target in an oxidizing atmosphere. In-Ga-Zn-oxide thin-film transistors (IGZO TFTs) with Sb 2 TeO x gate insulators deposited at room temperature showed a large hysteresis with a counter clockwise direction, which was caused by mobile charges in the gate insulators. The problems of the mobile charges was solved by using Sb 2 TeO x films formed at 250 .deg. C. After the IGZO TFT had been annealed at 200 .deg. C for 1 hour in an O 2 ambient, the mobility of the IGZO TFT was 22.41 cm 2 /Vs, and the drain current on-off ratio was ∼10 8 .

  3. PECVD SiO2 dielectric for niobium Josephson IC process

    International Nuclear Information System (INIS)

    Lee, S.Y.; Nandakumar, V.; Murdock, B.; Hebert, D.

    1991-01-01

    PECVD SiO 2 dielectric has been evaluated as an insulator for a Nb-based, all-refractory Josephson integrated circuit process. First, the properties of PECVD SiO 2 films were measured and compared with those of evaporated SiO films. Second, the PECVD SiO 2 dielectric film was used in our Nb-based Josephson integrated circuit process. The main problem was found to be the deterioration of the critical temperature of the superconducting niobium adjacent to the SiO 2 . The cause and a solution of the problem were investigated. Finally, a Josephson integrated sampler circuit was fabricated and tested. This paper shows acceptable junction I-V characteristics and a measured time resolution of a 4.9 ps pulse in liquid helium

  4. Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO2 nanogranular films

    International Nuclear Information System (INIS)

    Zhu, Li Qiang; Chao, Jin Yu; Xiao, Hui

    2014-01-01

    Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO 2 nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics

  5. Memory and learning behaviors mimicked in nanogranular SiO2-based proton conductor gated oxide-based synaptic transistors.

    Science.gov (United States)

    Wan, Chang Jin; Zhu, Li Qiang; Zhou, Ju Mei; Shi, Yi; Wan, Qing

    2013-11-07

    In neuroscience, signal processing, memory and learning function are established in the brain by modifying ionic fluxes in neurons and synapses. Emulation of memory and learning behaviors of biological systems by nanoscale ionic/electronic devices is highly desirable for building neuromorphic systems or even artificial neural networks. Here, novel artificial synapses based on junctionless oxide-based protonic/electronic hybrid transistors gated by nanogranular phosphorus-doped SiO2-based proton-conducting films are fabricated on glass substrates by a room-temperature process. Short-term memory (STM) and long-term memory (LTM) are mimicked by tuning the pulse gate voltage amplitude. The LTM process in such an artificial synapse is due to the proton-related interfacial electrochemical reaction. Our results are highly desirable for building future neuromorphic systems or even artificial networks via electronic elements.

  6. Radiation-induced interface state generation in MOS devices with reoxidised nitrided SiO2 gate dielectrics

    International Nuclear Information System (INIS)

    Lo, G.Q.; Shih, D.K.; Ting, W.; Kwong, D.L.

    1989-01-01

    In this letter, the radiation-induced interface state generation ΔD it in MOS devices with reoxidised nitrided gate oxides has been studied. The reoxidised nitrided oxides were fabricated by rapid thermal reoxidation (RTO) of rapidly thermal nitrided (RTN) SiO 2 . The devices were irradiated by exposure to X-rays at doses of 0.5-5.0 Mrad (Si). It is found that the RTO process improves the radiation hardness of RTN oxides in terms of interface state generation. The enhanced interface ''hardness'' of reoxidised nitrided oxides is attributed to the strainless interfacial oxide regrowth or reduction of hydrogen concentration during RTO of RTN oxides. (author)

  7. Highly stable piezo-immunoglobulin-biosensing of a SiO2/ZnO nanogenerator as a self-powered/active biosensor arising from the field effect influenced piezoelectric screening effect.

    Science.gov (United States)

    Zhao, Yayu; Fu, Yongming; Wang, Penglei; Xing, Lili; Xue, Xinyu

    2015-02-07

    Highly stable piezo-immunoglobulin-biosensing has been realized from a SiO2/ZnO nanowire (NW) nanogenerator (NG) as a self-powered/active biosensor. The piezoelectric output generated by the SiO2/ZnO NW NG can act not only as a power source for driving the device, but also as a sensing signal for detecting immunoglobulin G (IgG). The stability of the device is very high, and the relative standard deviation (RSD) ranges from 1.20% to 4.20%. The limit of detection (LOD) of IgG on the device can reach 5.7 ng mL(-1). The response of the device is in a linear relationship with IgG concentration. The biosensing performance of SiO2/ZnO NWs is much higher than that of bare ZnO NWs. A SiO2 layer uniformly coated on the surface of the ZnO NW acts as the gate insulation layer, which increases mechanical robustness and protects it from the electrical leakages and short circuits. The IgG biomolecules modified on the surface of the SiO2/ZnO NW act as a gate potential, and the field effect can influence the surface electron density of ZnO NWs, which varies the screening effect of free-carriers on the piezoelectric output. The present results demonstrate a feasible approach for a highly stable self-powered/active biosensor.

  8. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  9. Improvements in the reliability of a-InGaZnO thin-film transistors with triple stacked gate insulator in flexible electronics applications

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hua-Mao [Department of Photonics & Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu, Taiwan (China); Chang, Ting-Chang, E-mail: tcchang3708@gmail.com [Department of Physics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Department of Photonics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Advanced Optoelectronics Technology Center, National Cheng Kung University, Taiwan (China); Tai, Ya-Hsiang [Department of Photonics & Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu, Taiwan (China); Chen, Kuan-Fu [Department of Physics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Chiang, Hsiao-Cheng [Department of Photonics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Liu, Kuan-Hsien [Department of Electrophysics, National Chiao Tung University, Hsinchu, Taiwan (China); Lee, Chao-Kuei [Department of Photonics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Lin, Wei-Ting; Cheng, Chun-Cheng; Tu, Chun-Hao; Liu, Chu-Yu [Advanced Technology Research Center, AU Optronics Corp, Hsinchu, Taiwan (China)

    2015-11-30

    This study examined the impact of the low-temperature stacking gate insulator on the gate bias instability of a-InGaZnO thin film transistors in flexible electronics applications. Although the quality of SiN{sub x} at low process/deposition temperature is better than that of SiO{sub x} at similarly low process/deposition temperature, there is still a very large positive threshold voltage (V{sub th}) shift of 9.4 V for devices with a single low-temperature SiN{sub x} gate insulator under positive gate bias stress. However, a suitable oxide–nitride–oxide-stacked gate insulator exhibits a V{sub th} shift of only 0.23 V. This improvement results from the larger band offset and suitable gate insulator thickness that can effectively suppress carrier trapping behavior. - Highlights: • The cause of the bias instability for a low-temperature gate insulator is verified. • A triple-stacked gate insulator was fabricated. • A suitable triple stacked gate insulator shows only 0.23 V threshold voltage shift.

  10. Nonvolatile Solid-State Charged-Polymer Gating of Topological Insulators into the Topological Insulating Regime

    Science.gov (United States)

    Ireland, R. M.; Wu, Liang; Salehi, M.; Oh, S.; Armitage, N. P.; Katz, H. E.

    2018-04-01

    We demonstrate the ability to reduce the carrier concentration of thin films of the topological insulator (TI) Bi2 Se3 by utilizing a nonvolatile electrostatic gating via corona charging of electret polymers. Sufficient electric field can be imparted to a polymer-TI bilayer to result in significant electron density depletion, even without the continuous connection of a gate electrode or the chemical modification of the TI. We show that the Fermi level of Bi2 Se3 is shifted toward the Dirac point with this method. Using terahertz spectroscopy, we find that the surface chemical potential is lowered into the bulk band gap (approximately 50 meV above the Dirac point and 170 meV below the conduction-band minimum), and it is stabilized in the intrinsic regime while enhancing electron mobility. The mobility of surface state electrons is enhanced to a value as high as approximately 1600 cm2/V s at 5 K.

  11. Pentacene based thin film transistors with high-k dielectric Nd2O3 as a gate insulator

    International Nuclear Information System (INIS)

    Sarma, R.; Saikia, D.

    2010-01-01

    We have investigated the pentacene based Organic Thin Film Transistors (OTFTs) with high-k dielectric Nd 2 O 3 . Use of high dielectric constant (high-k) gate insulator Nd 2 O 3 reduces the threshold voltage and sub threshold swing of the OTFTs. The calculated threshold voltage -2.2V and sub-threshold swing 1V/decade, current ON-OFF ratio is 1.7 X 10 4 and mobility is 0.13cm 2 /V.s. Pentacene film is deposited on Nd 2 O 3 surface using two step deposition method. Deposited pentacene film is found poly crystalline in nature. (author)

  12. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  13. Duo gating on a 3D topological insulator - independent tuning of both topological surface states

    Science.gov (United States)

    Li, Chuan; de Ronde, Bob; Snelder, Marieke; Stehno, Martin; Huang, Yingkai; Golden, Mark; Brinkman, Alexander; ICE Team; IOP Collaboration

    ABSTRACT: Topological insulators are associated with a trove of exciting physics, such as the ability to host robust anyons, Majorana Bound States, which can be used for quantum computation. For future Majorana devices it is desirable to have the Fermi energy tuned as close as possible to the Dirac point of the topological surface state. Based on previous work on gating BSTS, we report the experimental progress towards gate-tuning of the top and bottom topological surface states of BiSbTeSe2 crystal flakes. When the Fermi level is moved across the Dirac point conduction is shown to change from electron dominated transport to hole dominated transport independently for either surface. In the high magnetic field, one can tune the system precisely between the different landau levels of both surfaces, thus a full gating map of the possible landau levels combination is established. In addition, we provide a simple capacitance model to explain the general hysteresis behaviors in topological insulator systems.

  14. Low-voltage organic field-effect transistors based on novel high-κ organometallic lanthanide complex for gate insulating materials

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Qi; Li, Yi; Zhang, Yang; Song, You, E-mail: wangxzh@nju.edu.cn, E-mail: yli@nju.edu.cn, E-mail: yousong@nju.edu.cn; Wang, Xizhang, E-mail: wangxzh@nju.edu.cn, E-mail: yli@nju.edu.cn, E-mail: yousong@nju.edu.cn; Hu, Zheng [Key Laboratory of Mesoscopic Chemistry of MOE, Jiangsu Provincial Lab for Nanotechnology, School of Chemistry and Chemical Engineering, Nanjing University, Nanjing 210093, China. High-Tech Research Institute of Nanjing University (Suzhou), Suzhou 215123 (China); Sun, Huabin; Li, Yun, E-mail: wangxzh@nju.edu.cn, E-mail: yli@nju.edu.cn, E-mail: yousong@nju.edu.cn; Shi, Yi [School of Electronic Science and Engineering and Jiangsu Provincial Key Laboratory of Photonic and Electronic Materials, Nanjing University, Nanjing 210093 (China)

    2014-08-15

    A novel high-κ organometallic lanthanide complex, Eu(tta){sub 3}L (tta=2-thenoyltrifluoroacetonate, L = 4,5-pinene bipyridine), is used as gate insulating material to fabricate low-voltage pentacene field-effect transistors (FETs). The optimized gate insulator exhibits the excellent properties such as low leakage current density, low surface roughness, and high dielectric constant. When operated under a low voltage of −5 V, the pentacene FET devices show the attractive electrical performance, e.g. carrier mobility (μ{sub FET}) of 0.17 cm{sup 2} V{sup −1} s{sup −1}, threshold voltage (V{sub th}) of −0.9 V, on/off current ratio of 5 × 10{sup 3}, and subthreshold slope (SS) of 1.0 V dec{sup −1}, which is much better than that of devices obtained on conventional 300 nm SiO{sub 2} substrate (0.13 cm{sup 2} V{sup −1} s{sup −1}, −7.3 V and 3.1 V dec{sup −1} for μ{sub FET}, V{sub th} and SS value when operated at −30 V). These results indicate that this kind of high-κ organometallic lanthanide complex becomes a promising candidate as gate insulator for low-voltage organic FETs.

  15. Gate-tunable gigantic lattice deformation in VO2

    International Nuclear Information System (INIS)

    Okuyama, D.; Hatano, T.; Nakano, M.; Takeshita, S.; Ohsumi, H.; Tardif, S.; Shibuya, K.; Yumoto, H.; Koyama, T.; Ohashi, H.; Takata, M.; Kawasaki, M.; Tokura, Y.; Iwasa, Y.; Arima, T.

    2014-01-01

    We examined the impact of electric field on crystal lattice of vanadium dioxide (VO 2 ) in a field-effect transistor geometry by in-situ synchrotron x-ray diffraction measurements. Whereas the c-axis lattice parameter of VO 2 decreases through the thermally induced insulator-to-metal phase transition, the gate-induced metallization was found to result in a significant increase of the c-axis length by almost 1% from that of the thermally stabilized insulating state. We also found that this gate-induced gigantic lattice deformation occurs even at the thermally stabilized metallic state, enabling dynamic control of c-axis lattice parameter by more than 1% at room temperature

  16. Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric

    Science.gov (United States)

    Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna

    2016-10-01

    In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.

  17. Silicon-on-Insulator Lateral-Insulated-Gate-Bipolar-Transistor with Built-in Self-anti-ESD Diode

    Directory of Open Access Journals (Sweden)

    Xiaojun Cheng

    2014-05-01

    Full Text Available Power SOI (Silicon-On-Insulator devices have an inherent sandwich structure of MOS (Metal-Oxide-Semiconductor gate which is very easy to suffer ESD (Electro-Static Discharge overstress. To solve this reliability problem, studies on design and modification of a built-in self-anti-ESD diode for a preliminarily optimized high voltage SOI LIGBT (Lateral-Insulated-Gate-Bipolar-Transistor were carried out on the Silvaco TCAD (Technology-Computer-Aided-Design platform. According to the constrains of the technological process, the new introduction of the N+ doped region into P-well region that form the built-in self-anti-ESD diode should be done together with the doping of source under the same mask. The modifications were done by adjusting the vertical impurity profile in P-well into retrograde distribution and designing a cathode plate with a proper length to cover the forward depletion terminal and make sure that the thickness of the cathode plate is the same as that of the gate plate. The simulation results indicate that the modified device structure is compatible with the original one in process and design, the breakdown voltage margin of the former was expanded properly, and both the transient cathode voltages are clamped low enough very quickly. Therefore, the design and optimization results of the modified device structure of the built-in self-anti-ESD diode for the given SOI LIGBT meet the given requirements.

  18. Dielectric strength of SiO2 in a CMOS transistor structure

    International Nuclear Information System (INIS)

    Soden, J.M.

    1979-01-01

    The distribution of experimental dielectric strengths of SiO 2 gate dielectric in a CMOS transistor structure is shown to be composed of a primary, statistically-normal distribution of high dielectric strength and a secondary distribution spread through the lower dielectric strength region. The dielectric strength was not significantly affected by high level (1 x 10 6 RADS (Si)) gamma radiation or high temperature (200 0 C) stress. The primary distribution breakdowns occurred at topographical edges, mainly at the gate/field oxide interface, and the secondary distribution breakdowns occurred at random locations in the central region of the gate

  19. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  20. Photolithographically Patterned TiO2 Films for Electrolyte-Gated Transistors.

    Science.gov (United States)

    Valitova, Irina; Kumar, Prajwal; Meng, Xiang; Soavi, Francesca; Santato, Clara; Cicoira, Fabio

    2016-06-15

    Metal oxides constitute a class of materials whose properties cover the entire range from insulators to semiconductors to metals. Most metal oxides are abundant and accessible at moderate cost. Metal oxides are widely investigated as channel materials in transistors, including electrolyte-gated transistors, where the charge carrier density can be modulated by orders of magnitude upon application of relatively low electrical bias (2 V). Electrolyte gating offers the opportunity to envisage new applications in flexible and printed electronics as well as to improve our current understanding of fundamental processes in electronic materials, e.g. insulator/metal transitions. In this work, we employ photolithographically patterned TiO2 films as channels for electrolyte-gated transistors. TiO2 stands out for its biocompatibility and wide use in sensing, electrochromics, photovoltaics and photocatalysis. We fabricated TiO2 electrolyte-gated transistors using an original unconventional parylene-based patterning technique. By using a combination of electrochemical and charge carrier transport measurements we demonstrated that patterning improves the performance of electrolyte-gated TiO2 transistors with respect to their unpatterned counterparts. Patterned electrolyte-gated (EG) TiO2 transistors show threshold voltages of about 0.9 V, ON/OFF ratios as high as 1 × 10(5), and electron mobility above 1 cm(2)/(V s).

  1. Gate-tunable gigantic lattice deformation in VO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Okuyama, D., E-mail: okuyama@riken.jp, E-mail: nakano@imr.tohoku.ac.jp, E-mail: iwasa@ap.t.u-tokyo.ac.jp; Hatano, T. [RIKEN Center for Emergent Matter Science (CEMS), Wako 351-0198 (Japan); Nakano, M., E-mail: okuyama@riken.jp, E-mail: nakano@imr.tohoku.ac.jp, E-mail: iwasa@ap.t.u-tokyo.ac.jp [RIKEN Center for Emergent Matter Science (CEMS), Wako 351-0198 (Japan); Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Takeshita, S.; Ohsumi, H.; Tardif, S. [RIKEN SPring-8 Center, Hyogo 679-5148 (Japan); Shibuya, K. [National Institute of Advanced Industrial Science and Technology, Tsukuba 305-8562 (Japan); Yumoto, H.; Koyama, T.; Ohashi, H. [Japan Synchrotron Radiation Research Institute, SPring-8, Hyogo 679-5198 (Japan); Takata, M. [RIKEN SPring-8 Center, Hyogo 679-5148 (Japan); Japan Synchrotron Radiation Research Institute, SPring-8, Hyogo 679-5198 (Japan); Kawasaki, M.; Tokura, Y.; Iwasa, Y., E-mail: okuyama@riken.jp, E-mail: nakano@imr.tohoku.ac.jp, E-mail: iwasa@ap.t.u-tokyo.ac.jp [RIKEN Center for Emergent Matter Science (CEMS), Wako 351-0198 (Japan); Quantum-Phase Electronics Center and Department of Applied Physics, University of Tokyo, Tokyo 113-8656 (Japan); Arima, T. [RIKEN Center for Emergent Matter Science (CEMS), Wako 351-0198 (Japan); RIKEN SPring-8 Center, Hyogo 679-5148 (Japan); Department of Advanced Materials Science, University of Tokyo, Kashiwa 277-8561 (Japan)

    2014-01-13

    We examined the impact of electric field on crystal lattice of vanadium dioxide (VO{sub 2}) in a field-effect transistor geometry by in-situ synchrotron x-ray diffraction measurements. Whereas the c-axis lattice parameter of VO{sub 2} decreases through the thermally induced insulator-to-metal phase transition, the gate-induced metallization was found to result in a significant increase of the c-axis length by almost 1% from that of the thermally stabilized insulating state. We also found that this gate-induced gigantic lattice deformation occurs even at the thermally stabilized metallic state, enabling dynamic control of c-axis lattice parameter by more than 1% at room temperature.

  2. Processing and performance of organic insulators as a gate layer in ...

    Indian Academy of Sciences (India)

    Abstract. Fabrication of organic thin film transistor (OTFT) on flexible substrates is a challenge, because of its low softening temperature, high roughness and flexible nature. Although several organic dielectrics have been used as gate insulator, it is difficult to choose one in absence of a comparative study covering ...

  3. MIS field effect transistor with barium titanate thin film as a gate insulator

    Energy Technology Data Exchange (ETDEWEB)

    Firek, P., E-mail: pfirek@elka.pw.edu.p [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Werbowy, A.; Szmidt, J. [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland)

    2009-11-25

    The properties of barium titanate (BaTiO{sub 3}, BT) like, e.g. high dielectric constant and resistivity, allow it to find numerous applications in field of microelectronics. In this work silicon metal insulator semiconductor field effect transistor (MISFET) structures with BaTiO{sub 3} (containing La{sub 2}O{sub 3} admixture) thin films in a role of gate insulator were investigated. The films were produced by means of radio frequency plasma sputtering (RF PS) of sintered BaTiO{sub 3} + La{sub 2}O{sub 3} (2 wt.%) target. In the paper transfer and output current-voltage (I-V), transconductance and output conductance characteristics of obtained transistors are presented and discussed. Basic parameters of these devices like, e.g. threshold voltage (V{sub TH}), are determined and discussed.

  4. Processing and performance of organic insulators as a gate layer in ...

    Indian Academy of Sciences (India)

    Fabrication of organic thin film transistor (OTFT) on flexible substrates is a challenge, because of its low softening temperature, high roughness and flexible nature. Although several organic dielectrics have been used as gate insulator, it is difficult to choose one in absence of a comparative study covering processing of ...

  5. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  6. Simulation of Heating of an Oil-Cooled Insulated Gate Bipolar Transistors Converter Model

    National Research Council Canada - National Science Library

    Ovrebo, Gregory

    2004-01-01

    I used SolidWorks a three-dimensional modeling software, and FloWorks, a fluid dynamics analysis tool, to simulate oil flow and heat transfer in a heat sink structure attached to three insulated gate bipolar transistors...

  7. Low-voltage high-speed programming gate-all-around floating gate memory cell with tunnel barrier engineering

    Science.gov (United States)

    Hamzah, Afiq; Ezaila Alias, N.; Ismail, Razali

    2018-06-01

    The aim of this study is to investigate the memory performances of gate-all-around floating gate (GAA-FG) memory cell implementing engineered tunnel barrier concept of variable oxide thickness (VARIOT) of low-k/high-k for several high-k (i.e., Si3N4, Al2O3, HfO2, and ZrO2) with low-k SiO2 using three-dimensional (3D) simulator Silvaco ATLAS. The simulation work is conducted by initially determining the optimized thickness of low-k/high-k barrier-stacked and extracting their Fowler–Nordheim (FN) coefficients. Based on the optimized parameters the device performances of GAA-FG for fast program operation and data retention are assessed using benchmark set by 6 and 8 nm SiO2 tunnel layer respectively. The programming speed has been improved and wide memory window with 30% increment from conventional SiO2 has been obtained using SiO2/Al2O3 tunnel layer due to its thin low-k dielectric thickness. Furthermore, given its high band edges only 1% of charge-loss is expected after 10 years of ‑3.6/3.6 V gate stress.

  8. Observing the semiconducting band-gap alignment of MoS2 layers of different atomic thicknesses using a MoS2/SiO2/Si heterojunction tunnel diode

    NARCIS (Netherlands)

    Nishiguchi, K.; Castellanos-Gomez, A.; Yamaguchi, H.; Fujiwara, A.; Van der Zant, H.S.J.; Steele, G.A.

    2015-01-01

    We demonstrate a tunnel diode composed of a vertical MoS2/SiO2/Si heterostructure. A MoS2 flake consisting four areas of different thicknesses functions as a gate terminal of a silicon field-effect transistor. A thin gate oxide allows tunneling current to flow between the n-type MoS2 layers and

  9. Solid-gate control of insulator to 2D metal transition at SrTiO3 surface

    Science.gov (United States)

    Schulman, Alejandro; Stoliar, Pablo; Kitoh, Ai; Rozenberg, Marcelo; Inoue, Isao H.

    As miniaturization of the semiconductor transistor approaches its limit, semiconductor industries are facing a major challenge to extend information processing beyond what can be attainable by conventional Si-based transistors. Innovative combinations of new materials and new processing platforms are desired. Recent discovery of the 2D electron gas (2DEG) at the surface of SrTiO3 (STO) and its electrostatic control, have carried it to the top of promising materials to be utilized in innovative devices. We report an electrostatic control of the carrier density of the 2DEG formed at the channel of bilayer-gated STO field-effect devices. By applying a gate electric field at room temperature, its highly insulating channel exhibits a transition to metallic one. This transition is accompanied by non-monotonic voltage-gain transfer characteristic with both negative and positive slope regions and unexpected enhancement of the sheet carrier density. We will introduce a numerical model to rationalize the observed features in terms of the established physics of field-effect transistors and the physics of percolation. Furthermore, we have found a clear signature of a Kondo effect that arises due to the interaction between the dilute 2DEG and localized Ti 3d orbitals originated by oxygen vacancies near the channel. On leave from CIC nanoGUNE, Spain.

  10. Vortices and gate-tunable bound states in a topological insulator coupled to superconducting leads

    Science.gov (United States)

    Finck, Aaron; Kurter, C.; Hor, Y. S.; van Harlingen, D. J.

    2014-03-01

    It has been predicted that zero energy Majorana bound states can be found in the core of vortices within topological superconductors. Here, we report on Andreev spectroscopy measurements of the topological insulator Bi2Se3 with a normal metal lead and one or more niobium leads. The niobium induces superconductivity in the Bi2Se3 through the proximity effect, leading to both signatures of Andreev reflection and a prominent re-entrant resistance effect. When a large magnetic field is applied perpendicular to the surface of the Bi2Se3, we observe multiple abrupt changes in the subgap conductance that are accompanied by sharp peaks in the dynamical resistance. These peaks are very sensitive to changes in magnetic field and disappear at temperatures associated with the critical temperature of the induced superconductivity. The appearance of the transitions and peaks can be tuned by a top gate. At high magnetic fields, we also find evidence of gate-tunable states, which can lead to stable zero-bias conductance peaks. We interpret our results in terms of a transition occurring within the proximity effect region of the topological insulator, likely due to the formation of vortices. We acknowledge support from Microsoft Project Q.

  11. Printing Semiconductor-Insulator Polymer Bilayers for High-Performance Coplanar Field-Effect Transistors.

    Science.gov (United States)

    Bu, Laju; Hu, Mengxing; Lu, Wanlong; Wang, Ziyu; Lu, Guanghao

    2018-01-01

    Source-semiconductor-drain coplanar transistors with an organic semiconductor layer located within the same plane of source/drain electrodes are attractive for next-generation electronics, because they could be used to reduce material consumption, minimize parasitic leakage current, avoid cross-talk among different devices, and simplify the fabrication process of circuits. Here, a one-step, drop-casting-like printing method to realize a coplanar transistor using a model semiconductor/insulator [poly(3-hexylthiophene) (P3HT)/polystyrene (PS)] blend is developed. By manipulating the solution dewetting dynamics on the metal electrode and SiO 2 dielectric, the solution within the channel region is selectively confined, and thus make the top surface of source/drain electrodes completely free of polymers. Subsequently, during solvent evaporation, vertical phase separation between P3HT and PS leads to a semiconductor-insulator bilayer structure, contributing to an improved transistor performance. Moreover, this coplanar transistor with semiconductor-insulator bilayer structure is an ideal system for injecting charges into the insulator via gate-stress, and the thus-formed PS electret layer acts as a "nonuniform floating gate" to tune the threshold voltage and effective mobility of the transistors. Effective field-effect mobility higher than 1 cm 2 V -1 s -1 with an on/off ratio > 10 7 is realized, and the performances are comparable to those of commercial amorphous silicon transistors. This coplanar transistor simplifies the fabrication process of corresponding circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Cleaning Challenges of High-κ/Metal Gate Structures

    KAUST Repository

    Hussain, Muhammad Mustafa; Shamiryan, Denis G.; Paraschiv, Vasile; Sano, Kenichi; Reinhardt, Karen A.

    2010-01-01

    High-κ/metal gates are used as transistors for advanced logic applications to improve speed and eliminate electrical issues associated with polySi and SiO2 gates. Various integration schemes are possible and will be discussed, such as dual gate, gate-first, and gate-last, both of which require specialized cleaning and etching steps. Specific areas of discussion will include cleaning and conditioning of the silicon surface, forming a high-quality chemical oxide, removal of the high-κ dielectric with selectivity to the SiO2 layer, cleaning and residue removal after etching, and prevention of galvanic corrosion during cleaning. © 2011 Scrivener Publishing LLC. All rights reserved.

  13. Cleaning Challenges of High-κ/Metal Gate Structures

    KAUST Repository

    Hussain, Muhammad Mustafa

    2010-12-20

    High-κ/metal gates are used as transistors for advanced logic applications to improve speed and eliminate electrical issues associated with polySi and SiO2 gates. Various integration schemes are possible and will be discussed, such as dual gate, gate-first, and gate-last, both of which require specialized cleaning and etching steps. Specific areas of discussion will include cleaning and conditioning of the silicon surface, forming a high-quality chemical oxide, removal of the high-κ dielectric with selectivity to the SiO2 layer, cleaning and residue removal after etching, and prevention of galvanic corrosion during cleaning. © 2011 Scrivener Publishing LLC. All rights reserved.

  14. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  15. Thermal Simulation of Switching Pulses in an Insulated Gate Bipolar Transistor (IGBT) Power Module

    Science.gov (United States)

    2015-02-01

    executed with SolidWorks Flow Simulation , a computational fluid-dynamics code. The graph in Fig. 2 shows the timing and amplitudes of power pulses...defined a convective flow of air perpendicular to the bottom surface of the mounting plate, with a velocity of 10 ft/s. The thermal simulations were...Thermal Simulation of Switching Pulses in an Insulated Gate Bipolar Transistor (IGBT) Power Module by Gregory K Ovrebo ARL-TR-7210

  16. Oligo- and polymeric FET devices: Thiophene-based active materials and their interaction with different gate dielectrics

    International Nuclear Information System (INIS)

    Porzio, W.; Destri, S.; Pasini, M.; Bolognesi, A.; Angiulli, A.; Di Gianvincenzo, P.; Natali, D.; Sampietro, M.; Caironi, M.; Fumagalli, L.; Ferrari, S.; Peron, E.; Perissinotti, F.

    2006-01-01

    Derivatives of both oligo- and polythiophene-based FET were recently considered for low cost electronic applications. In the device optimization, factors like redox reversibility of the molecule/polymer, electronic level compatibility with source/drain electrodes, packing closeness, and orientation versus the electrodes, can determine the overall performance. In addition, a gate insulator with a high dielectric constant, a low leakage current, and capability to promote ordering in the semiconductor is required to increase device performances and to lower the FET operating voltage. In this view, Al 2 O 3 appears a good candidate, although its widespread adoption is limited by the disorder that such oxide induces on the semiconductor with detrimental consequences on semiconductor electrical properties. In this contribution, an overview of recent results obtained on thiophene-derivative-based FET devices, fabricated by different growth techniques, and using both thermally grown SiO 2 and Al 2 O 3 from atomic layer deposition gate insulators will be reported and discussed with particular reference to organic solid state aggregation, morphology, and organic-inorganic interface

  17. SiO 2/SiC interface proved by positron annihilation

    Science.gov (United States)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Itoh, H.

    2003-06-01

    We have studied positron annihilation in a Silicon carbide (SiC)-metal/oxide/semiconductor (MOS) structure using a monoenergetic positron beam. The Doppler broadening of annihilation quanta were measured as functions of the incident positron energy and the gate bias. Applying negative gate bias, significant increases in S-parameters were observed. This indicates the migration of implanted positrons towards SiO 2/SiC interface and annihilation at open-volume type defects. The behavior of S-parameters depending on the bias voltage was well correlated with the capacitance-voltage ( C- V) characteristics. We observed higher S-parameters and the interfacial trap density in MOS structures fabricated using the dry oxidation method as compared to those by pyrogenic oxidation method.

  18. SiO2/SiC interface proved by positron annihilation

    International Nuclear Information System (INIS)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Itoh, H.

    2003-01-01

    We have studied positron annihilation in a Silicon carbide (SiC)-metal/oxide/semiconductor (MOS) structure using a monoenergetic positron beam. The Doppler broadening of annihilation quanta were measured as functions of the incident positron energy and the gate bias. Applying negative gate bias, significant increases in S-parameters were observed. This indicates the migration of implanted positrons towards SiO 2 /SiC interface and annihilation at open-volume type defects. The behavior of S-parameters depending on the bias voltage was well correlated with the capacitance-voltage (C-V) characteristics. We observed higher S-parameters and the interfacial trap density in MOS structures fabricated using the dry oxidation method as compared to those by pyrogenic oxidation method

  19. Large-Area CVD-Grown Sub-2 V ReS2 Transistors and Logic Gates.

    Science.gov (United States)

    Dathbun, Ajjiporn; Kim, Youngchan; Kim, Seongchan; Yoo, Youngjae; Kang, Moon Sung; Lee, Changgu; Cho, Jeong Ho

    2017-05-10

    We demonstrated the fabrication of large-area ReS 2 transistors and logic gates composed of a chemical vapor deposition (CVD)-grown multilayer ReS 2 semiconductor channel and graphene electrodes. Single-layer graphene was used as the source/drain and coplanar gate electrodes. An ion gel with an ultrahigh capacitance effectively gated the ReS 2 channel at a low voltage, below 2 V, through a coplanar gate. The contact resistance of the ion gel-gated ReS 2 transistors with graphene electrodes decreased dramatically compared with the SiO 2 -devices prepared with Cr electrodes. The resulting transistors exhibited good device performances, including a maximum electron mobility of 0.9 cm 2 /(V s) and an on/off current ratio exceeding 10 4 . NMOS logic devices, such as NOT, NAND, and NOR gates, were assembled using the resulting transistors as a proof of concept demonstration of the applicability of the devices to complex logic circuits. The large-area synthesis of ReS 2 semiconductors and graphene electrodes and their applications in logic devices open up new opportunities for realizing future flexible electronics based on 2D nanomaterials.

  20. Positron Annihilation in Insulating Materials

    International Nuclear Information System (INIS)

    Asoka-Kumar, P; Sterne, PA

    2002-01-01

    We describe positron results from a wide range of insulating materials. We have completed positron experiments on a range of zeolite-y samples, KDP crystals, alkali halides and laser damaged SiO 2 . Present theoretical understanding of positron behavior in insulators is incomplete and our combined theoretical and experimental approach is aimed at developing a predictive understanding of positrons and positronium annihilation characteristics in insulators. Results from alkali halides and alkaline-earth halides show that positrons annihilate with only the halide ions, with no apparent contribution from the alkali or alkaline-earth cations. This contradicts the results of our existing theory for metals, which predicts roughly equal annihilation contributions from cation and anion. We also present result obtained using Munich positron microprobe on laser damaged SiO 2 samples

  1. Characterization of a vertically movable gate field effect transistor using a silicon-on-insulator wafer

    Science.gov (United States)

    Song, In-Hyouk; Forfang, William B. D.; Cole, Bryan; You, Byoung Hee

    2014-10-01

    The vertically movable gate field effect transistor (VMGFET) is a FET-based sensing element, whose gate moves in a vertical direction over the channel. A VMGFET gate covers the region between source and drain. A 1 μm thick air layer separates the gate and the substrate of the VMGFET. A novel fabrication process to form a VMGFET using a silicon-on-insulator (SOI) wafer provides minimal internal stress of the gate structure. The enhancement-type n-channel VMGFET is fabricated with the threshold voltage of 2.32 V in steady state. A non-inverting amplifier is designed and integrated on a printable circuit board (PCB) to characterize device sensitivity and mechanical properties. The VMGFET is mechanically coupled to a speaker membrane to apply mechanical vibration. The oscillated drain current of FET are monitored and sampled with NI LabVIEW. The frequency of the output signal correlates with that of the input stimulus. The resonance frequency of the fabricated VMGFET is measured to be 1.11 kHz. The device sensitivity linearly increases by 0.106 mV/g Hz in the range of 150 Hz and 1 kHz.

  2. Characterization of a vertically movable gate field effect transistor using a silicon-on-insulator wafer

    International Nuclear Information System (INIS)

    Song, In-Hyouk; Forfang, William B D; Cole, Bryan; Hee You, Byoung

    2014-01-01

    The vertically movable gate field effect transistor (VMGFET) is a FET-based sensing element, whose gate moves in a vertical direction over the channel. A VMGFET gate covers the region between source and drain. A 1 μm thick air layer separates the gate and the substrate of the VMGFET. A novel fabrication process to form a VMGFET using a silicon-on-insulator (SOI) wafer provides minimal internal stress of the gate structure. The enhancement-type n-channel VMGFET is fabricated with the threshold voltage of 2.32 V in steady state. A non-inverting amplifier is designed and integrated on a printable circuit board (PCB) to characterize device sensitivity and mechanical properties. The VMGFET is mechanically coupled to a speaker membrane to apply mechanical vibration. The oscillated drain current of FET are monitored and sampled with NI LabVIEW. The frequency of the output signal correlates with that of the input stimulus. The resonance frequency of the fabricated VMGFET is measured to be 1.11 kHz. The device sensitivity linearly increases by 0.106 mV/g Hz in the range of 150 Hz and 1 kHz. (paper)

  3. Selective SiO2 etching in three dimensional structures using parylene-C as mask

    NARCIS (Netherlands)

    Veltkamp, Henk-Willem; Zhao, Yiyuan; de Boer, Meint J.; Wiegerink, Remco J.; Lötters, Joost Conrad

    2017-01-01

    This abstract describes an application of an easy and straightforward method for selective SiO2 etching in three dimensional structures, which is developed by our group. The application in this abstract is the protection of the buried-oxide (BOX) layer of a silicon-on-insulator (SOI) wafer against

  4. Modeling small-signal response of GaN-based metal-insulator-semiconductor high electron mobility transistor gate stack in spill-over regime: Effect of barrier resistance and interface states

    International Nuclear Information System (INIS)

    Capriotti, M.; Fleury, C.; Oposich, M.; Bethge, O.; Strasser, G.; Pogany, D.; Lagger, P.; Ostermaier, C.

    2015-01-01

    We provide theoretical and simulation analysis of the small signal response of SiO 2 /AlGaN/GaN metal insulator semiconductor (MIS) capacitors from depletion to spill over region, where the AlGaN/SiO 2 interface is accumulated with free electrons. A lumped element model of the gate stack, including the response of traps at the III-N/dielectric interface, is proposed and represented in terms of equivalent parallel capacitance, C p , and conductance, G p . C p -voltage and G p -voltage dependences are modelled taking into account bias dependent AlGaN barrier dynamic resistance R br and the effective channel resistance. In particular, in the spill-over region, the drop of C p with the frequency increase can be explained even without taking into account the response of interface traps, solely by considering the intrinsic response of the gate stack (i.e., no trap effects) and the decrease of R br with the applied forward bias. Furthermore, we show the limitations of the conductance method for the evaluation of the density of interface traps, D it , from the G p /ω vs. angular frequency ω curves. A peak in G p /ω vs. ω occurs even without traps, merely due to the intrinsic frequency response of gate stack. Moreover, the amplitude of the G p /ω vs. ω peak saturates at high D it , which can lead to underestimation of D it . Understanding the complex interplay between the intrinsic gate stack response and the effect of interface traps is relevant for the development of normally on and normally off MIS high electron mobility transistors with stable threshold voltage

  5. Gas-controlled dynamic vacuum insulation with gas gate

    Science.gov (United States)

    Benson, D.K.; Potter, T.F.

    1994-06-07

    Disclosed is a dynamic vacuum insulation comprising sidewalls enclosing an evacuated chamber and gas control means for releasing hydrogen gas into a chamber to increase gas molecule conduction of heat across the chamber and retrieving hydrogen gas from the chamber. The gas control means includes a metal hydride that absorbs and retains hydrogen gas at cooler temperatures and releases hydrogen gas at hotter temperatures; a hydride heating means for selectively heating the metal hydride to temperatures high enough to release hydrogen gas from the metal hydride; and gate means positioned between the metal hydride and the chamber for selectively allowing hydrogen to flow or not to flow between said metal hydride and said chamber. 25 figs.

  6. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    Science.gov (United States)

    Leung, T. C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K. G.

    1993-01-01

    Studies of SiO2-Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO2-Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown.

  7. Study of SiO2-Si and metal-oxide-semiconductor structures using positrons

    International Nuclear Information System (INIS)

    Leung, T.C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K.G.

    1993-01-01

    Studies of SiO 2 -Si and metal-oxide-semiconductor (MOS) structures using positrons are summarized and a concise picture of the present understanding of positrons in these systems is provided. Positron annihilation line-shape S data are presented as a function of the positron incident energy, gate voltage, and annealing, and are described with a diffusion-annihilation equation for positrons. The data are compared with electrical measurements. Distinct annihilation characteristics were observed at the SiO 2 -Si interface and have been studied as a function of bias voltage and annealing conditions. The shift of the centroid (peak) of γ-ray energy distributions in the depletion region of the MOS structures was studied as a function of positron energy and gate voltage, and the shifts are explained by the corresponding variations in the strength of the electric field and thickness of the depletion layer. The potential role of the positron annihilation technique as a noncontact, nondestructive, and depth-sensitive characterization tool for the technologically important, deeply buried interface is shown

  8. Positron annihilation in SiO 2-Si studied by a pulsed slow positron beam

    Science.gov (United States)

    Suzuki, R.; Ohdaira, T.; Uedono, A.; Kobayashi, Y.

    2002-06-01

    Positron and positronium (Ps) behavior in SiO 2-Si have been studied by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The PALS study of SiO 2-Si samples, which were prepared by a dry-oxygen thermal process, revealed that the positrons implanted in the Si substrate and diffused back to the interface do not contribute to the ortho-Ps long-lived component, and the lifetime spectrum of the interface has at least two components. From the AMOC study, the momentum distribution of the ortho-Ps pick-off annihilation in SiO 2, which shows broader momentum distribution than that of crystalline Si, was found to be almost the same as that of free positron annihilation in SiO 2. A varied interface model was proposed to interpret the results of the metal-oxide-semiconductor (MOS) experiments. The narrow momentum distribution found in the n-type MOS with a negative gate bias voltage could be attributed to Ps formation and rapid spin exchange in the SiO 2-Si interface. We have developed a two-dimensional positron lifetime technique, which measures annihilation time and pulse height of the scintillation gamma-ray detector for each event. Using this technique, the positronium behavior in a porous SiO 2 film, grown by a sputtering method, has been studied.

  9. Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators

    Science.gov (United States)

    Li, Min

    High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a

  10. Poly(4-vinylphenol-co-methyl methacrylate) / titanium dioxide nanocomposite gate insulators for 6,13-bis(triisopropylsilylethynyl)-pentacene thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xue; Park, Jiho; Baang, Sungkeun; Park, Jaehoon [Hallym University, Chuncheon (Korea, Republic of); Piao, Shanghao; Kim, Sohee; Choi, Hyoungjin [Inha University, Incheon (Korea, Republic of)

    2014-12-15

    Poly(4-vinylphenol-co-methyl methacrylate) / titanium dioxide (TiO{sub 2}) nanocomposite insulators were fabricated for application in 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-Pn) thin-film transistors (TFTs). The capacitance of the fabricated capacitors with this nanocomposite insulator increased with increasing content of the high-dielectric-constant TiO{sub 2} nanoparticles. Nonetheless, particle aggregates, which were invariably produced in the insulator at higher TiO{sub 2} contents, augmented gate-leakage currents during device operation while the rough surface of the insulator obstructed charge transport in the conducting channel of the TIPS-Pn TFTs. These results suggest a significant effect of the morphological characteristics of nanocomposite insulators on TFT performance, as well as on their dielectric properties. Herein, the optimal particle composition was determined to be approximately 1.5 wt%, which contributed to characteristic improvements in the drain current, field-effect mobility, and threshold voltage of TIPS-Pn TFTs.

  11. On the Evaluation of Gate Dielectrics for 4H-SiC Based Power MOSFETs

    Directory of Open Access Journals (Sweden)

    Muhammad Nawaz

    2015-01-01

    Full Text Available This work deals with the assessment of gate dielectric for 4H-SiC MOSFETs using technology based two-dimensional numerical computer simulations. Results are studied for variety of gate dielectric candidates with varying thicknesses using well-known Fowler-Nordheim tunneling model. Compared to conventional SiO2 as a gate dielectric for 4H-SiC MOSFETs, high-k gate dielectric such as HfO2 reduces significantly the amount of electric field in the gate dielectric with equal gate dielectric thickness and hence the overall gate current density. High-k gate dielectric further reduces the shift in the threshold voltage with varying dielectric thicknesses, thus leading to better process margin and stable device operating behavior. For fixed dielectric thickness, a total shift in the threshold voltage of about 2.5 V has been observed with increasing dielectric constant from SiO2 (k=3.9 to HfO2 (k=25. This further results in higher transconductance of the device with the increase of the dielectric constant from SiO2 to HfO2. Furthermore, 4H-SiC MOSFETs are found to be more sensitive to the shift in the threshold voltage with conventional SiO2 as gate dielectric than high-k dielectric with the presence of interface state charge density that is typically observed at the interface of dielectric and 4H-SiC MOS surface.

  12. Nonvolatile memory thin-film transistors using biodegradable chicken albumen gate insulator and oxide semiconductor channel on eco-friendly paper substrate.

    Science.gov (United States)

    Kim, So-Jung; Jeon, Da-Bin; Park, Jung-Ho; Ryu, Min-Ki; Yang, Jong-Heon; Hwang, Chi-Sun; Kim, Gi-Heon; Yoon, Sung-Min

    2015-03-04

    Nonvolatile memory thin-film transistors (TFTs) fabricated on paper substrates were proposed as one of the eco-friendly electronic devices. The gate stack was composed of chicken albumen gate insulator and In-Ga-Zn-O semiconducting channel layers. All the fabrication processes were performed below 120 °C. To improve the process compatibility of the synthethic paper substrate, an Al2O3 thin film was introduced as adhesion and barrier layers by atomic layer deposition. The dielectric properties of biomaterial albumen gate insulator were also enhanced by the preparation of Al2O3 capping layer. The nonvolatile bistabilities were realized by the switching phenomena of residual polarization within the albumen thin film. The fabricated device exhibited a counterclockwise hysteresis with a memory window of 11.8 V, high on/off ratio of approximately 1.1 × 10(6), and high saturation mobility (μsat) of 11.5 cm(2)/(V s). Furthermore, these device characteristics were not markedly degraded even after the delamination and under the bending situration. When the curvature radius was set as 5.3 cm, the ION/IOFF ratio and μsat were obtained to be 5.9 × 10(6) and 7.9 cm(2)/(V s), respectively.

  13. Experimental study on short-circuit characteristics of the new protection circuit of insulated gate bipolar transistor

    International Nuclear Information System (INIS)

    Ji, In-Hwan; Choi, Young-Hwan; Ha, Min-Woo; Han, Min-Koo; Choi, Yearn-Ik

    2006-01-01

    A new protection circuit employing the collector to emitter voltage (V CE ) sensing scheme for short-circuit withstanding capability of the insulated gate bipolar transistor (IGBT) is proposed and verified by experimental results. Because the current path between the gate and collector can be successfully eliminated in the proposed protection circuit, the power consumption can be reduced and the gate input impedance can be increased. Previous study is limited to dc characteristics. However, experimental results show that the proposed protection circuit successfully reduces the over-current of main IGBT by 80.4% under the short-circuit condition

  14. Band alignments and improved leakage properties of (La2O3)0.5(SiO2)0.5/SiO2/GaN stacks for high-temperature metal-oxide-semiconductor field-effect transistor applications

    Science.gov (United States)

    Gao, L. G.; Xu, B.; Guo, H. X.; Xia, Y. D.; Yin, J.; Liu, Z. G.

    2009-06-01

    The band alignments of (La2O3)0.5(SiO2)0.5(LSO)/GaN and LSO/SiO2/GaN gate dielectric stacks were investigated comparatively by using x-ray photoelectron spectroscopy. The valence band offsets for LSO/GaN stack and LSO/SiO2/GaN stack are 0.88 and 1.69 eV, respectively, while the corresponding conduction band offsets are found to be 1.40 and 1.83 eV, respectively. Measurements of the leakage current density as function of temperature revealed that the LSO/SiO2/GaN stack has much lower leakage current density than that of the LSO/GaN stack, especially at high temperature. It is concluded that the presence of a SiO2 buffer layer increases band offsets and reduces the leakage current density effectively.

  15. Gate-stack engineering for self-organized Ge-dot/SiO2/SiGe-shell MOS capacitors

    Directory of Open Access Journals (Sweden)

    Wei-Ting eLai

    2016-02-01

    Full Text Available We report the first-of-its-kind, self-organized gate-stack heterostructure of Ge-dot/SiO2/SiGe-shell on Si fabricated in a single step through the selective oxidation of a SiGe nano-patterned pillar over a Si3N4 buffer layer on a Si substrate. Process-controlled tunability of the Ge-dot size (7.5−90 nm, the SiO2 thickness (3−4 nm, and as well the SiGe-shell thickness (2−15 nm has been demonstrated, enabling a practically-achievable core building block for Ge-based metal-oxide-semiconductor (MOS devices. Detailed morphologies, structural, and electrical interfacial properties of the SiO2/Ge-dot and SiO2/SiGe interfaces were assessed using transmission electron microscopy, energy dispersive x-ray spectroscopy, and temperature-dependent high/low-frequency capacitance-voltage measurements. Notably, NiGe/SiO2/SiGe and Al/SiO2/Ge-dot/SiO2/SiGe MOS capacitors exhibit low interface trap densities of as low as 3-5x10^11 cm^-2·eV^-1 and fixed charge densities of 1-5x10^11 cm^-2, suggesting good-quality SiO2/SiGe-shell and SiO2/Ge-dot interfaces. In addition, the advantage of having single-crystalline Si1-xGex shell (x > 0.5 in a compressive stress state in our self-aligned gate-stack heterostructure has great promise for possible SiGe (or Ge MOS nanoelectronic and nanophotonic applications.

  16. Fringing field effects in negative capacitance field-effect transistors with a ferroelectric gate insulator

    Science.gov (United States)

    Hattori, Junichi; Fukuda, Koichi; Ikegami, Tsutomu; Ota, Hiroyuki; Migita, Shinji; Asai, Hidehiro; Toriumi, Akira

    2018-04-01

    We study the effects of fringing electric fields on the behavior of negative-capacitance (NC) field-effect transistors (FETs) with a silicon-on-insulator body and a gate stack consisting of an oxide film, an internal metal film, a ferroelectric film, and a gate electrode using our own device simulator that can properly handle the complicated relationship between the polarization and the electric field in ferroelectric materials. The behaviors of such NC FETs and the corresponding metal-oxide-semiconductor (MOS) FETs are simulated and compared with each other to evaluate the effects of the NC of the ferroelectric film. Then, the fringing field effects are evaluated by comparing the NC effects in NC FETs with and without gate spacers. The fringing field between the gate stack, especially the internal metal film, and the source/drain region induces more charges at the interface of the film with the ferroelectric film. Accordingly, the function of the NC to modulate the gate voltage and the resulting function to improve the subthreshold swing are enhanced. We also investigate the relationships of these fringing field effects to the drain voltage and four design parameters of NC FETs, i.e., gate length, gate spacer permittivity, internal metal film thickness, and oxide film thickness.

  17. Densification of ∼5 nm-thick SiO_2 layers by nitric acid oxidation

    International Nuclear Information System (INIS)

    Choi, Jaeyoung; Joo, Soyeong; Park, Tae Joo; Kim, Woo-Byoung

    2017-01-01

    Highlights: • Leakage current density of the commercial PECVD grown ∼5 nm SiO_2 layer has been decreased about three orders of magnitude by densification. • The densification of SiO_2 layer is achieved by high oxidation ability of O·. • Densities of suboxide, fixed charge (N_f) and defect state (N_d) in SiO_2/Si interface are decreased by NAOS and PMA. • Tunneling barrier height (Φ_t) is increased because of the increase of atomic density in SiO_2 layer. - Abstract: Low-temperature nitric acid (HNO_3) oxidation of Si (NAOS) has been used to improve the interface and electrical properties of ∼5 nm-thick SiO_2/Si layers produced by plasma-enhanced chemical vapor deposition (PECVD). Investigations of the physical properties and electrical characteristics of these thin films revealed that although their thickness is not changed by NAOS, the leakage current density at a gate bias voltage of −1 V decreases by about two orders of magnitude from 1.868 × 10"−"5 A/cm"2. This leakage current density was further reduced by post-metallization annealing (PMA) at 250 °C for 10 min in a 5 vol.% hydrogen atmosphere, eventually reaching a level (5.2 × 10"−"8 A/cm"2) approximately three orders of magnitude less than the as-grown SiO_2 layer. This improvement is attributed to a decrease in the concentration of suboxide species (Si"1"+, Si"2"+ and Si"3"+) in the SiO_2/Si interface, as well as a decrease in the equilibrium density of defect sites (N_d) and fixed charge density (N_f). The barrier height (Φ_t) generated by a Poole-Frenkel mechanism also increased from 0.205 to 0.371 eV after NAOS and PMA. The decrease in leakage current density is therefore attributed to a densification of the SiO_2 layer in combination with the removal of OH species and increase in interfacial properties at the SiO_2/Si interface.

  18. Role of Oxygen in Ionic Liquid Gating on Two-Dimensional Cr2Ge2Te6: A Non-oxide Material.

    Science.gov (United States)

    Chen, Yangyang; Xing, Wenyu; Wang, Xirui; Shen, Bowen; Yuan, Wei; Su, Tang; Ma, Yang; Yao, Yunyan; Zhong, Jiangnan; Yun, Yu; Xie, X C; Jia, Shuang; Han, Wei

    2018-01-10

    Ionic liquid gating can markedly modulate a material's carrier density so as to induce metallization, superconductivity, and quantum phase transitions. One of the main issues is whether the mechanism of ionic liquid gating is an electrostatic field effect or an electrochemical effect, especially for oxide materials. Recent observation of the suppression of the ionic liquid gate-induced metallization in the presence of oxygen for oxide materials suggests the electrochemical effect. However, in more general scenarios, the role of oxygen in the ionic liquid gating effect is still unclear. Here, we perform ionic liquid gating experiments on a non-oxide material: two-dimensional ferromagnetic Cr 2 Ge 2 Te 6 . Our results demonstrate that despite the large increase of the gate leakage current in the presence of oxygen, the oxygen does not affect the ionic liquid gating effect on  the channel resistance of Cr 2 Ge 2 Te 6 devices (ionic liquid gating is more effective on the modulation of the channel resistances compared to the back gating across the 300 nm thick SiO 2 .

  19. Graphene-graphite oxide field-effect transistors.

    Science.gov (United States)

    Standley, Brian; Mendez, Anthony; Schmidgall, Emma; Bockrath, Marc

    2012-03-14

    Graphene's high mobility and two-dimensional nature make it an attractive material for field-effect transistors. Previous efforts in this area have used bulk gate dielectric materials such as SiO(2) or HfO(2). In contrast, we have studied the use of an ultrathin layered material, graphene's insulating analogue, graphite oxide. We have fabricated transistors comprising single or bilayer graphene channels, graphite oxide gate insulators, and metal top-gates. The graphite oxide layers show relatively minimal leakage at room temperature. The breakdown electric field of graphite oxide was found to be comparable to SiO(2), typically ~1-3 × 10(8) V/m, while its dielectric constant is slightly higher, κ ≈ 4.3. © 2012 American Chemical Society

  20. Effects of (NH4)2S x treatment on the surface properties of SiO2 as a gate dielectric for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of (NH4)2S x treatment on the surface properties of SiO2 is studied. (NH4)2S x treatment leads to the formation of S-Si bonds on the SiO2 surface that serves to reduce the number of donor-like trap states, inducing the shift of the Fermi level toward the conduction band minimum. A finding in this case is the noticeably reduced value of the SiO2 capacitance as the sulfurated layer is formed at the SiO2 surface. The effect of SiO2 layers with (NH4)2S x treatment on the carrier transport behaviors for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. The pentacene/as-cleaned SiO2-based OTFT shows depletion-mode behavior, whereas the pentacene/(NH4)2S x -treated SiO2-based OTFT exhibits enhancement-mode behavior. Experimental identification confirms that the depletion-/enhancement-mode conversion is due to the dominance competition between donor-like trap states in SiO2 near the pentacene/SiO2 interface and acceptor-like trap states in the pentacene channel. A sulfurated layer between pentacene and SiO2 is expected to give significant contributions to carrier transport for pentacene/SiO2-based OTFTs.

  1. A Grand Challenge for CMOS Scaling: Alternate Gate Dielectrics

    Science.gov (United States)

    Wallace, Robert M.

    2001-03-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.13 um complementary metal oxide semiconductor (CMOS) technology. The prospect of replacing SiO2 is a formidable task because the alternate gate dielectric must provide many properties that are, at a minimum, comparable to those of SiO2 yet with a much higher permittivity. A systematic examination of the required performance of gate dielectrics suggests that the key properties to consider in the selection an alternative gate dielectric candidate are (a) permittivity, band gap and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. We will review the performance requirements for materials associated with CMOS scaling, the challenges associated with these requirements, and the state-of-the-art in current research for alternate gate dielectrics. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  2. A novel double gate MOSFET by symmetrical insulator packets with improved short channel effects

    Science.gov (United States)

    Ramezani, Zeinab; Orouji, Ali A.

    2018-03-01

    In this article, we study a novel double-gate SOI MOSFET structure incorporating insulator packets (IPs) at the junction between channel and source/drain (S/D) ends. The proposed MOSFET has great strength in inhibiting short channel effects and OFF-state current that are the main problems compared with conventional one due to the significant suppressed penetrations of both the lateral electric field and the carrier diffusion from the S/D into the channel. Improvement of the hot electron reliability, the ON to OFF drain current ratio, drain-induced barrier lowering, gate-induced drain leakage and threshold voltage over conventional double-gate SOI MOSFETs, i.e. without IPs, is displayed with the simulation results. This study is believed to improve the CMOS device reliability and is suitable for the low-power very-large-scale integration circuits.

  3. Synthesis and Luminescence Properties of Yellow-emitting SiO2/Zn2SiO4: Mn Nanocomposite

    Directory of Open Access Journals (Sweden)

    Karim OMRI

    2014-05-01

    Full Text Available Yellow light emitting Mn2+-doped b-Zn2SiO4 phosphor nanoparticles embedded in SiO2 host matrix, were prepared by a simple solid-phase reaction under natural atmosphere at 1500 °C for 2 hours after the incorporation of manganese doped zinc oxide nanoparticles in silica using sol-gel method. The SiO2/Zn2SiO4:Mn nanocomposite was characterized by X-ray diffraction (XRD, transmission electron microscopy (TEM, scanning electron microscopy (SEM and photoluminescence (PL. The nanopowder was crystallized in triclinic b-Zn2SiO4 phase with a particles size varies between 70 nm and 84 nm. The SiO2/b-Zn2SiO4:Mn nanocomposite exhibited a broad yellow emission band at 575 nm under UV excitation light. The dependence of the intensity and energy position of the obtained PL band on measurement temperature and power excitation will be discussed.

  4. Effect of ZnO channel thickness on the device behaviour of nonvolatile memory thin film transistors with double-layered gate insulators of Al2O3 and ferroelectric polymer

    International Nuclear Information System (INIS)

    Yoon, Sung-Min; Yang, Shin-Hyuk; Ko Park, Sang-Hee; Jung, Soon-Won; Cho, Doo-Hee; Byun, Chun-Won; Kang, Seung-Youl; Hwang, Chi-Sun; Yu, Byoung-Gon

    2009-01-01

    Poly(vinylidene fluoride trifluoroethylene) and ZnO were employed for nonvolatile memory thin film transistors as ferroelectric gate insulator and oxide semiconducting channel layers, respectively. It was proposed that the thickness of the ZnO layer be carefully controlled for realizing the lower programming voltage, because the serially connected capacitor by the formation of a fully depleted ZnO channel had a critical effect on the off programming voltage. The fabricated memory transistor with Al/P(VDF-TrFE) (80 nm)/Al 2 O 3 (4 nm)/ZnO (5 nm) exhibits encouraging behaviour such as a memory window of 3.8 V at the gate voltage of -10 to 12 V, and 10 7 on/off ratio, and a gate leakage current of 10 -11 A.

  5. Quantum and Classical Magnetoresistance in Ambipolar Topological Insulator Transistors with Gate-tunable Bulk and Surface Conduction

    Science.gov (United States)

    Tian, Jifa; Chang, Cuizu; Cao, Helin; He, Ke; Ma, Xucun; Xue, Qikun; Chen, Yong P.

    2014-01-01

    Weak antilocalization (WAL) and linear magnetoresistance (LMR) are two most commonly observed magnetoresistance (MR) phenomena in topological insulators (TIs) and often attributed to the Dirac topological surface states (TSS). However, ambiguities exist because these phenomena could also come from bulk states (often carrying significant conduction in many TIs) and are observable even in non-TI materials. Here, we demonstrate back-gated ambipolar TI field-effect transistors in (Bi0.04Sb0.96)2Te3 thin films grown by molecular beam epitaxy on SrTiO3(111), exhibiting a large carrier density tunability (by nearly 2 orders of magnitude) and a metal-insulator transition in the bulk (allowing switching off the bulk conduction). Tuning the Fermi level from bulk band to TSS strongly enhances both the WAL (increasing the number of quantum coherent channels from one to peak around two) and LMR (increasing its slope by up to 10 times). The SS-enhanced LMR is accompanied by a strongly nonlinear Hall effect, suggesting important roles of charge inhomogeneity (and a related classical LMR), although existing models of LMR cannot capture all aspects of our data. Our systematic gate and temperature dependent magnetotransport studies provide deeper insights into the nature of both MR phenomena and reveal differences between bulk and TSS transport in TI related materials. PMID:24810663

  6. Nanogranular SiO{sub 2} proton gated silicon layer transistor mimicking biological synapses

    Energy Technology Data Exchange (ETDEWEB)

    Liu, M. J.; Huang, G. S., E-mail: gshuang@fudan.edu.cn, E-mail: pfeng@nju.edu.cn; Guo, Q. L.; Tian, Z. A.; Li, G. J.; Mei, Y. F. [Department of Materials Science, Fudan University, Shanghai 200433 (China); Feng, P., E-mail: gshuang@fudan.edu.cn, E-mail: pfeng@nju.edu.cn; Shao, F.; Wan, Q. [School of Electronic Science and Engineering and Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China)

    2016-06-20

    Silicon on insulator (SOI)-based transistors gated by nanogranular SiO{sub 2} proton conducting electrolytes were fabricated to mimic synapse behaviors. This SOI-based device has both top proton gate and bottom buried oxide gate. Electrical transfer properties of top proton gate show hysteresis curves different from those of bottom gate, and therefore, excitatory post-synaptic current and paired pulse facilitation (PPF) behavior of biological synapses are mimicked. Moreover, we noticed that PPF index can be effectively tuned by the spike interval applied on the top proton gate. Synaptic behaviors and functions, like short-term memory, and its properties are also experimentally demonstrated in our device. Such SOI-based electronic synapses are promising for building neuromorphic systems.

  7. Effects of trap density on drain current LFN and its model development for E-mode GaN MOS-HEMT

    Science.gov (United States)

    Panda, D. K.; Lenka, T. R.

    2017-12-01

    In this paper the drain current low-frequency noise (LFN) of E-mode GaN MOS-HEMT is investigated for different gate insulators such as SiO2, Al2O3/Ga2O3/GdO3, HfO2/SiO2, La2O3/SiO2 and HfO2 with different trap densities by IFM based TCAD simulation. In order to analyze this an analytical model of drain current low frequency noise is developed. The model is developed by considering 2DEG carrier fluctuations, mobility fluctuations and the effects of 2DEG charge carrier fluctuations on the mobility. In the study of different gate insulators it is observed that carrier fluctuation is the dominant low frequency noise source and the non-uniform exponential distribution is critical to explain LFN behavior, so the analytical model is developed by considering uniform distribution of trap density. The model is validated with available experimental data from literature. The effect of total number of traps and gate length scaling on this low frequency noise due to different gate dielectrics is also investigated.

  8. Voltage-Controlled Spray Deposition of Multiwalled Carbon Nanotubes on Semiconducting and Insulating Substrates

    Science.gov (United States)

    Maulik, Subhodip; Sarkar, Anirban; Basu, Srismrita; Daniels-Race, Theda

    2018-05-01

    A facile, cost-effective, voltage-controlled, "single-step" method for spray deposition of surfactant-assisted dispersed carbon nanotube (CNT) thin films on semiconducting and insulating substrates has been developed. The fabrication strategy enables direct deposition and adhesion of CNT films on target samples, eliminating the need for substrate surface functionalization with organosilane binder agents or metal layer coatings. Spray coating experiments on four types of sample [bare silicon (Si), microscopy-grade glass samples, silicon dioxide (SiO2), and polymethyl methacrylate (PMMA)] under optimized control parameters produced films with thickness ranging from 40 nm to 6 μm with substantial surface coverage and packing density. These unique deposition results on both semiconducting and insulator target samples suggest potential applications of this technique in CNT thin-film transistors with different gate dielectrics, bendable electronics, and novel CNT-based sensing devices, and bodes well for further investigation into thin-film coatings of various inorganic, organic, and hybrid nanomaterials on different types of substrate.

  9. Poly(4-vinylphenol) gate insulator with cross-linking using a rapid low-power microwave induction heating scheme for organic thin-film-transistors

    Science.gov (United States)

    Fan, Ching-Lin; Shang, Ming-Chi; Hsia, Mao-Yuan; Wang, Shea-Jue; Huang, Bohr-Ran; Lee, Win-Der

    2016-03-01

    A Microwave-Induction Heating (MIH) scheme is proposed for the poly(4-vinylphenol) (PVP) gate insulator cross-linking process to replace the traditional oven heating cross-linking process. The cross-linking time is significantly decreased from 1 h to 5 min by heating the metal below the PVP layer using microwave irradiation. The necessary microwave power was substantially reduced to about 50 W by decreasing the chamber pressure. The MIH scheme is a good candidate to replace traditional thermal heating for cross-linking of PVP as the gate insulator for organic thin-film-transistors.

  10. Demonstration of AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors with silicon-oxy-nitride as the gate insulator

    International Nuclear Information System (INIS)

    Balachander, K.; Arulkumaran, S.; Egawa, T.; Sano, Y.; Baskar, K.

    2005-01-01

    AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOSHEMTs) were fabricated with plasma enhanced chemical vapor deposited silicon oxy-nitride (SiON) as an insulating layer. The compositions of SiON thin films were confirmed using X-ray photoelectron spectroscopy. The fabricated MOSHEMTs exhibited a very high saturation current density of 1.1 A/mm coupled with high positive operational gate voltage up to +7 V. The MOSHEMTs also exhibited four orders of low gate leakage current and high forward-on voltage when compared with the conventional HEMTs. The drain current collapse using gate pulse measurements showed only a negligible difference in the saturation current density revealing the drastic improvement in passivation of the surface states due to the high quality of dielectric thin films deposited. Thus, based on the improved direct-current operation, SiON can be considered to be a potential gate oxide comparable with other dielectric insulators

  11. Poly(4-vinylphenol gate insulator with cross-linking using a rapid low-power microwave induction heating scheme for organic thin-film-transistors

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2016-03-01

    Full Text Available A Microwave-Induction Heating (MIH scheme is proposed for the poly(4-vinylphenol (PVP gate insulator cross-linking process to replace the traditional oven heating cross-linking process. The cross-linking time is significantly decreased from 1 h to 5 min by heating the metal below the PVP layer using microwave irradiation. The necessary microwave power was substantially reduced to about 50 W by decreasing the chamber pressure. The MIH scheme is a good candidate to replace traditional thermal heating for cross-linking of PVP as the gate insulator for organic thin-film-transistors.

  12. The ceramic SiO2 and SiO2-TiO2 coatings on biomedical Ti6Al4VELI titanium alloy

    International Nuclear Information System (INIS)

    Surowska, B.; Walczak, M.; Bienias, J.

    2004-01-01

    The paper presents the study of intermediate SiO 2 and SiO 2 -TiO 2 sol-gel coatings and dental porcelain coatings on Ti6Al4VELI titanium alloy. Surface microstructures and wear behaviour by pin-on-disc method of the ceramic coatings were investigated. The analysis revealed: (1) a compact, homogeneous SiO 2 and SiO 2 -TiO 2 coating and (2) that intermediate coatings may provide a durable joint between metal and porcelain, and (3) that dental porcelain on SiO 2 and TiO 2 coatings shows high wear resistance. (author)

  13. Selenide isotope generator for the Galileo Mission: SIG thermal insulation evaluaion tests

    International Nuclear Information System (INIS)

    1979-06-01

    Since the SIG program required the use of very high performance thermal insulation materials in rather severe thermal and environmental conditions, a thorough screening and testing program was performed. Several types of materials were included in the preliminary survey. Most promising were oxide and carbonaceous fibrous insulations, oxide and carbonaceous foamed materials, and multilayer materials with both powder and cloth spacers. The latter were only viable for the vacuum option. In all, over one hundred materials from more than sixty manufacturers were evaluated from literature and manufacturers' data. The list was pared to eighteen candidates in seven basic types, i.e., fibrous microporous SiO 2 , fibrous SiO 2 /Al 2 O 3 , fibrous ZrO 2 , fibrous carbon, foamed SiO 2 , foamed carbon, and multilayer. Test results are presented

  14. Channel mobility degradation and charge trapping in high-k/metal gate NMOSFETs

    International Nuclear Information System (INIS)

    Mathew, Shajan; Bera, L.K.; Balasubramanian, N.; Joo, M.S.; Cho, B.J.

    2004-01-01

    NMOSFETs with Metalo-Organic Chemical Vapor Deposited (MOCVD) HfAlO gate dielectric and TiN metal gate have been fabricated. Channel electron mobility was measured using the split-CV method and compared with SiO 2 devices. All high-k devices showed lower mobility compared with SiO 2 reference devices. High-k MOSFETs exhibited significant charge trapping and threshold instability. Threshold voltage recovery with time was studied on devices with oxide/nitride interfacial layer between high-k film and silicon substrate

  15. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  16. Interfacial microstructure of NiSi x/HfO2/SiO x/Si gate stacks

    International Nuclear Information System (INIS)

    Gribelyuk, M.A.; Cabral, C.; Gusev, E.P.; Narayanan, V.

    2007-01-01

    Integration of NiSi x based fully silicided metal gates with HfO 2 high-k gate dielectrics offers promise for further scaling of complementary metal-oxide- semiconductor devices. A combination of high resolution transmission electron microscopy and small probe electron energy loss spectroscopy (EELS) and energy dispersive X-ray analysis has been applied to study interfacial reactions in the undoped gate stack. NiSi was found to be polycrystalline with the grain size decreasing from top to bottom of NiSi x film. Ni content varies near the NiSi/HfO x interface whereby both Ni-rich and monosilicide phases were observed. Spatially non-uniform distribution of oxygen along NiSi x /HfO 2 interface was observed by dark field Scanning Transmission Electron Microscopy and EELS. Interfacial roughness of NiSi x /HfO x was found higher than that of poly-Si/HfO 2 , likely due to compositional non-uniformity of NiSi x . No intermixing between Hf, Ni and Si beyond interfacial roughness was observed

  17. Investigation of Rapid Low-Power Microwave-Induction Heating Scheme on the Cross-Linking Process of the Poly(4-vinylphenol) for the Gate Insulator of Pentacene-Based Thin-Film Transistors

    Science.gov (United States)

    Fan, Ching-Lin; Shang, Ming-Chi; Wang, Shea-Jue; Hsia, Mao-Yuan; Lee, Win-Der; Huang, Bohr-Ran

    2017-01-01

    In this study, a proposed Microwave-Induction Heating (MIH) scheme has been systematically studied to acquire suitable MIH parameters including chamber pressure, microwave power and heating time. The proposed MIH means that the thin indium tin oxide (ITO) metal below the Poly(4-vinylphenol) (PVP) film is heated rapidly by microwave irradiation and the heated ITO metal gate can heat the PVP gate insulator, resulting in PVP cross-linking. It is found that the attenuation of the microwave energy decreases with the decreasing chamber pressure. The optimal conditions are a power of 50 W, a heating time of 5 min, and a chamber pressure of 20 mTorr. When suitable MIH parameters were used, the effect of PVP cross-linking and the device performance were similar to those obtained using traditional oven heating, even though the cross-linking time was significantly decreased from 1 h to 5 min. Besides the gate leakage current, the interface trap state density (Nit) was also calculated to describe the interface status between the gate insulator and the active layer. The lowest interface trap state density can be found in the device with the PVP gate insulator cross-linked by using the optimal MIH condition. Therefore, it is believed that the MIH scheme is a good candidate to cross-link the PVP gate insulator for organic thin-film transistor applications as a result of its features of rapid heating (5 min) and low-power microwave-irradiation (50 W). PMID:28773101

  18. Investigation of Rapid Low-Power Microwave-Induction Heating Scheme on the Cross-Linking Process of the Poly(4-vinylphenol for the Gate Insulator of Pentacene-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2017-07-01

    Full Text Available In this study, a proposed Microwave-Induction Heating (MIH scheme has been systematically studied to acquire suitable MIH parameters including chamber pressure, microwave power and heating time. The proposed MIH means that the thin indium tin oxide (ITO metal below the Poly(4-vinylphenol (PVP film is heated rapidly by microwave irradiation and the heated ITO metal gate can heat the PVP gate insulator, resulting in PVP cross-linking. It is found that the attenuation of the microwave energy decreases with the decreasing chamber pressure. The optimal conditions are a power of 50 W, a heating time of 5 min, and a chamber pressure of 20 mTorr. When suitable MIH parameters were used, the effect of PVP cross-linking and the device performance were similar to those obtained using traditional oven heating, even though the cross-linking time was significantly decreased from 1 h to 5 min. Besides the gate leakage current, the interface trap state density (Nit was also calculated to describe the interface status between the gate insulator and the active layer. The lowest interface trap state density can be found in the device with the PVP gate insulator cross-linked by using the optimal MIH condition. Therefore, it is believed that the MIH scheme is a good candidate to cross-link the PVP gate insulator for organic thin-film transistor applications as a result of its features of rapid heating (5 min and low-power microwave-irradiation (50 W.

  19. Stability Study of Flexible 6,13-Bis(triisopropylsilylethynylpentacene Thin-Film Transistors with a Cross-Linked Poly(4-vinylphenol/Yttrium Oxide Nanocomposite Gate Insulator

    Directory of Open Access Journals (Sweden)

    Jin-Hyuk Kwon

    2016-03-01

    Full Text Available We investigated the electrical and mechanical stability of flexible 6,13-bis(triisopropylsilylehtynylpentacene (TIPS-pentacene thin-film transistors (TFTs that were fabricated on polyimide (PI substrates using cross-linked poly(4-vinylphenol (c-PVP and c-PVP/yttrium oxide (Y2O3 nanocomposite films as gate insulators. Compared with the electrical characteristics of TIPS-pentacene TFTs with c-PVP insulators, the TFTs with c-PVP/Y2O3 nanocomposite insulators exhibited enhancements in the drain current and the threshold voltage due to an increase in the dielectric capacitance. In electrical stability experiments, a gradual decrease in the drain current and a negative shift in the threshold voltage occurred during prolonged bias stress tests, but these characteristic variations were comparable for both types of TFT. On the other hand, the results of mechanical bending tests showed that the characteristic degradation of the TIPS-pentacene TFTs with c-PVP/Y2O3 nanocomposite insulators was more critical than that of the TFTs with c-PVP insulators. In this study, the detrimental effect of the nanocomposite insulator on the mechanical stability of flexible TIPS-pentacene TFTs was found to be caused by physical adhesion of TIPS-pentacene molecules onto the rough surfaces of the c-PVP/Y2O3 nanocomposite insulator. These results indicate that the dielectric and morphological properties of polymeric nanocomposite insulators are significant when considering practical applications of flexible electronics operated at low voltages.

  20. Gate-tunable coherent transport in Se-capped Bi{sub 2}Se{sub 3} grown on amorphous SiO{sub 2}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. H.; Chong, C. W., E-mail: cheongwei2000@yahoo.com, E-mail: jcahuang@mail.ncku.edu.tw, E-mail: smhuang@mail.nsysu.edu.tw; Huang, S. Y. [Department of Physics, National Cheng Kung University, Tainan 70101, Taiwan (China); Jheng, J. L.; Huang, S. M., E-mail: cheongwei2000@yahoo.com, E-mail: jcahuang@mail.ncku.edu.tw, E-mail: smhuang@mail.nsysu.edu.tw [Department of Physics, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan (China); Huang, J. C. A., E-mail: cheongwei2000@yahoo.com, E-mail: jcahuang@mail.ncku.edu.tw, E-mail: smhuang@mail.nsysu.edu.tw [Department of Physics, National Cheng Kung University, Tainan 70101, Taiwan (China); Advanced Optoelectronic Technology Center (AOTC), National Cheng Kung University, Tainan 70101, Taiwan (China); Taiwan Consortium of Emergent Crystalline Materials (TCECM), Ministry of Science and Technology, Taipei 10622, Taiwan (China); Li, Z.; Qiu, H. [School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei, Anhui 230009 (China); Marchenkov, V. V. [M.N. Miheev Institute of Metal Physics, Ekaterinburg 620137 (Russian Federation)

    2015-07-06

    A topological insulator (TI) is an exotic material that has a bulk insulating gap and metallic surface states with unique spin-momentum locking characteristics. Despite its various important applications, large scale integration of TI into MOSFET technologies and its coherent transport study are still rarely explored. Here, we report the growth of high quality Bi{sub 2}Se{sub 3} thin film on amorphous SiO{sub 2}/Si substrate using MBE. By controlling the thickness of the film at ∼7 nm and capping the as grown film in situ with a 2 nm-thick Se layer, largest electrostatic field effect is obtained and the resistance is changed by almost 300%. More importantly, pronounced gate-tunable weak antilocalization (WAL) is observed, which refers to modulation of α from ∼−0.55 to ∼−0.2 by applying a back gate voltage. The analysis herein suggests that the significant gate-tunable WAL is attributable to the transition from weak disorder into intermediate disorder regime when the Fermi level is shifted downward by increasing the negative back gate voltage. Our findings may pave the ways towards the development of TI-based MOSFET and are promising for the applications of electric-field controlled spintronic and magnetic device.

  1. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  2. rf Quantum Capacitance of the Topological Insulator Bi2Se3 in the Bulk Depleted Regime for Field-Effect Transistors

    Science.gov (United States)

    Inhofer, A.; Duffy, J.; Boukhicha, M.; Bocquillon, E.; Palomo, J.; Watanabe, K.; Taniguchi, T.; Estève, I.; Berroir, J. M.; Fève, G.; Plaçais, B.; Assaf, B. A.

    2018-02-01

    A metal-dielectric topological-insulator capacitor device based on hexagonal-boron-nitrate- (h -BN) encapsulated CVD-grown Bi2Se3 is realized and investigated in the radio-frequency regime. The rf quantum capacitance and device resistance are extracted for frequencies as high as 10 GHz and studied as a function of the applied gate voltage. The superior quality h -BN gate dielectric combined with the optimized transport characteristics of CVD-grown Bi2Se3 (n ˜1018 cm-3 in 8 nm) on h -BN allow us to attain a bulk depleted regime by dielectric gating. A quantum-capacitance minimum and a linear variation of the capacitance with the chemical potential are observed revealing a Dirac regime. The topological surface state in proximity to the gate is seen to reach charge neutrality, but the bottom surface state remains charged and capacitively coupled to the top via the insulating bulk. Our work paves the way toward implementation of topological materials in rf devices.

  3. Thickness and composition of ultrathin SiO2 layers on Si

    International Nuclear Information System (INIS)

    Marel, C. van der; Verheijen, M.A.; Tamminga, Y.; Pijnenburg, R.H.W.; Tombros, N.; Cubaynes, F.

    2004-01-01

    investigated [D. A. Muller, T. Sorsch, S. Moccio, F. H. Baumann, K. Evans-Lutterodt, and G. Timp, Nature (London) 399, 758 (1999); D. A. Muller and J. B. Neaton, Structure and Energetics of the Interface Between Si and Amorphous SiO 2 in Fundamental Aspects of Silicon Oxidation, edited by Y. J. Chabal (Springer, Berlin, 2001), pp. 219-246.] by means of high-resolution electron energy loss spectroscopy measurements of the O K edge in ultrathin gate oxides of SiO 2

  4. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  5. Association behaviour of 241Am(III) on SiO2(amorphous) and SiO2(quartz) colloids

    International Nuclear Information System (INIS)

    Degueldre, C.; Wernli, B.

    1993-01-01

    SiO 2 colloids have been identified as a potential vector for enhancing radionuclide transport in granitic groundwater and in concrete pore water. The sorption behaviour of 241 Am(III) on SiO 2 colloids was studied as a function of americium concentration pH (5-12), colloid concentration, ionic strength, temperature and SiO 2 allotropic species. The Am(III) sorption mechanism on amorphous silica is different from that on quartz. For SiO 2(amorphous) solution, the variation of log K p (ml g -1 ) with pH is linear (pH=5-9) with a slope of +1 indicating a one proton exchange mechanism. The colloid concentration (ppm) affects the sorption and log K p 3.7-0.67 log [SiO 2 ] (pH = 6). K p increases insignificantly when the ionic strength decreases. It shows no significant variation, however, with the Am concentration. On amorphous silica, the Am(III) sorption is driven by proton exchange from the silanol groups. For SiO 2 (quartz), log K p is constant over a large range of quartz concentration in suspension and the variation of log K p with pH is about linear (pH = 5-12), with a slope of 0.28, indicating a more complex exchange mechanism. Reactions taking into account the interaction of positive Am(OH) w (3-w)+ species on to the negatively charged quartz surface are suggested. (author)

  6. Chemical gating of epitaxial graphene through ultrathin oxide layers.

    Science.gov (United States)

    Larciprete, Rosanna; Lacovig, Paolo; Orlando, Fabrizio; Dalmiglio, Matteo; Omiciuolo, Luca; Baraldi, Alessandro; Lizzit, Silvano

    2015-08-07

    We achieved a controllable chemical gating of epitaxial graphene grown on metal substrates by exploiting the electrostatic polarization of ultrathin SiO2 layers synthesized below it. Intercalated oxygen diffusing through the SiO2 layer modifies the metal-oxide work function and hole dopes graphene. The graphene/oxide/metal heterostructure behaves as a gated plane capacitor with the in situ grown SiO2 layer acting as a homogeneous dielectric spacer, whose high capacity allows the Fermi level of graphene to be shifted by a few hundreds of meV when the oxygen coverage at the metal substrate is of the order of 0.5 monolayers. The hole doping can be finely tuned by controlling the amount of interfacial oxygen, as well as by adjusting the thickness of the oxide layer. After complete thermal desorption of oxygen the intrinsic doping of SiO2 supported graphene is evaluated in the absence of contaminants and adventitious adsorbates. The demonstration that the charge state of graphene can be changed by chemically modifying the buried oxide/metal interface hints at the possibility of tuning the level and sign of doping by the use of other intercalants capable of diffusing through the ultrathin porous dielectric and reach the interface with the metal.

  7. Ambipolar field effect in the ternary topological insulator (BixSb1–x)2Te3 by composition tuning

    KAUST Repository

    Kong, Desheng

    2011-10-02

    Topological insulators exhibit a bulk energy gap and spin-polarized surface states that lead to unique electronic properties 1-9, with potential applications in spintronics and quantum information processing. However, transport measurements have typically been dominated by residual bulk charge carriers originating from crystal defects or environmental doping 10-12, and these mask the contribution of surface carriers to charge transport in these materials. Controlling bulk carriers in current topological insulator materials, such as the binary sesquichalcogenides Bi 2Te 3, Sb 2Te 3 and Bi 2Se 3, has been explored extensively by means of material doping 8,9,11 and electrical gating 13-16, but limited progress has been made to achieve nanostructures with low bulk conductivity for electronic device applications. Here we demonstrate that the ternary sesquichalcogenide (Bi xSb 1-x) 2Te 3 is a tunable topological insulator system. By tuning the ratio of bismuth to antimony, we are able to reduce the bulk carrier density by over two orders of magnitude, while maintaining the topological insulator properties. As a result, we observe a clear ambipolar gating effect in (Bi xSb 1-x) 2Te 3 nanoplate field-effect transistor devices, similar to that observed in graphene field-effect transistor devices 17. The manipulation of carrier type and density in topological insulator nanostructures demonstrated here paves the way for the implementation of topological insulators in nanoelectronics and spintronics. © 2011 Macmillan Publishers Limited. All rights reserved.

  8. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    Science.gov (United States)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  9. Do SiO 2 and carbon-doped SiO 2 nanoparticles melt? Insights from QM/MD simulations and ramifications regarding carbon nanotube growth

    Science.gov (United States)

    Page, Alister J.; Chandrakumar, K. R. S.; Irle, Stephan; Morokuma, Keiji

    2011-05-01

    Quantum chemical molecular dynamics (QM/MD) simulations of pristine and carbon-doped SiO 2 nanoparticles have been performed between 1000 and 3000 K. At temperatures above 1600 K, pristine nanoparticle SiO 2 decomposes rapidly, primarily forming SiO. Similarly, carbon-doped nanoparticle SiO 2 decomposes at temperatures above 2000 K, primarily forming SiO and CO. Analysis of the physical states of these pristine and carbon-doped SiO 2 nanoparticles indicate that they remain in the solid phase throughout decomposition. This process is therefore one of sublimation, as the liquid phase is never entered. Ramifications of these observations with respect to presently debated mechanisms of carbon nanotube growth on SiO 2 nanoparticles will be discussed.

  10. Unified analytical threshold voltage model for non-uniformly doped dual metal gate fully depleted silicon-on-insulator MOSFETs

    Science.gov (United States)

    Rao, Rathnamala; Katti, Guruprasad; Havaldar, Dnyanesh S.; DasGupta, Nandita; DasGupta, Amitava

    2009-03-01

    The paper describes the unified analytical threshold voltage model for non-uniformly doped, dual metal gate (DMG) fully depleted silicon-on-insulator (FDSOI) MOSFETs based on the solution of 2D Poisson's equation. 2D Poisson's equation is solved analytically for appropriate boundary conditions using separation of variables technique. The solution is then extended to obtain the threshold voltage of the FDSOI MOSFET. The model is able to handle any kind of non-uniform doping, viz. vertical, lateral as well as laterally asymetric channel (LAC) profile in the SOI film in addition to the DMG structure. The analytical results are validated with the numerical simulations using the device simulator MEDICI.

  11. Preliminary study in development of glass-ceramic based on SiO2-LiO2 system, starting of different SiO2 starting powders

    International Nuclear Information System (INIS)

    Daguano, J.K.M.F.; Santos, F.A.; Santos, C.; Marton, L.F.M.; Conte, R.A.; Rodrigues Junior, D.; Melo, F.C.L.

    2009-01-01

    In this work, lithium disilicate glass-ceramics were developed starting of the rice ash- SiO 2 and Li 2 CO 3 powders. The results were compared with glass ceramics based on the lithium disilicate obtained by commercial SiO 2 powders. Glass were melted at 1580 deg C, and annealed at 850 deg C. X-Ray diffraction and scanning electron microscopy were used for characterization of the materials, and hardness and fracture toughness were evaluated using Vickers indentation method. Glasses with amorphous structure were obtained in both materials. After annealing, 'rice-ash' samples presented Li 2 SiO 3 and residual SiO 2 as crystalline phases. On the other side, commercial SiO 2 - Samples presented only Li 2 Si 2 O 5 as crystalline phases and the better results of hardness and fracture toughness. (author)

  12. Study of bulk Hafnium oxide (HfO2) under compression

    Science.gov (United States)

    Pathak, Santanu; Mandal, Guruprasad; Das, Parnika

    2018-04-01

    Hafnium oxide (HfO2) is a technologically important material. This material has K-value of 25 and band gap 5.8 eV. A k value of 25-30 is preferred for a gate dielectric [1]. As it shows good insulating and capacitive properties, HfO2 is being considered as a replacement to SiO2 in microelectronic devices as gate dielectrics. On the other hand because of toughening mechanism due to phase transformation induced by stress field observed in these oxides, HFO2 has been a material of investigations in various configurations for a very long time. However the controversies about phase transition of HfO2 under pressure still exists. High quality synchrotron radiation has been used to study the structural phase transition of HfO2 under pressure.

  13. Thermodynamics of Bi2O3-SiO2 system

    Directory of Open Access Journals (Sweden)

    Onderka B.

    2017-01-01

    Full Text Available Thermodynamic properties of the liquid Bi2O3-SiO2 solutions were determined from the results of the electrochemical measurements by use of the solid oxide galvanic cells with YSZ (Yttria-Stabilized-Zirconia electrolyte. Activities of Bi2O3 in the solutions were determined for 0.2, 0.3, 0.4, and 0.5 SiO2 mole fractions in the temperature range 1073-1293 K from measured electromotive force (e.m.f of the solid electrolyte galvanic cell: Bi, Bi2O3-SiO2 | YSZ | air (pO2 = 0.213 bar Additionally, heat capacity data obtained for two solid phases 6Bi2O3•SiO2 and 2Bi2O3•3SiO2 were included into optimization of thermodynamic properties of the system. Optimization procedure was supported by differential thermal analysis (DTA data obtained in this work as well as those accepted from the literature. Using the data obtained in this work, and the information about phase equilibria found in the literature, binary system Bi2O3-SiO2 was assessed with the ThermoCalc software.

  14. Lowered operation voltage in Pt/SBi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistors by oxynitriding Si

    International Nuclear Information System (INIS)

    Horiuchi, Takeshi; Takahashi, Mitsue; Li, Qiu-Hong; Wang, Shouyu; Sakai, Shigeki

    2010-01-01

    Oxynitrided Si (SiON) surfaces show smaller subthreshold swings than do directly nitrided Si (SiN) surfaces when used in ferroelectric-gate field-effect transistors (FeFETs) having the following stacked-gate structure: Pt/SrBi 2 Ta 2 O 9 (SBT)/HfO 2 /Si. SiON/Si substrates for FeFETs were prepared by rapid thermal oxidation (RTO) in O 2 at 1000 °C and subsequent rapid thermal nitridation (RTN) in NH 3 at various temperatures in the range 950–1150 °C. The electrical properties of the Pt/SBT/HfO 2 /SiON/Si FeFET were compared with those of reference FETs, i.e. Pt/SBT/HfO 2 gate stacks formed on Si substrates subjected to various treatments: SiN x /Si formed by RTN, SiO 2 /Si formed by RTO and untreated Si. The Pt/SBT/HfO 2 /SiON/Si FeFET had a larger memory window than all the other reference FeFETs, particularly at low operation voltages when the RTN temperature was 1050 °C

  15. Preparation and Characterization of Fluorescent SiO2 Microspheres

    Science.gov (United States)

    Xu, Cui; Zhang, Hao; Guan, Ruifang

    2018-01-01

    Fluorescent compound without typical fluorophores was synthesized with citric acid (CA) and aminopropyltriethoxysilane (APTS) firstly, and then it was grafted to the surface of the prepared SiO2 microspheres by chemical reaction. The fluorescent SiO2 microspheres with good fluorescent properties were obtained by optimizing the reaction conditions. And the morphology and structure of the fluorescent SiO2 microspheres have been characterized by scanning electron microscopy (SEM) and fourier transform infrared (FTIR) spectroscopy. The results showed that the preparation of fluorescent SiO2 microspheres have good monodispersity and narrow particle size distribution. Moreover, the fluorescent SiO2 microspheres can be applied to detect Fe3+ in aqueous solution, prepare fluorescent SiO2 rubber, and have potential to be applied in the fluorescent labeling and fingerprint appearing technique fields.

  16. Photoluminescence analysis of Ce3+:Zn2SiO4 & Li++ Ce3+:Zn2SiO4: phosphors by a sol-gel method

    Science.gov (United States)

    Babu, B. Chandra; Vandana, C. Sai; Guravamma, J.; Rudramadevi, B. Hemalatha; Buddhudu, S.

    2015-06-01

    Here, we report on the development and photoluminescence analysis of Zn2SiO4, Ce3+:Zn2SiO4 & Li+ + Ce3+: Zn2SiO4 novel powder phosphors prepared by a sol-gel technique. The total amount of Ce3+ ions was kept constant in this experiment at 0.05 mol% total doping. The excitation and emission spectra of undoped (Zn2SiO4) and Ce3+ doped Zn2SiO4 and 0.05 mol% Li+ co-doped samples have been investigated. Cerium doped Zn2SiO4 powder phosphors had broad blue emission corresponding to the 2D3/22FJ transition at 443nm. Stable green-yellow-red emission has been observed from Zn2SiO4 host matrix and also we have been observed the enhanced luminescence of Li+ co-doped Zn2SiO4:Ce3+. Excitation and emission spectra of these blue luminescent phosphors have been analyzed in evaluating their potential as luminescent screen coating phosphors.

  17. GaN MOSHEMT employing HfO2 as a gate dielectric with partially etched barrier

    Science.gov (United States)

    Han, Kefeng; Zhu, Lin

    2017-09-01

    In order to suppress the gate leakage current of a GaN high electron mobility transistor (GaN HEMT), a GaN metal-oxide-semiconductor high electron mobility transistor (MOSHEMT) is proposed, in which a metal-oxide-semiconductor gate with high-dielectric-constant HfO2 as an insulating dielectric is employed to replace the traditional GaN HEMT Schottky gate. A 0.5 μm gate length GaN MOSHEMT was fabricated based on the proposed structure, the {{{Al}}}0.28{{{Ga}}}0.72{{N}} barrier layer is partially etched to produce a higher transconductance without deteriorating the transport characteristics of the two-dimensional electron gas in the channel, the gate dielectric is HfO2 deposited by atomic layer deposition. Current-voltage characteristics and radio frequency characteristics are obtained after device preparation, the maximum current density of the device is 900 mA mm-1, the source-drain breakdown voltage is 75 V, gate current is significantly suppressed and the forward gate voltage swing range is about ten times higher than traditional GaN HEMTs, the GaN MOSHEMT also demonstrates radio frequency characteristics comparable to traditional GaN HEMTs with the same gate length.

  18. Gate-controlled metal-insulator transition in the LaAlO{sub 3}/SrTiO{sub 3} system with sub-critical LaAlO{sub 3} thickness

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Joon Sung; Lee, Seung Ran; Chang, Jung-Won; Noh, Hyunho; Baasandorj, Lkhagvasuren; Shim, Seung-Bo; Kim, Jinhee [Korea Research Institute of Standards and Science, Daejeon 305-600 (Korea, Republic of); Seung, Sang Keun; Shin, Hyun Sup; Song, Jonghyun [Department of Physics, Chungnam National University, Daejeon 305-764 (Korea, Republic of)

    2012-12-15

    We studied the electrical conduction in the LaAlO{sub 3}/SrTiO{sub 3} (LAO/STO) interface electron system with a sub-critical LAO layer thickness of {proportional_to}3.5 unit cells (uc). It was found that the true dividing point between metallic and insulating behaviour without gating lies near the LAO thickness of 3.5 uc. Our marginally metallic 3.5 uc sample showed a sharp transition to insulating state at temperatures which strongly depended on the applied negative back-gate voltage. The superior gate-controllability of the sample was attributed to its sheet carrier density which was an order of magnitude lower than those of conducting LAO/STO samples with 4 uc or more of LAO layers. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  20. Breakdown of coupling dielectrics for Si microstrip detectors

    International Nuclear Information System (INIS)

    Candelori, A.; Paccagnella, A.; Padova Univ.; Saglimbeni, G.

    1999-01-01

    Double-layer coupling dielectrics for AC-coupled Si microstrip detectors have been electrically characterized in order to determine their performance in a radiation-harsh environment, with a focus on the dielectric breakdown. Two different dielectric technologies have been investigated: SiO 2 /TEOS and SiO 2 /Si 3 N 4 . Dielectrics have been tested by using a negative gate voltage ramp of 0.2 MV/(cm·s). The metal/insulator/Si I-V characteristics show different behaviours depending on the technology. The extrapolated values of the breakdown field for unirradiated devices are significantly higher for SiO 2 /Si 3 N 4 dielectrics, but the data dispersion is lower for SiO 2 /TEOS devices. No significant variation of the breakdown field has been measured after a 10 Mrad (Si) γ irradiation for SiO 2 /Si 3 N 4 dielectrics. Finally, the SiO 2 /Si 3 N 4 DC conduction is enhanced if a positive gate voltage ramp is applied with respect to the negative one, due to the asymmetric conduction of the double-layer dielectric

  1. Electronic transport in bismuth selenide in the topological insulator regime

    Science.gov (United States)

    Kim, Dohun

    The 3D topological insulators (TIs) have an insulating bulk but spin-momentum coupled metallic surface states stemming from band inversion due to strong spin-orbit interaction, whose existence is guaranteed by the topology of the band structure of the insulator. While the STI surface state has been studied spectroscopically by e.g. photoemission and scanned probes, transport experiments have failed to demonstrate clear signature of the STI due to high level of bulk conduction. In this thesis, I present experimental results on the transport properties of TI material Bi2Se3 in the absence of bulk conduction (TI regime), achieved by applying novel p-type doping methods. Field effect transistors consisting of thin (thickness: 5-17 nm) Bi2Se3 are fabricated by mechanical exfoliation of single crystals, and a combination of conventional dielectric (300 nm thick SiO2) and electrochemical or chemical gating methods are used to move the Fermi energy through the surface Dirac point inside bulk band gap, revealing the ambipolar gapless nature of transport in the Bi2Se3 surface states. The minimum conductivity of the topological surface state is understood within the self-consistent theory of Dirac electrons in the presence of charged impurities. The intrinsic finite-temperature resistivity of the topological surface state due to electron-acoustic phonon scattering is measured to be 60 times larger than that of graphene largely due to the smaller Fermi and sound velocities in Bi2Se 3, which will have implications for topological electronic devices operating at room temperature. Along with semi-classical Boltzmann transport, I also discuss 2D weak anti-localization (WAL) behavior of the topological surface states. By investigating gate-tuned WAL behavior in thin (5-17 nm) TI films, I show that WAL in the TI regime is extraordinarily sensitive to the hybridization induced quantum mechanical tunneling between top and bottom topological surfaces, and interplay of phase coherence

  2. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  3. Photocatalytic Removal of Phenol under Natural Sunlight over N-TiO2-SiO2 Catalyst: The Effect of Nitrogen Composition in TiO2-SiO2

    Directory of Open Access Journals (Sweden)

    Viet-Cuong Nguyen

    2009-01-01

    Full Text Available In this present work, high specific surface area and strong visible light absorption nitrogen doped TiO2-SiO2 photocatalyst was synthesized by using sol-gel coupled with hydrothermal treatment method. Nitrogen was found to improve the specific surface area while it also distorted the crystal phase of the resulting N-TiO2-SiO2 catalyst. As the N/ (TiO2-SiO2 molar ratio was more than 10%, the derived catalyst presented the superior specific surface area up to 260 m2/g. Nevertheless, its photoactivity towards phenol removal was observed to significantly decrease, which could results from the too low crystallinity. The nitrogen content in N-TiO2-SiO2 catalyst was therefore necessary to be optimized in terms of phenol removal efficiency and found at ca. 5%. Under UVA light and natural sunlight irradiation of 80 min, N(5%-TiO2-SiO2 catalyst presented the phenol decomposition efficiencies of 68 and 100%, respectively. It was also interestingly found in this study that the reaction rate was successfully expressed using a Langmuir-Hinshelwood (L-H model, indicating the L-H nature of photocatalytic phenol decomposition reaction on the N-TiO2-SiO2 catalyst.

  4. THE THERMODYNAMIC PROPERTIES OF MELTS OF DOUBLE SYSTEM MgO – Al2O3, MgO – SiO2, MgO – CaF2, Al2O3 – SiO2, Al2O3 – CaF2, SiO2 – CaF2

    Directory of Open Access Journals (Sweden)

    В. Судавцова

    2012-04-01

    Full Text Available Methodology of prognostication of thermodynamics properties of melts is presented from the coordinatesof liquidus of diagram of the state in area of equilibria a hard component is solution, on which energies ofmixing of Gibbs are expected in the double border systems of MgO – Al2O3, MgO – SiO2, MgO – CaF2,Al2O3 – SiO2, Al2O3 - CaF2, SiO2 - CaF2. For the areas of equilibrium there is quasibinary connection(MgAl2O4, Mg2SiO4, Al6Si2O13 – a grout at calculations was used equalization of Hauffe-Wagner. Theobtained data comport with literary

  5. Gated field-emitter cathodes for high-power microwave applications

    International Nuclear Information System (INIS)

    Barasch, E.F.; Demroff, H.P.; Elliott, T.S.; Kasprowicz, T.B.; Lee, B.; Mazumdar, T.; McIntyre, P.M.; Pang, Y.; Smith, D.D.; Trost, H.J.

    1992-01-01

    Gated field-emitter cathodes have been fabricated on silicon wafers. Two fabrication approaches have been employed: a knife-edge array and a porous silicon structure. The knife-edge array consists of a pattern of knife-edges, sharpened to ∼200 A radius, configured with an insulated metal gate structure at a gap of ∼500 A. The porous silicon cathode consists of an insulating porous layer, containing pores of ∼50 A diameter, densely spaced in the native silicon, biased for field emission by a thin gate metallization on the surface. Emission current density of 20 A/cm 2 has been obtained with only 10 V bias. Fabrication processes and test results are presented. (Author) 4 figs., tab., 12 refs

  6. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  7. Al2O3 nanocrystals embedded in amorphous Lu2O3 high-k gate dielectric for floating gate memory application

    International Nuclear Information System (INIS)

    Yuan, C L; Chan, M Y; Lee, P S; Darmawan, P; Setiawan, Y

    2007-01-01

    The integration of nanoparticles has high potential in technological applications and opens up possibilities of the development of new devices. Compared to the conventional floating gate memory, a structure containing nanocrystals embedded in dielectrics shows high potential to produce a memory with high endurance, low operating voltage, fast write-erase speeds and better immunity to soft errors [S. Tiwari, F. Rana, H. Hanafi et al. 1996 Appl.Phys. Lett. 68, 1377]. A significant improvement on data retention [J. J. Lee, X. Wang et al. 2003 Proceedings of the VLSI Technol. Symposium, p33] can be observed when discrete nanodots are used instead of continuous floating gate as charge storage nodes because local defect related leakage can be reduced efficiently. Furthermore, using a high-k dielectric in place of the conventional SiO2 based dielectric, nanodots flash memory is able to achieve significantly improved programming efficiency and data retention [A. Thean and J. -P. Leburton, 2002 IEEE Potentials 21, 35; D. W. Kim, T. Kim and S. K. Banerjee, 2003 IEEE Trans. Electron Devices 50, 1823]. We have recently successfully developed a method to produce nanodots embedded in high-k gate dielectrics [C. L. Yuan, P. Darmawan, Y. Setiawan and P. S. Lee, 2006 Electrochemical and Solid-State Letters 9, F53; C. L. Yuan, P. Darmawan, Y. Setiawan and P. S. Lee, 2006 Europhys. Lett. 74, 177]. In this paper, we fabricated the memory structure of Al 2 O 3 nanocrystals embedded in amorphous Lu 2 O 3 high k dielectric using pulsed laser ablation. The mean size and density of the Al 2 O 3 nanocrystals are estimated to be about 5 nm and 7x1011 cm -2 , respectively. Good electrical performances in terms of large memory window and good data retention were observed. Our preparation method is simple, fast and economical

  8. Fabrication of GaAs nanowire devices with self-aligning W-gate electrodes using selective-area MOVPE

    International Nuclear Information System (INIS)

    Ooike, N.; Motohisa, J.; Fukui, T.

    2004-01-01

    We propose and demonstrate a novel self-aligning process for fabricating the tungsten (W) gate electrode of GaAs nanowire FETs by using selective-area metalorganic vapor phase epitaxy (SA-MOVPE) where SiO 2 /W composite films are used to mask the substrates. First, to study the growth process and its dependence on mask materials, GaAs wire structures were grown on masked substrates partially covered with a single W layer or SiO 2 /W composite films. We found that lateral growth over the masked regions could be suppressed when a wire along the [110] direction and a SiO 2 /W composite mask were used. Using this composite mask, we fabricated GaAs narrow channel FETs using W as a Schottky gate electrode, and we were able to observe FET characteristics at room temperature

  9. Cylindrical gate all around Schottky barrier MOSFET with insulated shallow extensions at source/drain for removal of ambipolarity: a novel approach

    Science.gov (United States)

    Kumar, Manoj; Pratap, Yogesh; Haldar, Subhasis; Gupta, Mridula; Gupta, R. S.

    2017-12-01

    In this paper TCAD-based simulation of a novel insulated shallow extension (ISE) cylindrical gate all around (CGAA) Schottky barrier (SB) MOSFET has been reported, to eliminate the suicidal ambipolar behavior (bias-dependent OFF state leakage current) of conventional SB-CGAA MOSFET by blocking the metal-induced gap states as well as unwanted charge sharing between source/channel and drain/channel regions. This novel structure offers low barrier height at the source and offers high ON-state current. The I ON/I OFF of ISE-CGAA-SB-MOSFET increases by 1177 times and offers steeper subthreshold slope (~60 mV/decade). However a little reduction in peak cut off frequency is observed and to further improve the cut-off frequency dual metal gate architecture has been employed and a comparative assessment of single metal gate, dual metal gate, single metal gate with ISE, and dual metal gate with ISE has been presented. The improved performance of Schottky barrier CGAA MOSFET by the incorporation of ISE makes it an attractive candidate for CMOS digital circuit design. The numerical simulation is performed using the ATLAS-3D device simulator.

  10. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO2 for non-volatile memory device

    International Nuclear Information System (INIS)

    Stepina, N.P.; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V.

    2008-01-01

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO 2 , have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO 2 /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots

  11. SO-limited mobility in a germanium inversion channel with non-ideal metal gate

    International Nuclear Information System (INIS)

    Shah, Raheel; De Souza, M.M.

    2008-01-01

    Germanium is an attractive candidate for ultra fast CMOS technology due to its potential for doubling electron mobility and quadrupling hole mobility in comparison to silicon. To maintain the requirements of the International Technology Roadmap for Semiconductors (ITRS), high-κ insulators and metal gates will be required in conjunction with Ge technology. Key issues which will have to be addressed in achieving Ge technology are: trap free insulators, assessment of appropriate crystallographic orientations and the selection of gate metals for the best mobility. In this work mobilities are evaluated for Ge-nMOSFET with two metal gates (Al and TiN) and high-κ (HfO 2 ) insulator. Scattering with bulk phonons, surface roughness and high-κ phonons are taken into account. It is predicted that Al as the gate material on Ge {100} substrate performs 50% better than Ge {111} orientation at a sheet concentration of 1 x 10 13 cm -2 . Surface roughness is likely to be the most damaging mobility degradation mechanism at high fields for Ge {111}

  12. Optical properties of ZrO2, SiO2 and TiO2-SiO2 xerogels and coatings doped with Eu3+ and Eu2+

    Directory of Open Access Journals (Sweden)

    Gonçalves Rogéria R.

    1999-01-01

    Full Text Available Eu3+ doped bulk monoliths and thin films were obtained by sol-gel methods in the ZrO2, SiO2 and SiO2-TiO2 systems. Eu3+ 5D0 ® 7FJ emission and decay time characteristics were measured during the entire experimental preparation route from the initial sol to the final xerogels. The crystalline phases identified were tetragonal ZrO2 and mixtures of rutile and anatase TiO2 at high temperature treatments in bulk samples. Good quality thin films were obtained for all systems by dip-coating optical glasses (Schott BK270. The same spectroscopic features were observed either for the bulk monoliths or the films. By appropriate heat treatments under H2 atmosphere Eu2+ containing samples could be obtained in the SiO2-TiO2 system.

  13. The crystal orientation relation and macroscopic surface roughness in hetero-epitaxial graphene grown on Cu/mica

    International Nuclear Information System (INIS)

    Qi, J L; Nagashio, K; Nishimura, T; Toriumi, A

    2014-01-01

    Clean, flat and orientation-identified graphene on a substrate is in high demand for graphene electronics. In this study, the hetero-epitaxial graphene growth on Cu(111)/mica(001) by chemical vapor deposition is investigated to check the applicability for top-gate insulator research on graphene, as well as graphene channel research, by transferring graphene on to SiO 2 /Si substrates. After adjusting the graphene growth conditions, the surface roughness of the graphene/Cu/mica substrate and the average smoothed areas are ∼0.34 nm and ∼100 μm 2 , respectively. The orientation of graphene in the graphene/Cu/mica substrate can be identified by the hexagonal void morphology of Cu. Moreover, we demonstrate a relatively high mobility of ∼4500 cm 2 V −1 s −1 in graphene transferred on the SiO 2 /Si substrate. These results suggest that the present graphene/Cu/mica substrate can be used for top-gate insulator research on graphene. (papers)

  14. GaN-Based High-k Praseodymium Oxide Gate MISFETs with P2S5/(NH42SX + UV Interface Treatment Technology

    Directory of Open Access Journals (Sweden)

    Chao-Wei Lin

    2012-01-01

    Full Text Available This study examines the praseodymium-oxide- (Pr2O3- passivated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs with high dielectric constant in which the AlGaN Schottky layers are treated with P2S5/(NH42SX + ultraviolet (UV illumination. An electron-beam evaporated Pr2O3 insulator is used instead of traditional plasma-assisted chemical vapor deposition (PECVD, in order to prevent plasma-induced damage to the AlGaN. In this work, the HEMTs are pretreated with P2S5/(NH42SX solution and UV illumination before the gate insulator (Pr2O3 is deposited. Since stable sulfur that is bound to the Ga species can be obtained easily and surface oxygen atoms are reduced by the P2S5/(NH42SX pretreatment, the lowest leakage current is observed in MIS-HEMT. Additionally, a low flicker noise and a low surface roughness (0.38 nm are also obtained using this novel process, which demonstrates its ability to reduce the surface states. Low gate leakage current Pr2O3 and high-k AlGaN/GaN MIS-HEMTs, with P2S5/(NH42SX + UV illumination treatment, are suited to low-noise applications, because of the electron-beam-evaporated insulator and the new chemical pretreatment.

  15. Quasi-Two-Dimensional h-BN/β-Ga2O3 Heterostructure Metal-Insulator-Semiconductor Field-Effect Transistor.

    Science.gov (United States)

    Kim, Janghyuk; Mastro, Michael A; Tadjer, Marko J; Kim, Jihyun

    2017-06-28

    β-gallium oxide (β-Ga 2 O 3 ) and hexagonal boron nitride (h-BN) heterostructure-based quasi-two-dimensional metal-insulator-semiconductor field-effect transistors (MISFETs) were demonstrated by integrating mechanical exfoliation of (quasi)-two-dimensional materials with a dry transfer process, wherein nanothin flakes of β-Ga 2 O 3 and h-BN were utilized as the channel and gate dielectric, respectively, of the MISFET. The h-BN dielectric, which has an extraordinarily flat and clean surface, provides a minimal density of charged impurities on the interface between β-Ga 2 O 3 and h-BN, resulting in superior device performances (maximum transconductance, on/off ratio, subthreshold swing, and threshold voltage) compared to those of the conventional back-gated configurations. Also, double-gating of the fabricated device was demonstrated by biasing both top and bottom gates, achieving the modulation of the threshold voltage. This heterostructured wide-band-gap nanodevice shows a new route toward stable and high-power nanoelectronic devices.

  16. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  17. Fluorinated copper-phthalocyanine-based n-type organic field-effect transistors with a polycarbonate gate insulator

    International Nuclear Information System (INIS)

    Sethuraman, Kunjithapatham; Kumar, Palanisamy; Santhakumar, Kannappan; Ochiai, Shizuyasu; Shin, Paikkyun

    2012-01-01

    Fluorinated copper-phthalocyanine (F 16 CuPc) thin films were prepared by using a vacuum evaporation technique and were applied to n-type organic field-effect transistors (OFETs) as active channel layers combined with a spin-coated polycarbonate thin-film gate insulator. The output characteristics of the resulting n-type OFET devices with bottom-gate/bottom-contact structures were investigated to evaluate the performances such as the field effect mobility (μ FE ), the on/off current ratio (I on/off ), and the threshold voltage (V th ). A relatively high field effect mobility of 6.0 x 10 -3 cm 2 /Vs was obtained for the n-type semiconductor under atmospheric conditions with an on/off current ratio of 1 x 10 4 and a threshold voltage of 5 V. The electron mobility of the n-type semiconductor was found to depend strongly on the growth temperature of the F 16 CuPc thin films. X-ray diffraction profiles showed that the crystallinity and the orientation of the F 16 CuPc on a polycarbonate thin film were enhanced with increasing growth temperature. Atomic force microscopy studies revealed various surface morphologies of the active layer. The field effect mobility of the F 16 CuPc-OFET was closely related to the crystallinity and the orientation of the F 16 CuPc thin film.

  18. Stability analysis of SiO2/SiC multilayer coatings

    International Nuclear Information System (INIS)

    Fu Zhiqiang; Jean-Charles, R.

    2006-01-01

    The stability behaviours of SiC coatings and SiO 2 /SiC coatings in helium with little impurities are studied by HSC Chemistry 4.1, the software for analysis of Chemical reaction and equilibrium in multi-component complex system. It is found that in helium with a low partial pressure of oxidative impurities under different total pressure, the key influence factor controlling T cp of SiC depends is the partial pressure of oxidative impurities; T cp of SiC increases with the partial pressure of oxidative impurities. In helium with a low partial pressure of different impurities, the key influence factor of T cs of SiO 2 are both the partial pressure of impurities and the amount of impurities for l mol SiO 2 ; T cs of SiO 2 increases with the partial pressure of oxidative impurities at the same amount of the impurities for 1 mol SiO 2 while it decreases with the amount of the impurities for 1 mm SiO 2 at the same partial pressure of the impurities. The influence of other impurities on T cp of SiC in He-O 2 is studied and it is found that CO 2 , H 2 O and N-2 increase T cp of SiC in He-O 2 while H 2 , CO and CH 4 decrease T cp of SiC He-O 2 . When there exist both oxidative impurities and reductive impurities, their effect on T cs of SiO 2 can be suppressed by the other. In HTR-10 operation atmosphere, SiO 2 /SiC coatings can keep stable status at higher temperature than SiC coatings, so SiO 2 /SiC coatings is more suitable to improve the oxidation resistance of graphite in HTR-10 operation atmosphere compared with SiC coatings. (authors)

  19. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    International Nuclear Information System (INIS)

    Onojima, Norio; Kasamatsu, Akihumi; Hirose, Nobumitsu; Mimura, Takashi; Matsui, Toshiaki

    2008-01-01

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g m ) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f T compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel

  20. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    Energy Technology Data Exchange (ETDEWEB)

    Onojima, Norio [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)], E-mail: nonojima@nict.go.jp; Kasamatsu, Akihumi; Hirose, Nobumitsu [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Mimura, Takashi [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Fujitsu Laboratories Ltd., Atsugi, Kanagawa 243-0197 (Japan); Matsui, Toshiaki [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)

    2008-07-30

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g{sub m}) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f{sub T} compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel.

  1. Effect of Commercial SiO2 and SiO2 from rice husk ash loading on biodegradation of Poly (lactic acid) and crosslinked Poly (lactic acid)

    Science.gov (United States)

    Prapruddivongs, C.; Apichartsitporn, M.; Wongpreedee, T.

    2017-09-01

    In this work, biodegradation behavior of poly (lactic acid) (PLA) and crosslinked PLA filled with two types of SiO2, precipitated SiO2 (commercial SiO2) and SiO2 from rice husk ash, were studied. Rice husks were first treated with 2 molar hydrochloric acid (HCl) to produce high purity SiO2, before burnt in a furnace at 800°C for 6 hours. All components were melted bending by an internal mixer then hot pressed using compression molder to form tested specimens. FTIR spectra of SiO2 and PLA samples were investigated. The results showed the lack of silanol group (Si-OH) of rice husk ash after steric acid surface modification, while the addition of particles can affect the crosslinking of the PLA. For biodegradation test by evaluating total amount of carbon dioxide (CO2) evolved during 60 days incubation at a controlled temperature of 58±2°C, the results showed that the biodegradation of crosslinked PLA occurred slower than the neat PLA. However, SiO2 incorporation enhanced the degree of biodegradation In particular, introducing commercial SiO2 in PLA and crosslinked PLA tended to clearly increase the degree of biodegradation as a consequence of the more accelerated hydrolysis degradation.

  2. SiO2@TiO2 Coating: Synthesis, Physical Characterization and Photocatalytic Evaluation

    Directory of Open Access Journals (Sweden)

    A. Rosales

    2018-03-01

    Full Text Available Use of silicon dioxide (SiO2 and titanium dioxide (TiO2 have been widely investigated individually in coatings technology, but their combined properties promote compatibility for different innovative applications. For example, the photocatalytic properties of TiO2 coatings, when exposed to UV light, have interesting environmental applications, such as air purification, self-cleaning and antibacterial properties. However, as reported in different pilot projects, serious durability problems, associated with the adhesion between the substrate and TiO2, have been evidenced. Thus, the aim of this work is to synthesize SiO2 together with TiO2 to increase the durability of the photocatalytic coating without affecting its photocatalytic potential. Therefore, synthesis using sonochemistry, synthesis without sonochemistry, physical characterization, photocatalytic evaluation, and durability of the SiO2, SiO2@TiO2 and TiO2 coatings are presented. Results indicate that using SiO2 improved the durability of the TiO2 coating without affecting its photocatalytic properties. Thus, this novel SiO2@TiO2 coating shows potential for developing long-lasting, self-cleaning and air-purifying construction materials.

  3. Dependence of Photocatalytic Activity of TiO2-SiO2 Nanopowders

    Directory of Open Access Journals (Sweden)

    M. Riazian

    2014-10-01

    Full Text Available Structural properties and chemical composition change the photocatalytic activity in TiO2-SiO2 nanopowder composite. The SiO2-TiO2 nanostructure is synthesized based on sol–gel method. The nanoparticles are characterized by x-ray fluorescents (XRF, x- ray diffraction (XRD, tunneling electron microscopy (TEM, field emission scanning electron microscopy (FE-SEM, UV-vis. Spectrophotometer and furrier transmission create infrared absorption (FTIR techniques. The rate constant k for the degradation of methylen blue in its aqueous solution under UV irradiation is determined as a measure of photocatalytic activity. Dependence between photocatalytic activity and SiO2 content in the composite is determined. Rate constant k is found dependent on the content of SiO2 in the composite that calcined at 900 oC. The addition of low composition SiO2 to the TiO2 matrix (lower than 45% enhances the photocatalytic activity due to thermal stability and increasing in the surface area. The effects of chemical compositions on the surface topography and the crystallization of phases are studied.

  4. Magnetic SiO2/Fe3O4 colloidal crystals

    International Nuclear Information System (INIS)

    Huang, C-K; Hou, C-H; Chen, C-C; Tsai, Y-L; Chang, L-M; Wei, H-S; Hsieh, K-H; Chan, C-H

    2008-01-01

    We proposed a novel technique to fabricate colloidal crystals by using monodisperse SiO 2 coated magnetic Fe 3 O 4 (SiO 2 /Fe 3 O 4 ) microspheres. The magnetic SiO 2 /Fe 3 O 4 microspheres with a diameter of 700 nm were synthesized in the basic condition with ferric sulfate, ferrous sulfate, tartaric acid and tetraethyl orthosilicate (TEOS) in the reaction system. Monodisperse SiO 2 /Fe 3 O 4 superparamagnetic microspheres have been successfully used to fabricate colloidal crystals under the existing magnetic field

  5. Precipitation of amorphous SiO2 particles and their properties

    Directory of Open Access Journals (Sweden)

    S. Musić

    2011-03-01

    Full Text Available The experimental conditions were optimized for the synthesis of amorphous SiO2 particles by the reaction of neutralization of sodium silicate solution with H2SO4 solution. Amorphous SiO2 particles were characterized by XRD, FT-IR, FE-SEM, EDS and microelectrophoresis. The amorphous peak was located at 2θ = 21.8º in the XRD pattern. Primary SiO2 particles were ~ 15 to ~ 30 nm in size and they aggregated into bigger particles. Amorphous SiO2 particles showed a specific surface area up to 130 m²g-1, dependent on the parameters of the precipitation process. The EDS spectrum of amorphous SiO2 particles did not show contamination with sulfate or other ions, which cannot be excluded in traces. pHzpc =1.7 was obtained by microelectrophoresis.

  6. Surface spins disorder in uncoated and SiO2 coated maghemite nanoparticles

    International Nuclear Information System (INIS)

    Zeb, F.; Nadeem, K.; Shah, S. Kamran Ali; Kamran, M.; Gul, I. Hussain; Ali, L.

    2017-01-01

    We studied the surface spins disorder in uncoated and silica (SiO 2 ) coated maghemite (γ-Fe 2 O 3 ) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO 2 coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T B ) for SiO 2 coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M s ) of SiO 2 coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO 2 coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT b )) was fitted well for both uncoated and SiO 2 coated nanoparticles and yields: B =3×10 −7 K -b , b=2.22 and B=0.0127 K -b , b=0.57 for uncoated and SiO 2 coated nanoparticles, respectively. Higher value of B for SiO 2 coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO 2 coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO 2 coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO 2 coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface spins disorder in SiO 2 coated nanoparticles than in uncoated γ-Fe 2 O 3 nanoparticles

  7. Low-frequency noise in AlTiO/AlGaN/GaN metal-insulator-semiconductor heterojunction field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Le, Son Phuong; Ui, Toshimasa; Nguyen, Tuan Quy; Shih, Hong-An; Suzuki, Toshi-kazu, E-mail: tosikazu@jaist.ac.jp [Center for Nano Materials and Technology, Japan Advanced Institute of Science and Technology (JAIST), 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)

    2016-05-28

    Using aluminum titanium oxide (AlTiO, an alloy of Al{sub 2}O{sub 3} and TiO{sub 2}) as a high-k gate insulator, we fabricated and investigated AlTiO/AlGaN/GaN metal-insulator-semiconductor heterojunction field-effect transistors. From current low-frequency noise (LFN) characterization, we find Lorentzian spectra near the threshold voltage, in addition to 1/f spectra for the well-above-threshold regime. The Lorentzian spectra are attributed to electron trapping/detrapping with two specific time constants, ∼25 ms and ∼3 ms, which are independent of the gate length and the gate voltage, corresponding to two trap level depths of 0.5–0.7 eV with a 0.06 eV difference in the AlTiO insulator. In addition, gate leakage currents are analyzed and attributed to the Poole-Frenkel mechanism due to traps in the AlTiO insulator, where the extracted trap level depth is consistent with the Lorentzian LFN.

  8. Coupling between Ge-nanocrystals and defects in SiO2

    International Nuclear Information System (INIS)

    Skov Jensen, J.; Franzo, G.; Leervad Petersen, T.P.; Pereira, R.; Chevallier, J.; Christian Petersen, M.; Bech Nielsen, B.; Nylandsted Larsen, A.

    2006-01-01

    Room temperature photoluminescence (PL) at around 600 nm from magnetron-sputtered SiO 2 films co-doped with Ge is reported. The PL signal is observed in pure SiO 2 , however, its intensity increases significantly in the presence of Ge-nanocrystals (Ge-nc). The PL intensity has been optimized by varying the temperature of heat treatment, type of gas during heat treatment, concentration of Ge in the SiO 2 films, and gas pressure during deposition. Maximum intensity occurs when Ge-nc of around 3.5 nm are present in large concentration in SiO 2 layers deposited at fairly high gas pressure. Based on time resolved PL, and PL measurements after α-particle irradiation or H passivation, we attribute the origin of the PL to a defect in SiO 2 (probably an O deficiency) that is excited through an energy transfer from Ge-nc. There is no direct PL from the Ge-nc; however, there is a strong coupling between excitons created in the Ge-nc and the SiO 2 defect

  9. Room-Temperature Voltage Stressing Effects on Resistive Switching of Conductive-Bridging RAM Cells with Cu-Doped SiO2 Films

    Directory of Open Access Journals (Sweden)

    Jian-Yang Lin

    2014-01-01

    Full Text Available SiO2 or Cu-doped SiO2 (Cu:SiO2 insulating films combined with Cu or W upper electrodes were constructed on the W/Si substrates to form the conductive-bridging RAM (CB-RAM cells. The CB-RAMs were then subjected to a constant-voltage stressing (CVS at room temperature. The experimental results show that the room-temperature CVS treatment can effectively affect the current conduction behavior and stabilize the resistive switching of the memory cells. After the CVS, the current conduction mechanisms in the high resistance state during the set process of the Cu/Cu:SiO2/W cell can be changed from Ohm’s law and the space charge limited conduction to Ohm’s law, the Schottky emission, and the space charge limited conduction. Presumably, it is due to the breakage of the conduction filaments during the CVS treatment that the conduction electrons cannot go back to the back electrode smoothly.

  10. Electric-field driven insulator-metal transition and tunable magnetoresistance in ZnO thin film

    Science.gov (United States)

    Zhang, Le; Chen, Shanshan; Chen, Xiangyang; Ye, Zhizhen; Zhu, Liping

    2018-04-01

    Electrical control of the multistate phase in semiconductors offers the promise of nonvolatile functionality in the future semiconductor spintronics. Here, by applying an external electric field, we have observed a gate-induced insulator-metal transition (MIT) with the temperature dependence of resistivity in ZnO thin films. Due to a high-density carrier accumulation, we have shown the ability to inverse change magnetoresistance in ZnO by ionic liquid gating from 10% to -2.5%. The evolution of photoluminescence under gate voltage was also consistent with the MIT, which is due to the reduction of dislocation. Our in-situ gate-controlled photoluminescence, insulator-metal transition, and the conversion of magnetoresistance open up opportunities in searching for quantum materials and ZnO based photoelectric devices.

  11. A novel fabrication of a high performance SiO(2)-graphene oxide (GO) nanohybrids: Characterization of thermal properties of epoxy nanocomposites filled with SiO(2)-GO nanohybrids.

    Science.gov (United States)

    Haeri, S Z; Ramezanzadeh, B; Asghari, M

    2017-05-01

    In this study it has been aimed to enhance the thermal resistance of epoxy coating through incorporation of SiO 2 -GO nanohybrids. SiO 2 -GO nanohybrids were synthesized through one-step sol-gel route using a mixture of Tetraethylorthosilane (TEOS) and 3-Aminopropyl triethoxysilane (APTES) silanes. The SiO 2 -GO nanohybrids were prepared at various hydrolysis times of 24, 48 and 72h. Then 0.2wt.% of GO and SiO 2 -GO nanohybrids were separately incorporated into the epoxy coating. Results revealed that amino functionalized SiO 2 nanoparticles with particle size around 20-30nm successfully synthesized on the basal plane of GO. Results showed significant improvement of dispersion and interfacial interactions between nanohybrids and epoxy composite arising from covalent bonding between the SiO 2 -GO and the epoxy matrix. It was found that the thermal resistance of SiO 2 -GO nanohybrids and SiO 2 -GO/Epoxy nanocomposite was noticeably higher than GO and epoxy matrix, respectively. Copyright © 2017 Elsevier Inc. All rights reserved.

  12. Integration of biomolecular logic gates with field-effect transducers

    Energy Technology Data Exchange (ETDEWEB)

    Poghossian, A., E-mail: a.poghossian@fz-juelich.de [Institute of Nano- and Biotechnologies, Aachen University of Applied Sciences, Campus Juelich, Heinrich-Mussmann-Str. 1, D-52428 Juelich (Germany); Institute of Bio- and Nanosystems, Research Centre Juelich GmbH, D-52425 Juelich (Germany); Malzahn, K. [Institute of Nano- and Biotechnologies, Aachen University of Applied Sciences, Campus Juelich, Heinrich-Mussmann-Str. 1, D-52428 Juelich (Germany); Abouzar, M.H. [Institute of Nano- and Biotechnologies, Aachen University of Applied Sciences, Campus Juelich, Heinrich-Mussmann-Str. 1, D-52428 Juelich (Germany); Institute of Bio- and Nanosystems, Research Centre Juelich GmbH, D-52425 Juelich (Germany); Mehndiratta, P. [Institute of Nano- and Biotechnologies, Aachen University of Applied Sciences, Campus Juelich, Heinrich-Mussmann-Str. 1, D-52428 Juelich (Germany); Katz, E. [Department of Chemistry and Biomolecular Science, NanoBio Laboratory (NABLAB), Clarkson University, Potsdam, NY 13699-5810 (United States); Schoening, M.J. [Institute of Nano- and Biotechnologies, Aachen University of Applied Sciences, Campus Juelich, Heinrich-Mussmann-Str. 1, D-52428 Juelich (Germany); Institute of Bio- and Nanosystems, Research Centre Juelich GmbH, D-52425 Juelich (Germany)

    2011-11-01

    Highlights: > Enzyme-based AND/OR logic gates are integrated with a capacitive field-effect sensor. > The AND/OR logic gates compose of multi-enzyme system immobilised on sensor surface. > Logic gates were activated by different combinations of chemical inputs (analytes). > The logic output (pH change) produced by the enzymes was read out by the sensor. - Abstract: The integration of biomolecular logic gates with field-effect devices - the basic element of conventional electronic logic gates and computing - is one of the most attractive and promising approaches for the transformation of biomolecular logic principles into macroscopically useable electrical output signals. In this work, capacitive field-effect EIS (electrolyte-insulator-semiconductor) sensors based on a p-Si-SiO{sub 2}-Ta{sub 2}O{sub 5} structure modified with a multi-enzyme membrane have been used for electronic transduction of biochemical signals processed by enzyme-based OR and AND logic gates. The realised OR logic gate composes of two enzymes (glucose oxidase and esterase) and was activated by ethyl butyrate or/and glucose. The AND logic gate composes of three enzymes (invertase, mutarotase and glucose oxidase) and was activated by two chemical input signals: sucrose and dissolved oxygen. The developed integrated enzyme logic gates produce local pH changes at the EIS sensor surface as a result of biochemical reactions activated by different combinations of chemical input signals, while the pH value of the bulk solution remains unchanged. The pH-induced charge changes at the gate-insulator (Ta{sub 2}O{sub 5}) surface of the EIS transducer result in an electronic signal corresponding to the logic output produced by the immobilised enzymes. The logic output signals have been read out by means of a constant-capacitance method.

  13. Integration of biomolecular logic gates with field-effect transducers

    International Nuclear Information System (INIS)

    Poghossian, A.; Malzahn, K.; Abouzar, M.H.; Mehndiratta, P.; Katz, E.; Schoening, M.J.

    2011-01-01

    Highlights: → Enzyme-based AND/OR logic gates are integrated with a capacitive field-effect sensor. → The AND/OR logic gates compose of multi-enzyme system immobilised on sensor surface. → Logic gates were activated by different combinations of chemical inputs (analytes). → The logic output (pH change) produced by the enzymes was read out by the sensor. - Abstract: The integration of biomolecular logic gates with field-effect devices - the basic element of conventional electronic logic gates and computing - is one of the most attractive and promising approaches for the transformation of biomolecular logic principles into macroscopically useable electrical output signals. In this work, capacitive field-effect EIS (electrolyte-insulator-semiconductor) sensors based on a p-Si-SiO 2 -Ta 2 O 5 structure modified with a multi-enzyme membrane have been used for electronic transduction of biochemical signals processed by enzyme-based OR and AND logic gates. The realised OR logic gate composes of two enzymes (glucose oxidase and esterase) and was activated by ethyl butyrate or/and glucose. The AND logic gate composes of three enzymes (invertase, mutarotase and glucose oxidase) and was activated by two chemical input signals: sucrose and dissolved oxygen. The developed integrated enzyme logic gates produce local pH changes at the EIS sensor surface as a result of biochemical reactions activated by different combinations of chemical input signals, while the pH value of the bulk solution remains unchanged. The pH-induced charge changes at the gate-insulator (Ta 2 O 5 ) surface of the EIS transducer result in an electronic signal corresponding to the logic output produced by the immobilised enzymes. The logic output signals have been read out by means of a constant-capacitance method.

  14. Chemical Gating of a Weak Topological Insulator: Bi14Rh3I9.

    Science.gov (United States)

    Ghimire, Madhav Prasad; Richter, Manuel

    2017-10-11

    The compound Bi 14 Rh 3 I 9 has recently been suggested as a weak three-dimensional topological insulator on the basis of angle-resolved photoemission and scanning-tunneling experiments in combination with density functional (DF) electronic structure calculations. These methods unanimously support the topological character of the headline compound, but a compelling confirmation could only be obtained by dedicated transport experiments. The latter, however, are biased by an intrinsic n-doping of the material's surface due to its polarity. Electronic reconstruction of the polar surface shifts the topological gap below the Fermi energy, which would also prevent any future device application. Here, we report the results of DF slab calculations for chemically gated and counter-doped surfaces of Bi 14 Rh 3 I 9 . We demonstrate that both methods can be used to compensate the surface polarity without closing the electronic gap.

  15. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    Science.gov (United States)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  16. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  17. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....

  18. Structured-gate organic field-effect transistors

    International Nuclear Information System (INIS)

    Aljada, Muhsen; Pandey, Ajay K; Velusamy, Marappan; Burn, Paul L; Meredith, Paul; Namdas, Ebinazar B

    2012-01-01

    We report the fabrication and electrical characteristics of structured-gate organic field-effect transistors consisting of a gate electrode patterned with three-dimensional pillars. The pillar gate electrode was over-coated with a gate dielectric (SiO 2 ) and solution processed organic semiconductors producing both unipolar p-type and bipolar behaviour. We show that this new structured-gate architecture delivers higher source-drain currents, higher gate capacitance per unit equivalent linear channel area, and enhanced charge injection (electrons and/or holes) versus the conventional planar structure in all modes of operation. For the bipolar field-effect transistor (FET) the maximum source-drain current enhancements in p- and n-channel mode were >600% and 28%, respectively, leading to p and n charge mobilities with the same order of magnitude. Thus, we have demonstrated that it is possible to use the FET architecture to manipulate and match carrier mobilities of material combinations where one charge carrier is normally dominant. Mobility matching is advantageous for creating organic logic circuit elements such as inverters and amplifiers. Hence, the method represents a facile and generic strategy for improving the performance of standard organic semiconductors as well as new materials and blends. (paper)

  19. Structured-gate organic field-effect transistors

    Science.gov (United States)

    Aljada, Muhsen; Pandey, Ajay K.; Velusamy, Marappan; Burn, Paul L.; Meredith, Paul; Namdas, Ebinazar B.

    2012-06-01

    We report the fabrication and electrical characteristics of structured-gate organic field-effect transistors consisting of a gate electrode patterned with three-dimensional pillars. The pillar gate electrode was over-coated with a gate dielectric (SiO2) and solution processed organic semiconductors producing both unipolar p-type and bipolar behaviour. We show that this new structured-gate architecture delivers higher source-drain currents, higher gate capacitance per unit equivalent linear channel area, and enhanced charge injection (electrons and/or holes) versus the conventional planar structure in all modes of operation. For the bipolar field-effect transistor (FET) the maximum source-drain current enhancements in p- and n-channel mode were >600% and 28%, respectively, leading to p and n charge mobilities with the same order of magnitude. Thus, we have demonstrated that it is possible to use the FET architecture to manipulate and match carrier mobilities of material combinations where one charge carrier is normally dominant. Mobility matching is advantageous for creating organic logic circuit elements such as inverters and amplifiers. Hence, the method represents a facile and generic strategy for improving the performance of standard organic semiconductors as well as new materials and blends.

  20. Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.

    Science.gov (United States)

    Tseng, Kuang-Hung; Chen, Kuan-Lung

    2012-08-01

    This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.

  1. Transfer-free graphene synthesis on sapphire by catalyst metal agglomeration technique and demonstration of top-gate field-effect transistors

    International Nuclear Information System (INIS)

    Miyoshi, Makoto; Arima, Yukinori; Kubo, Toshiharu; Egawa, Takashi; Mizuno, Masaya; Soga, Tetsuo

    2015-01-01

    Transfer-free graphene synthesis was performed on sapphire substrates by using the catalyst metal agglomeration technique, and the graphene film quality was compared to that synthesized on sputtered SiO 2 /Si substrates. Raman scattering measurements indicated that the graphene film on sapphire has better structural qualities than that on sputtered SiO 2 /Si substrates. The cross-sectional transmission microscopic study also revealed that the film flatness was drastically improved by using sapphire substrates instead of sputtered SiO 2 /Si substrates. These quality improvements seemed to be due the chemical and thermal stabilities of sapphire. Top-gate field-effect transistors were fabricated using the graphene films on sapphire, and it was confirmed that their drain current can be modulated with applied gate voltages. The maximum field-effect mobilities were estimated to be 720 cm 2 /V s for electrons and 880 cm 2 /V s for holes, respectively

  2. β-Ga2O3 on insulator field-effect transistors with drain currents exceeding 1.5 A/mm and their self-heating effect

    Science.gov (United States)

    Zhou, Hong; Maize, Kerry; Qiu, Gang; Shakouri, Ali; Ye, Peide D.

    2017-08-01

    We have demonstrated that depletion/enhancement-mode β-Ga2O3 on insulator field-effect transistors can achieve a record high drain current density of 1.5/1.0 A/mm by utilizing a highly doped β-Ga2O3 nano-membrane as the channel. β-Ga2O3 on insulator field-effect transistor (GOOI FET) shows a high on/off ratio of 1010 and low subthreshold slope of 150 mV/dec even with 300 nm thick SiO2. The enhancement-mode GOOI FET is achieved through surface depletion. An ultra-fast, high resolution thermo-reflectance imaging technique is applied to study the self-heating effect by directly measuring the local surface temperature. High drain current, low Rc, and wide bandgap make the β-Ga2O3 on insulator field-effect transistor a promising candidate for future power electronics applications.

  3. Positive magnetoresistance in Co40Fe40B20/SiO2/Si heterostructure

    KAUST Repository

    Zhang, Y.

    2016-07-20

    Current-perpendicular-to-plane electronic transport properties and magnetoresistance of amorphous Co40Fe40B20/SiO2/Si heterostructures are investigated systematically. A backward diode-like rectifying behavior was observed due to the formation of a Schottky barrier between Co40Fe40B20 and Si. The junction resistance shows a metal-insulator transition with decreasing temperature in both the forward and reverse ranges. A large positive magnetoresistance (MR) of ∼2300% appears at 200 K. The positive MR can be attributed to the magnetic-field-controlled impact ionization process of carriers. MR shows a temperature-peak-type character under a constant bias current, which is related to the spin-dependent barrier in the Si near the interface. © CopyrightEPLA, 2016.

  4. Positive magnetoresistance in Co40Fe40B20/SiO2/Si heterostructure

    KAUST Repository

    Zhang, Y.; Mi, W. B.; Zhang, Xixiang

    2016-01-01

    Current-perpendicular-to-plane electronic transport properties and magnetoresistance of amorphous Co40Fe40B20/SiO2/Si heterostructures are investigated systematically. A backward diode-like rectifying behavior was observed due to the formation of a Schottky barrier between Co40Fe40B20 and Si. The junction resistance shows a metal-insulator transition with decreasing temperature in both the forward and reverse ranges. A large positive magnetoresistance (MR) of ∼2300% appears at 200 K. The positive MR can be attributed to the magnetic-field-controlled impact ionization process of carriers. MR shows a temperature-peak-type character under a constant bias current, which is related to the spin-dependent barrier in the Si near the interface. © CopyrightEPLA, 2016.

  5. High-κ gate dielectrics: Current status and materials properties considerations

    Science.gov (United States)

    Wilk, G. D.; Wallace, R. M.; Anthony, J. M.

    2001-05-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  6. SiO2 Glass Density to Lower-Mantle Pressures

    DEFF Research Database (Denmark)

    Petitgirard, Sylvain; Malfait, Wim J.; Journaux, Baptiste

    2017-01-01

    and present Earth. SiO2 is the main constituent of Earth's mantle and is the reference model system for the behavior of silicate melts at high pressure. Here, we apply our recently developed x-ray absorption technique to the density of SiO2 glass up to 110 GPa, doubling the pressure range...... for such measurements. Our density data validate recent molecular dynamics simulations and are in good agreement with previous experimental studies conducted at lower pressure. Silica glass rapidly densifies up to 40 GPa, but the density trend then flattens to become asymptotic to the density of SiO2 minerals above 60...... GPa. The density data present two discontinuities at similar to 17 and similar to 60 GPa that can be related to a silicon coordination increase from 4 to a mixed 5/6 coordination and from 5/6 to sixfold, respectively. SiO2 glass becomes denser than MgSiO3 glass at similar to 40 GPa, and its density...

  7. Concentration effect of Tm3+ on cathodoluminescence properties of SiO2: Tm3+ and SiO2:Ho3+, Tm3+ systems

    CSIR Research Space (South Africa)

    Dhlamini, MS

    2012-05-01

    Full Text Available .physb.2011.09.091 Concentration effect of Tm3+ on cathodoluminescence properties of SiO2: Tm 3+ and SiO2:Ho 3+, Tm3+ systems M.S. Dhlamini, G.H. Mhlongo, H.C. Swart, O.M. Ntwaeaborwa, K.T. Hillie ABSTRACT: Cathodoluminescence (CL) properties of Si...O2 powders activated with thulium (Tm3+) and holmium (Ho3+) ions prepared by a sol–gel process were investigated. Different molar concentrations of Tm3+ co-doped with Ho3+ were studied. The 460 nm peak was monitored and the influence of the beam...

  8. Change in carrier type in high-k gate carbon nanotube field-effect transistors by interface fixed charges

    International Nuclear Information System (INIS)

    Moriyama, N; Ohno, Y; Kitamura, T; Kishimoto, S; Mizutani, T

    2010-01-01

    We study the phenomenon of change in carrier type in carbon nanotube field-effect transistors (CNFETs) caused by the atomic layer deposition (ALD) of a HfO 2 gate insulator. When a HfO 2 layer is deposited on a CNFET, the type of carrier changes from p-type to n-type. The so-obtained n-type device has good performance and stability in air. The conductivity of such a device with a channel length of 0.7 μm is 11% of the quantum conductance 4e 2 /h. The contact resistance for electron current is estimated to be 14 kΩ. The n-type conduction of this CNFET is maintained for more than 100 days. The change in carrier type is attributed to positive fixed charges introduced at the interface between the HfO 2 and SiO 2 layers. We also propose a novel technique to control the type of conduction by utilizing interface fixed charges; this technique is compatible with Si CMOS process technology.

  9. Interaction between counter-propagating quantum Hall edge channels in the 3D topological insulator BiSbTeSe2

    NARCIS (Netherlands)

    Li, C.; De Ronde, B.; Nikitin, A.; Huang, Y.; Golden, M.S.; De Visser, A.; Brinkman, A.

    2017-01-01

    The quantum Hall effect is studied in the topological insulator BiSbTeSe2. By employing top- and back-gate electric fields at high magnetic field, the Landau levels of the Dirac cones in the top and bottom topological surface states can be tuned independently. When one surface is tuned to the

  10. Investigation of Impact of the Gate Circuitry on IGBT Transistor Dynamic Parameters

    Directory of Open Access Journals (Sweden)

    Vytautas Bleizgys

    2011-03-01

    Full Text Available The impact of Insulated Gate Bipolar Transistor driver circuit parameters on the rise and fall time of the collector current and voltage collector-emitter was investigated. The influence of transistor driver circuit parameters on heating of Insulated Gate Bipolar Transistors was investigated as well.Article in Lithuanian

  11. Electronic States of High-k Oxides in Gate Stack Structures

    Science.gov (United States)

    Zhu, Chiyu

    In this dissertation, in-situ X-ray and ultraviolet photoemission spectroscopy have been employed to study the interface chemistry and electronic structure of potential high-k gate stack materials. In these gate stack materials, HfO2 and La2O3 are selected as high-k dielectrics, VO2 and ZnO serve as potential channel layer materials. The gate stack structures have been prepared using a reactive electron beam system and a plasma enhanced atomic layer deposition system. Three interrelated issues represent the central themes of the research: 1) the interface band alignment, 2) candidate high-k materials, and 3) band bending, internal electric fields, and charge transfer. 1) The most highlighted issue is the band alignment of specific high-k structures. Band alignment relationships were deduced by analysis of XPS and UPS spectra for three different structures: a) HfO2/VO2/SiO2/Si, b) HfO 2-La2O3/ZnO/SiO2/Si, and c) HfO 2/VO2/ HfO2/SiO2/Si. The valence band offset of HfO2/VO2, ZnO/SiO2 and HfO 2/SiO2 are determined to be 3.4 +/- 0.1, 1.5 +/- 0.1, and 0.7 +/- 0.1 eV. The valence band offset between HfO2-La2O3 and ZnO was almost negligible. Two band alignment models, the electron affinity model and the charge neutrality level model, are discussed. The results show the charge neutrality model is preferred to describe these structures. 2) High-k candidate materials were studied through comparison of pure Hf oxide, pure La oxide, and alloyed Hf-La oxide films. An issue with the application of pure HfO2 is crystallization which may increase the leakage current in gate stack structures. An issue with the application of pure La2O3 is the presence of carbon contamination in the film. Our study shows that the alloyed Hf-La oxide films exhibit an amorphous structure along with reduced carbon contamination. 3) Band bending and internal electric fields in the gate stack structure were observed by XPS and UPS and indicate the charge transfer during the growth and process. The oxygen

  12. More accurate definition of liquation dome in Na2O-B2O3-SiO2 system

    International Nuclear Information System (INIS)

    Alekseeva, Z.D.; Mazurin, O.V.; Aver'yanov, V.I.; Galakhov, F.Ya.

    1977-01-01

    The liquation region in the system Na 2 O-B 2 O 3 -SiO 2 was investigated. The liquation temperatures were determined by the boundary of disappearance of opalescence in specimens with pre-induced opalescence. Extrapolation of the concentration dependences of the liquation temperature along sections with a constant SiO 2 content to a point indicates that with a SiO 2 content of 20-40% the liquation boundary in the system B 2 O 3 -SiO 2 hardly lies above 450 deg C

  13. Adsorption of cationic dye on a biohybrid SiO2-alginate

    International Nuclear Information System (INIS)

    Barrón Zambrano, J A; Ávila Ortega, A; Muñoz Rodríguez, D; Carrera Figueiras, C; López-Pérez, A J

    2013-01-01

    In this work, a biohybrid material based on SiO 2 -alginate was obtained and its properties of adsorption evaluated using methylene blue as a model dye. The experimental results showed that the biohybrid SiO 2 -alginate has a higher adsorption ability compared to their base compounds (SiO 2 and alginate). Methylene blue adsorption is pH dependent, resulting in a maximum adsorption at pH = 8. The sorption kinetics rate is similar to SiO 2 . Kinetic data were fitted to a model of pseudosecond order. The experimental isotherms fit well the Langmuir model.

  14. Ion/Ioff ratio enhancement and scalability of gate-all-around nanowire negative-capacitance FET with ferroelectric HfO2

    Science.gov (United States)

    Jang, Kyungmin; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2017-10-01

    We have investigated the energy efficiency and scalability of ferroelectric HfO2 (FE:HfO2)-based negative-capacitance field-effect-transistor (NCFET) with gate-all-around (GAA) nanowire (NW) channel structure. Analytic simulation is conducted to characterize NW-NCFET by varying NW diameter and/or thickness of gate insulator as device structural parameters. Due to the negative-capacitance effect and GAA NW channel structure, NW-NCFET is found to have 5× higher Ion/Ioff ratio than classical NW-MOSFET and 2× higher than double-gate (DG) NCFET, which results in wider design window for high Ion/Ioff ratio. To analyze these obtained results from the viewpoint of the device scalability, we have considered constraints regarding very limited device structural spaces to fit by the gate insulator and NW channel for aggresively scaled gate length (Lg) and/or very tight NW pitch. NW-NCFET still has design point with very thinned gate insulator and/or narrowed NW. Therefore, FE:HfO2-based NW-NCFET is applicable to the aggressively scaled technology node of sub-10 nm Lg and to the very tight NW integration of sub-30 nm NW pitch for beyond 7 nm technology. From 2011 to 2014, he engaged in developing high-speed optical transceiver module as an alternative military service in Republic of Korea. His research interest includes the development of steep slope MOSFETs for high energy-efficient operation and ferroelectric HfO2-based semiconductor devices, and fabrication of nanostructured devices. He joined the IBM T.J. Watson Research Center, Yorktown Heights, NY, in 2010, where he worked on advanced CMOS technologies such as FinFET, nanowire FET, SiGe channel and III-V channel. He was also engaged in launching 14 nm SOI FinFET and RMG technology development. Since 2014, he has been an Associate Professor in Institute of Industrial Science, University of Tokyo, Tokyo, Japan, where he has been working on ultralow power transistor and memory technology. Dr. Kobayashi is a member of IEEE

  15. Development of insulated gate bipolar transistor-based power ...

    Indian Academy of Sciences (India)

    [5] S V Nakhe et al, National Laser Symposium, 81–82 (2001). [6] E G Cook et al, 8th IEEE Pulsed Power Conference, June 1991. [7] L Druckmann et al, IEEE Power Modulator Symposium, 213–216 (1992). [8] Hybrid gate drivers and gate drive power supplies, M57962L datasheet from Mitsubishi. Electric Corpn. Pramana ...

  16. Ambipolar transport in CVD grown MoSe2 monolayer using an ionic liquid gel gate dielectric

    Directory of Open Access Journals (Sweden)

    Deliris N. Ortiz

    2018-03-01

    Full Text Available CVD grown MoSe2 monolayers were electrically characterized at room temperature in a field effect transistor (FET configuration using an ionic liquid (IL as the gate dielectric. During the growth, instead of using MoO3 powder, ammonium heptamolybdate was used for better Mo control of the source and sodium cholate added for lager MoSe2 growth areas. In addition, a high specific capacitance (∼7 μF/cm2 IL was used as the gate dielectric to significantly reduce the operating voltage. The device exhibited ambipolar charge transport at low voltages with enhanced parameters during n- and p-FET operation. IL gating thins the Schottky barrier at the metal/semiconductor interface permitting efficient charge injection into the channel and reduces the effects of contact resistance on device performance. The large specific capacitance of the IL was also responsible for a much higher induced charge density compared to the standard SiO2 dielectric. The device was successfully tested as an inverter with a gain of ∼2. Using a common metal for contacts simplifies fabrication of this ambipolar device, and the possibility of radiative recombination of holes and electrons could further extend its use in low power optoelectronic applications.

  17. UV-VUV laser induced phenomena in SiO2 glass

    International Nuclear Information System (INIS)

    Kajihara, Koichi; Ikuta, Yoshiaki; Oto, Masanori; Hirano, Masahiro; Skuja, Linards; Hosono, Hideo

    2004-01-01

    Creation and annihilation of point defects were studied for SiO 2 glass exposed to ultraviolet (UV) and vacuum UV (VUV) lights to improve transparency and radiation toughness of SiO 2 glass to UV-VUV laser light. Topologically disordered structure of SiO 2 glass featured by the distribution of Si-O-Si angle is a critical factor degrading transmittance near the fundamental absorption edge. Doping with terminal functional groups enhances the structural relaxation and reduces the number of strained Si-O-Si bonds by breaking up the glass network without creating the color centers. Transmittance and laser toughness of SiO 2 glass for F 2 laser is greatly improved in fluorine-doped SiO 2 glass, often referred as 'modified silica glass'. Interstitial hydrogenous species are mobile and reactive at ambient temperature, and play an important role in photochemical reactions induced by exposure to UV-VUV laser light. They terminate the dangling-bond type color centers, while enhancing the formation of the oxygen vacancies. These findings are utilized to develop a deep-UV optical fiber transmitting ArF laser photons with low radiation damage

  18. Nondegradative Dielectric Coating of Graphene using Thermal Evaporation of SiO

    Science.gov (United States)

    Suzuki, Seiya; Lee, Chien-Chung; Nagamori, Takashi; Schibli, Thomas; Yoshimura, Masamichi

    2013-03-01

    Deposition of dielectrics onto graphene is a challenging technique due to the difficulties of fabricating high quality oxide on pristine graphene without introducing atomic defects. Here we report on a novel method to fabricate silicon oxide layer on graphene by vacuum thermal evaporation of silicon monoxide (SiO). Raman spectroscopy and mapping showed the present method did not degrade graphene, in contrast to the e-beam evaporated SiO2 coating method previously reported. We fabricated graphene field effect transistor devices with four metal electrodes to measure gate voltage dependence of sheet resistance of the graphene, and deposited a top coating of SiO on the graphene channel. The electrical measurements before and after the top-coating revealed that the top coating suppressed chemical shift of the graphene from strong p-dope to nearly undoped. Since SiO is transparent for visible and infrared light, the coating can be available as a protection layer for optical devices of graphene such as photodetectors and electro-optic modulators. Since the SiO top coating is a simple vacuum evaporation, it is much easier than atomic-layer-deposition which requires additional functionalization of graphene, and compatible with industrial use. This research was supported in part by Toyoaki Scholarship Foundation

  19. Liquidus Temperature of SrO-Al2O3-SiO2 Glass-Forming Compositions

    DEFF Research Database (Denmark)

    Abel, Brett M.; Morgan, James M.; Mauro, John C.

    2013-01-01

    . In the composition range of interest for industrial glasses, Tliq tends to decrease with increasing strontium-to-alumina ratio. We find that cristobalite, mullite, and slawsonite are the dominant devitrification phases for the compositions with high SiO2, SiO2+Al2O3, and SrO contents, respectively. By comparison...... with the phase diagrams for CaO-Al2O3-SiO2 and MgO-Al2O3-SiO2 systems, we have found that for the highest [RO]/[Al2O3] ratios, Tliq exhibits a minimum value for R = Ca. Based on the phase diagram established here, the composition of glass materials, for example, for liquid crystal display substrates, belonging...... to the SrO-Al2O3-SiO2 family may be designed with a more exact control of the glass-forming ability by avoiding the regions of high liquidus temperature....

  20. Planar self-aligned ion implanted InP MISFETS for fast logic applications

    International Nuclear Information System (INIS)

    Cameron, D.C.; Irving, L.D.; Whitehouse, C.R.; Woodward, J.; Lee, D.

    1983-01-01

    The first successful use of ion implantation to fabricate truly self-aligned planar n-channel enhancement-mode indium phosphide MISFITS is reported. The transistors have been fabricated on iron-doped semi-insulating material using PECVD-deposited SiO 2 as the gate dielectric and molybdenum gate electrodes. The self-aligned source and drain contact regions were produced by Si 29 ion implantation using each gate stripe as an implant mask. The devices fabricated to date have exhibited channel mobilities up to value of 2400 cm 2 v -1 s -1 , with excellent uniformity and stability of the device characteristics also being observed. (author)

  1. Three-dimensional fabrication and characterisation of core-shell nano-columns using electron beam patterning of Ge-doped SiO2

    DEFF Research Database (Denmark)

    Gontard, Lionel C.; Jinschek, Joerg R.; Ou, Haiyan

    2012-01-01

    electron tomography. The results show that transformations in insulators that have been subjected to intense irradiation using charged particles can be studied directly in three dimensions. The fabricated structures include core-shell nano-columns, sputtered regions, voids, and clusters. (C) 2012 American......A focused electron beam in a scanning transmission electron microscope (STEM) is used to create arrays of core-shell structures in a specimen of amorphous SiO2 doped with Ge. The same electron microscope is then used to measure the changes that occurred in the specimen in three dimensions using...

  2. Fabrication and properties of highly luminescent materials from Tb(OH)3-SiO2 and Tb(OH)3-SiO2:Eu3+ nanotubes

    International Nuclear Information System (INIS)

    Tran Thu Huong; Tran Kim Anh; Le Quoc Minh

    2009-01-01

    Luminescent nanomaterials with one-dimensional (1D) structures have attracted much attention due to their unique properties and potential applications in nanophotonics and nanobiophotonics. In this paper, we report a synthesis of terbium - hydroxide - at - silica Tb(OH) 3 -SiO 2 and Tb(OH) 3 -SiO 2 :Eu 3+ nanotubes. Terbium - hydroxide tubes were synthesized by soft template method. The size of the tubes can be controlled precisely and have outer diameters ranging from 80 to 120 nm, wall thickness of about 30 nm, and lengths ranging from 300 to 800 nm. To fabricate core/shell materials, the seed growth method is used. FESEM, X-ray diffraction, Raman spectra of Tb(OH) 3 and Tb(OH) 3 -SiO 2 nanotubes were investigated. The photoluminescence (PL) spectrum of Tb(OH) 3 under 325 nm excitation consists of four main peaks at 488, 542, 582, and 618 nm. Furthermore, a preliminary suggestion for the mechanism of growth of the Tb(OH) 3 nanotubes using the soft - template synthesis technique has been proposed. The PL intensity from Tb(OH) 3 -SiO 2 or Tb(OH) 3 -SiO 2 :Eu 3+ nanotubes is much stronger than that of Tb(OH) 3 .

  3. Long lasting yellow phosphorescence and photostimulated luminescence in Sr3SiO5 : Eu2+ and Sr3SiO5 : Eu2+, Dy3+ phosphors

    International Nuclear Information System (INIS)

    Sun Xiaoyuan; Zhang Jiahua; Zhang Xia; Luo Yongshi; Wang Xiaojun

    2008-01-01

    We report the observation of long lasting yellow phosphorescence and photostimulated luminescence (PSL) in Sr 3 SiO 5 : Eu 2+ and Sr 3 SiO 5 : Eu 2+ , Dy 3+ phosphors. The decay patterns of phosphorescence and thermoluminescence curves demonstrate that introduction of Dy 3+ into Sr 3 SiO 5 : Eu 2+ can generate a large number of shallow traps and deep traps. The generated deep traps prolong the phosphorescence up to 6 h after UV irradiation. The PSL is studied under 808 nm excitation. Slow rising and falling edges of the emission in Sr 3 SiO 5 : Eu 2+ , Dy 3+ are observed, showing a retrapping process by the generated shallow traps due to co-doping Dy 3+ .

  4. Electro-Thermo-Mechanical Analysis of High-Power Press-Pack Insulated Gate Bipolar Transistors under Various Mechanical Clamping Conditions

    DEFF Research Database (Denmark)

    Hasmasan, Adrian Augustin; Busca, Cristian; Teodorescu, Remus

    2014-01-01

    With the continuously increasing demand for energy and the limited supply of fossil fuels, renewable power sources are becoming ever more important. Knowing that future energy demand will grow, manufacturers are increasing the size of new wind turbines (WTs) in order to reduce the cost of energy...... production. The reliability of the components has a large impact on the overall cost of a WT, and press-pack (PP) insulated gate bipolar transistors (IGBTs) could be a good solution for future multi-megawatt WTs because of advantages like high power density and reliability. When used in power converters, PP...

  5. Investigation of interface property in Al/SiO2/ n-SiC structure with thin gate oxide by illumination

    Science.gov (United States)

    Chang, P. K.; Hwu, J. G.

    2017-04-01

    The reverse tunneling current of Al/SiO2/ n-SiC structure employing thin gate oxide is introduced to examine the interface property by illumination. The gate current at negative bias decreases under blue LED illumination, yet increases under UV lamp illumination. Light-induced electrons captured by interface states may be emitted after the light sources are off, leading to the recovery of gate currents. Based on transient characteristics of gate current, the extracted trap level is close to the light energy for blue LED, indicating that electron capture induced by lighting may result in the reduction of gate current. Furthermore, bidirectional C- V measurements exhibit a positive voltage shift caused by electron trapping under blue LED illumination, while a negative voltage shift is observed under UV lamp illumination. Distinct trapping and detrapping behaviors can be observed from variations in I- V and C- V curves utilizing different light sources for 4H-SiC MOS capacitors with thin insulators.

  6. Enhanced Photocatalytic Activity of ZrO2-SiO2 Nanoparticles by Platinum Doping

    Directory of Open Access Journals (Sweden)

    Mohammad W. Kadi

    2013-01-01

    Full Text Available ZrO2-SiO2 mixed oxides were prepared via the sol-gel method. Photo-assisted deposition was utilized for doping the prepared mixed oxide with 0.1, 0.2, 0.3, and 0.4 wt% of Pt. XRD spectra showed that doping did not result in the incorporation of Pt within the crystal structure of the material. UV-reflectance spectrometry showed that the band gap of ZrO2-SiO2 decreased from 3.04 eV to 2.48 eV with 0.4 wt% Pt doping. The results show a specific surface area increase of 20%. Enhanced photocatalysis of Pt/ZrO2-SiO2 was successfully tested on photo degradation of cyanide under illumination of visible light. 100% conversion was achieved within 20 min with 0.3 wt% of Pt doped ZrO2-SiO2.

  7. Infrared-transmittance tunable metal-insulator conversion device with thin-film-transistor-type structure on a glass substrate

    Directory of Open Access Journals (Sweden)

    Takayoshi Katase

    2017-05-01

    Full Text Available Infrared (IR transmittance tunable metal-insulator conversion was demonstrated on a glass substrate by using thermochromic vanadium dioxide (VO2 as the active layer in a three-terminal thin-film-transistor-type device with water-infiltrated glass as the gate insulator. Alternative positive/negative gate-voltage applications induce the reversible protonation/deprotonation of a VO2 channel, and two-orders of magnitude modulation of sheet-resistance and 49% modulation of IR-transmittance were simultaneously demonstrated at room temperature by the metal-insulator phase conversion of VO2 in a non-volatile manner. The present device is operable by the room-temperature protonation in an all-solid-state structure, and thus it will provide a new gateway to future energy-saving technology as an advanced smart window.

  8. Quantum Hall effect on top and bottom surface states of topological insulator (Bi1-xSbx)2Te3 films.

    Science.gov (United States)

    Yoshimi, R; Tsukazaki, A; Kozuka, Y; Falson, J; Takahashi, K S; Checkelsky, J G; Nagaosa, N; Kawasaki, M; Tokura, Y

    2015-04-14

    The three-dimensional topological insulator is a novel state of matter characterized by two-dimensional metallic Dirac states on its surface. To verify the topological nature of the surface states, Bi-based chalcogenides such as Bi2Se3, Bi2Te3, Sb2Te3 and their combined/mixed compounds have been intensively studied. Here, we report the realization of the quantum Hall effect on the surface Dirac states in (Bi1-xSbx)2Te3 films. With electrostatic gate-tuning of the Fermi level in the bulk band gap under magnetic fields, the quantum Hall states with filling factor ±1 are resolved. Furthermore, the appearance of a quantum Hall plateau at filling factor zero reflects a pseudo-spin Hall insulator state when the Fermi level is tuned in between the energy levels of the non-degenerate top and bottom surface Dirac points. The observation of the quantum Hall effect in three-dimensional topological insulator films may pave a way toward topological insulator-based electronics.

  9. Gate-tunable gigantic changes in lattice parameters and optical properties in VO2

    Science.gov (United States)

    Nakano, Masaki; Okuyama, Daisuke; Shibuya, Keisuke; Ogawa, Naoki; Hatano, Takafumi; Kawasaki, Masashi; Arima, Taka-Hisa; Iwasa, Yoshihiro; Tokura, Yoshinori

    2014-03-01

    The field-effect transistor provides an electrical switching function of current flowing through a channel surface by external gate voltage (VG). We recently reported that an electric-double-layer transistor (EDLT) based on vanadium dioxide (VO2) enables electrical switching of the metal-insulator phase transition, where the low-temperature insulating state can be completely switched to the metallic state by application of VG. Here we demonstrate that VO2-EDLT enables electrical switching of lattice parameters and optical properties as well as electrical current. We performed in-situ x-ray diffraction and optical transmission spectroscopy measurements, and found that the c-axis length and the infrared transmittance of VO2 can be significantly modulated by more than 1% and 40%, respectively, by application of VG. We emphasize that these distinguished features originate from the electric-field induced bulk phase transition available with VO2-EDLT. This work was supported by the Japan Society for the Promotion of Science (JSPS) through its ``Funding Program for World-Leading Innovative R&D on Science and Technology (FIRST Program).''

  10. Facile preparation of polyethylenimine-tannins coated SiO2 hybrid materials for Cu2+ removal

    Science.gov (United States)

    Huang, Qiang; Liu, Meiying; Zhao, Jiao; Chen, Junyu; Zeng, Guangjian; Huang, Hongye; Tian, Jianwen; Wen, Yuanqing; Zhang, Xiaoyong; Wei, Yen

    2018-01-01

    Polyethylenimine-tannins coated SiO2 (SiO2@PEI-TA) hybrid materials have been prepared via a single-step multifunctional coating with polyethylenimine (PEI) and tannins (TA), and characterized by transmission electron microscope (TEM), Fourier transform infrared spectroscopy (FT-IR), thermogravimetric analysis (TGA), and X-ray photoelectron spectroscopy (XPS). The as-prepared SiO2@PEI-TA composites were examined as adsorbents to remove the Cu2+ from aqueous solution. The effects of contact time, initial Cu2+ concentration, solution pH and temperature, on Cu2+ adsorption have been investigated. The results show that the adsorption of Cu2+ onto SiO2@PEI-TA is dependent on the contact time, Cu2+ concentration, pH and temperature. The SiO2@PEI-TA composites show a 2.4-fold increase in adsorption capacity, implying that the introduction of PEI-TA coating is in favor of the Cu2+ adsorption. Based on the analysis of kinetic data, the kinetics of Cu2+ adsorption is more accurately described by the pseudo-second-order model. The equilibrium data are analyzed by Langmuir and Freundlich isotherms. Results of isotherms show that the better agreement is Freundlich isotherm model with correlation coefficient of 0.9914, which suggests that the adsorption of Cu2+ onto SiO2@PEI-TA is mainly a heterogeneous adsorption process. Thermodynamic analyses show that the adsorption interaction is actually a spontaneous and endothermic chemical process, which might involve the chemical chelation between Cu2+ and functional groups (amine and carboxyl groups) on the surface of SiO2@PEI-TA. In addition, the Cu2+ ions could desorb from SiO2@PEI-TA by using acid solution and the adsorption efficiency remains at high level after five adsorption-desorption recycles. These results provide potential applications of these novel adsorbents for the removal of heavy metal Cu2+ from aqueous solution and also provide strong evidence to support the adsorption mechanism proposed in the study.

  11. Study of an Insulated Gate Bipolar Transistor (IGBT) and its connection in series. Application at a chopper 1500V-5A-10kHz

    International Nuclear Information System (INIS)

    Gros, P.

    1993-01-01

    In the frame of the tokamak ITER (International Thermonuclear Experimental Reactor) we have studied, for neutral particle injection, a converter with at least two static interrupters by Mosfet transistor, bipolar transistor or Insulated Gate Bipolar Transistor (IGBT). After a comparison between these three types of transistors, by the simulating software MICROCAP, a serial of tests has shown the advantages of the IGBT. A command, associated with two IGBT of equivalent characteristics, has given a simple and efficacious solution. The performances are: (1) between two blockages: 50 ns without overvoltage, (2) between two cut-off: 60 ns. 40 figs; 30 refs; 10 annexes

  12. C-V analysis at variable frequency of MOS structures with different gates, containing Hf-Doped Ta2O5

    International Nuclear Information System (INIS)

    Stojanovska-Georgievska, L.; Novkovski, N.; Atanassova, E.

    2012-01-01

    The quality of the interface between the insulating layer and the Si substrate in contemporary submicron MOS technology is a critical issue for device functioning. It is characterized through the electrically active defect centers, known as interface states. Their response to the frequency is discussed here, by analyzing capacitance-voltage and conductance-voltage curves. The C-V method is preferred in many cases, since it offers easy measurement, and it is applied to extract information about interface traps and fixed oxide charge, at different frequencies. This technique, related with frequency dependent G-V measurements, can be very useful in characterizing charge trapped in the dielectric and at the interface with Si. By extracting the value of frequency dependent flat band voltage, we have obtained the fixed oxide charges at flat band condition. A comparison between the results obtained by two different methods is made. The samples that are studied are metal-insulator-semiconductor (MIS) structures that include high-k dielectric as insulating layer (Hf doped Ta 2 O 5 ), with thickness of 8 nm, with different metal used as gate electrode. Here the influence of the top electrode on the generation and behavior of the traps in the oxide layer is discussed. The results show that the value of metal work function of the gate material is an issue that should be considered very carefully, especially in the case of high work function metal gates, when generation of extra positive charge than in the case of other metals is observed. (Author)

  13. Few-Layer Nanoplates of Bi 2 Se 3 and Bi 2 Te 3 with Highly Tunable Chemical Potential

    KAUST Repository

    Kong, Desheng

    2010-06-09

    A topological insulator (TI) represents an unconventional quantum phase of matter with insulating bulk band gap and metallic surface states. Recent theoretical calculations and photoemission spectroscopy measurements show that group V-VI materials Bi2Se3, Bi2Te3, and Sb2Te3 are TIs with a single Dirac cone on the surface. These materials have anisotropic, layered structures, in which five atomic layers are covalently bonded to form a quintuple layer, and quintuple layers interact weakly through van der Waals interaction to form the crystal. A few quintuple layers of these materials are predicted to exhibit interesting surface properties. Different from our previous nanoribbon study, here we report the synthesis and characterizations of ultrathin Bi2Te3 and Bi2Se3 nanoplates with thickness down to 3 nm (3 quintuple layers), via catalyst-free vapor-solid (VS) growth mechanism. Optical images reveal thickness-dependent color and contrast for nanoplates grown on oxidized silicon (300 nm SiO2/Si). As a new member of TI nanomaterials, ultrathin TI nanoplates have an extremely large surface-to-volume ratio and can be electrically gated more effectively than the bulk form, potentially enhancing surface state effects in transport measurements. Low-temperature transport measurements of a single nanoplate device, with a high-k dielectric top gate, show decrease in carrier concentration by several times and large tuning of chemical potential. © 2010 American Chemical Society.

  14. CMOS optimization for radiation hardness

    International Nuclear Information System (INIS)

    Derbenwick, G.F.; Fossum, J.G.

    1975-01-01

    Several approaches to the attainment of radiation-hardened MOS circuits have been investigated in the last few years. These have included implanting the SiO 2 gate insulator with aluminum, using chrome-aluminum layered gate metallization, using Al 2 O 3 as the gate insulator, and optimizing the MOS fabrication process. Earlier process optimization studies were restricted primarily to p-channel devices operating with negative gate biases. Since knowledge of the hardness dependence upon processing and design parameters is essential in producing hardened integrated circuits, a comprehensive investigation of the effects of both process and design optimization on radiation-hardened CMOS integrated circuits was undertaken. The goals are to define and establish a radiation-hardened processing sequence for CMOS integrated circuits and to formulate quantitative relationships between process and design parameters and the radiation hardness. Using these equations, the basic CMOS design can then be optimized for radiation hardness and some understanding of the basic physics responsible for the radiation damage can be gained. Results are presented

  15. Preparation and Characterization of SiO2/SiCN Core-shell Ceramic Microspheres

    Directory of Open Access Journals (Sweden)

    ZHANG Hai-yuan

    2017-05-01

    Full Text Available The SiO2/PSN core-shell microspheres were prepared via an emulsion reaction combined with the polymer-derived ceramics (PDCs method using polysilazane (PSN in situ polymerization on the surface of SiO2 modified by silane coupling agents MPS, followed by pyrolysis process to obtain SiO2/SiCN core-shell ceramic microspheres. The effects of raw mass ratio, curing time and pyrolysis temperature on the formation and the morphology of core-shell microspheres were studied. The morphology, chemical composition and phase transformation were characterized by SEM, EDS, TEM, FT-IR and XRD. The results show that after reaction for 4h at 200℃, SiO2 completely coated PSN forms a core-shell microsphere with rough surface when the mass ratio of SiO2 and PSN is 1:4; when pyrolysis temperature is at 800-1200℃, amorphous SiO2/SiCN core-shell ceramic microspheres are prepared; at 1400℃, the amorphous phase partially crystallizes to produce SiO2, SiC and Si3N4 phase.

  16. CoFe2O4-SiO2 Composites: Preparation and Magnetodielectric Properties

    Directory of Open Access Journals (Sweden)

    T. Ramesh

    2016-01-01

    Full Text Available Cobalt ferrite (CoFe2O4 and silica (SiO2 nanopowders have been prepared by the microwave hydrothermal (M-H method using metal nitrates as precursors of CoFe2O4 and tetraethyl orthosilicate as a precursor of SiO2. The synthesized powders were characterized by XRD and FESEM. The (100-x (CoFe2O4 + xSiO2 (where x = 0%, 10%, 20%, and 30% composites with different weight percentages have been prepared using ball mill method. The composite samples were sintered at 800°C/60 min using the microwave sintering method and then their structural and morphological studies were investigated using X-ray diffraction (XRD, Fourier transformation infrared (FTIR spectra, and scanning electron microscopy (SEM, respectively. The effect of SiO2 content on the magnetic and electrical properties of CoFe2O4/SiO2 nanocomposites has been studied via the magnetic hysteresis loops, complex permeability, permittivity spectra, and DC resistivity measurements. The synthesized nanocomposites with adjustable grain sizes and controllable magnetic properties make the applicability of cobalt ferrite even more versatile.

  17. Interface engineering and reliability characteristics of hafnium dioxide with poly silicon gate and dual metal (ruthenium-tantalum alloy, ruthenium) gate electrode for beyond 65 nm technology

    Science.gov (United States)

    Kim, Young-Hee

    Chip density and performance improvements have been driven by aggressive scaling of semiconductor devices. In both logic and memory applications, SiO 2 gate dielectrics has reached its physical limit, direct tunneling resulting from scaling down of dielectrics thickness. Therefore high-k dielectrics have attracted a great deal of attention from industries as the replacement of conventional SiO2 gate dielectrics. So far, lots of candidate materials have been evaluated and Hf-based high-k dielectrics were chosen to the promising materials for gate dielectrics. However, lots of issues were identified and more thorough researches were carried out on Hf-based high-k dielectrics. For instances, mobility degradation, charge trapping, crystallization, Fermi level pinning, interface engineering, and reliability studies. In this research, reliability study of HfO2 were explored with poly gate and dual metal (Ru-Ta alloy, Ru) gate electrode as well as interface engineering. Hard breakdown and soft breakdown were compared and Weibull slope of soft breakdown was smaller than that of hard breakdown, which led to a potential high-k scaling issue. Dynamic reliability has been studied and the combination of trapping and detrapping contributed the enhancement of lifetime projection. Polarity dependence was shown that substrate injection might reduce lifetime projection as well as it increased soft breakdown behavior. Interface tunneling mechanism was suggested with dual metal gate technology. Soft breakdown (l st breakdown) was mainly due to one layer breakdown of bi-layer structure. Low weibull slope was in part attributed to low barrier height of HfO 2 compared to interface layer. Interface layer engineering was thoroughly studied in terms of mobility, swing, and short channel effect using deep sub-micron MOSFET devices. In fact, Hf-based high-k dielectrics could be scaled down to below EOT of ˜10A and it successfully achieved the competitive performance goals. However, it is

  18. Synthesis of TiO2-doped SiO2 composite films and its applications

    Indian Academy of Sciences (India)

    Wintec

    structure of the titanium oxide species in the TiO2-doped SiO2 composite films and the photocatalytic reactiv- ity in order to ... gaku D-max γA diffractometer with graphite mono- chromized ... FT–IR absorption spectra of TiO2-doped SiO2 com-.

  19. Admittance of MIS-Structures Based on HgCdTe with a Double-Layer CdTe/Al2O3 Insulator

    Science.gov (United States)

    Dzyadukh, S. M.; Voitsekhovskii, A. V.; Nesmelov, S. N.; Sidorov, G. Yu.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretsky, S. A.; Mikhailov, N. N.; Yakushev, M. V.

    2018-03-01

    Admittance of MIS structures based on n( p)- Hg1-xCdxTe (at x from 0.22 to 0.40) with SiO2/Si3N4, Al2O3, and CdTe/Al2O3 insulators is studied experimentally at 77 K. Growth of an intermediate CdTe layer during epitaxy results in the almost complete disappearance of the hysteresis of electrophysical characteristics of MIS structures based on graded-gap n-HgCdTe for a small range of the voltage variation. For a wide range of the voltage variation, the hysteresis of the capacitance-voltage characteristics appears for MIS structures based on n-HgCdTe with the CdTe/Al2O3 insulator. However, the hysteresis mechanism differs from that in case of a single-layer Al2O3 insulator. For MIS structures based on p-HgCdTe, introduction of an additional CdTe layer does not lead to a significant decrease of the hysteresis phenomena, which may be due to the degradation of the interface properties when mercury leaves the film as a result of low-temperature annealing changing the conductivity type of the semiconductor.

  20. Bio Organic-Semiconductor Field-Effect Transistor (BioFET) Based on Deoxyribonucleic Acid (DNA) Gate Dielectric

    Science.gov (United States)

    2010-03-31

    floating gate devices and metal-insulator-oxide-semiconductor (MIOS) devices. First attempts to use polarizable gate insulators in combination with...bulk of the semiconductor (ii) Due to the polarizable gate dielectric (iii) dipole polarization and (iv)electret effect due to mobile ions in the...characterization was carried out under an argon environment inside the glove box. An Agilent model E5273A with a two source-measurement unit instrument was

  1. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.

    2010-11-19

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  2. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.; Caraveo-Frescas, J. A.; Cha, D. K.

    2010-01-01

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  3. Synthesis of Hollow Nanotubes of Zn2SiO4 or SiO2: Mechanistic Understanding and Uranium Adsorption Behavior.

    Science.gov (United States)

    Tripathi, Shalini; Bose, Roopa; Roy, Ahin; Nair, Sajitha; Ravishankar, N

    2015-12-09

    We report a facile synthesis of Zn2SiO4 nanotubes using a two-step process consisting of a wet-chemical synthesis of core-shell ZnO@SiO2 nanorods followed by thermal annealing. While annealing in air leads to the formation of hollow Zn2SiO4, annealing under reducing atmosphere leads to the formation of SiO2 nanotubes. We rationalize the formation of the silicate phase at temperatures much lower than the temperatures reported in the literature based on the porous nature of the silica shell on the ZnO nanorods. We present results from in situ transmission electron microscopy experiments to clearly show void nucleation at the interface between ZnO and the silica shell and the growth of the silicate phase by the Kirkendall effect. The porous nature of the silica shell is also responsible for the etching of the ZnO leading to the formation of silica nanotubes under reducing conditions. Both the hollow silica and silicate nanotubes exhibit good uranium sorption at different ranges of pH making them possible candidates for nuclear waste management.

  4. Temperature dependence of the work function of ruthenium-based gate electrodes

    International Nuclear Information System (INIS)

    Alshareef, H.N.; Wen, H.C.; Luan, H.F.; Choi, K.; Harris, H.R.; Senzaki, Y.; Majhi, P.; Lee, B.H.; Foran, B.; Lian, G.

    2006-01-01

    The effect of device fabrication temperature on the work function of ruthenium (Ru) metal gate and its bilayers was investigated. The work function shows strong temperature dependence when Ru electrodes are deposited on silicon oxide, SiO 2 , but not on hafnium silicates (HfSiO x ). Specifically, the work function of Ru on SiO 2 increased from 4.5 eV at 500 deg. C to 5.0 eV at 700 deg. C. On further annealing to 900 deg. C or higher, the work function dropped to about 4.4 eV. In the case of HfSiO x , the work function of Ru changed by less than 100 mV over the same temperature range. Identical temperature dependence was observed using hafnium (Hf)/Ru and tantalum (Ta)/Ru bilayers. However, the peak values of the work function decreased with increasing Hf/Ru and Ta/Ru thickness ratios. Materials analysis suggests that these trends are driven by interactions at the Ru metal gate-dielectric interface

  5. Photo-galvanic effect in Bi2Se3 thin films with ionic liquid gating

    Science.gov (United States)

    Pan, Yu; Richardella, Anthony; Lee, Joon Sue; Flanagan, Thomas; Samarth, Nitin

    2013-03-01

    A key challenge in three dimensional (3D) topological insulators (TIs) is to reveal the helical spin-polarized surface states via electrical transport measurements. A recent study [Nature Nanotech. 7, 96 (2012)] showed that circularly polarized light can be used to generate and control photocurrents in the 3D TI Bi2Se3, even at photon energies that are well above the bulk band gap. Symmetry considerations suggest that this ``photo-galvanic effect'' arises purely from photo-currents induced in the surface Dirac states. To gain insights into this phenomenon, we have carried out systematic measurements of the photo-galvanic effect in electrically gated MBE-grown Bi2Se3 thin films of varying thickness. By using an ionic liquid as an optically transparent gate, we map out the behavior of the photo-galvanic effect as a function of Fermi energy over a temperature range 5 K <= T <= 300 K. Supported by ONR and NSF.

  6. SIMULTANEOUS OBSERVATIONS OF SiO AND H2O MASERS TOWARD SYMBIOTIC STARS

    International Nuclear Information System (INIS)

    Cho, Se-Hyung; Kim, Jaeheon

    2010-01-01

    We present the results of simultaneous observations of SiO v = 1, 2, J = 1-0, 29 SiO v = 0, J = 1-0, and H 2 O 6 16 -5 23 maser lines performed with the KVN Yonsei 21 m radio telescope from 2009 November to 2010 January. We searched for these masers in 47 symbiotic stars and detected maser emission from 21 stars, giving the first time detection from 19 stars. Both SiO and H 2 O masers were detected from seven stars of which six were D-type symbiotic stars and one was an S-type star, WRAY 15-1470. In the SiO maser emission, the 28 SiO v = 1 maser was detected from 10 stars, while the v = 2 maser was detected from 15 stars. In particular, the 28 SiO v = 2 maser emission without the v = 1 maser detection was detected from nine stars with a detection rate of 60%, which is much higher than that of isolated Miras/red giants. The 29 SiO v = 0 maser emission was also detected from two stars, H 2-38 and BF Cyg, together with the 28 SiO v = 2 maser. We conclude that these different observational results between isolated Miras/red giants and symbiotic stars may be related with the presence of hot companions in a symbiotic binary system.

  7. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    Science.gov (United States)

    Bansal, Narottam P.; Hyatt, Mark J.

    1989-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  8. The influence of SiO2 Addition on 2MgO-Al2O3-3.3P2O5 Glass

    DEFF Research Database (Denmark)

    Larsen, P.H.; Poulsen, F.W.; Berg, Rolf W.

    1999-01-01

    2MgO-Al2O3-3.3P2O5 glasses with increasing amounts of SiO2 are considered for sealing applications in Solid Oxide Fuel Cells (SOFC). The change in chemical durability under SOFC anode conditions and the linear thermal expansion is measured as functions of the SiO2 concentration. Raman spectroscopy...... analysis of the glasses reveals no sign of important changes in the glass structure upon SiO2 addition. Some increase in glass durability with SiO2 concentration is reported and its cause is discussed....

  9. Cryogenic Properties of Inorganic Insulation Materials for ITER Magnets: A Review

    International Nuclear Information System (INIS)

    Simon, N.J.

    1994-01-01

    Results of a literature search on the cryogenic properties of candidate inorganic insulators for the ITER TF magnets are reported. The materials investigated include: Al 2 O 3 , AlN, MgO, porcelain, SiO 2 , MgAl 2 O 4 , ZrO 2 , and mica. A graphical presentation is given of mechanical, elastic, electrical, and thermal properties between 4 and 300 K. A companion report reviews the low temperature irradiation resistance of these materials

  10. Pulsed laser deposition of oxide gate dielectrics for pentacene organic field-effect transistors

    International Nuclear Information System (INIS)

    Yaginuma, S.; Yamaguchi, J.; Itaka, K.; Koinuma, H.

    2005-01-01

    We have fabricated Al 2 O 3 , LaAlO 3 (LAO), CaHfO 3 (CHO) and CaZrO 3 (CZO) thin films for the dielectric layers of field-effect transistors (FETs) by pulsed laser deposition (PLD). The films exhibited very smooth surfaces with root-mean-squares (rms) roughnesses of ∼1.3 A as evaluated by using atomic force microscopy (AFM). The breakdown electric fields of Al 2 O 3 , LAO, CHO and CZO films were 7, 6, 10 and 2 MV/cm, respectively. The magnitude of the leak current in each film was low enough to operate FET. We performed a comparative study of pentacene FET fabricated using these oxide dielectrics as gate insulators. High field-effect mobility of 1.4 cm 2 /V s and on/off current ratio of 10 7 were obtained in the pentacene FET using LAO gate insulating film. Use of the LAO films as gate dielectrics has been found to suppress the hysteresis of pentacene FET operations. The LAO films are relevant to the dielectric layer of organic FETs

  11. Electrical and proximity-magnetic effects induced quantum Goos–Hänchen shift on the surface of topological insulator

    Energy Technology Data Exchange (ETDEWEB)

    Kuai, Jian [School of Physics and Electronics, Yancheng Teachers College, Yancheng, 224002 Jiangsu (China); Da, H.X., E-mail: haixia8779@163.com [Electrical and Computer Engineering Department, National University of Singapore, 4 Engineering Drive 3, 117576 (Singapore)

    2014-03-15

    We use scattering matrix method to theoretically demonstrate that the quantum Goos–Hänchen shift of the surface on three-dimensional topological insulator coated by ferromagnetic strips is sensitive to the magnitude of ferromagnetic magnetization. The dependence of quantum Goos–Hänchen shift on magnetization and gate bias is investigated by performing station phase approach. It is found that quantum Goos–Hänchen shift is positive and large under the magnetic barrier but may be positive as well as negative values under the gate bias. Furthermore, the position of quantum Goos–Hänchen peak can also be modulated by the combination of gate bias and proximity magnetic effects. Our results indicate that topological insulators are another candidates to support quantum Goos–Hänchen shift. - Highlights: • Quantum Goos–Hänchen shift of the surface on three-dimensional topological insulators is first investigated. • The magnetization affects quantum Goos–Hänchen shift of the surface on three-dimensional topological insulators. • Quantum Goos–Hänchen shift of the surface on three-dimensional topological insulators can be manipulated by the gate voltages.

  12. Diffusive charge transport in graphene on SiO 2

    Science.gov (United States)

    Chen, J.-H.; Jang, C.; Ishigami, M.; Xiao, S.; Cullen, W. G.; Williams, E. D.; Fuhrer, M. S.

    2009-07-01

    We review our recent work on the physical mechanisms limiting the mobility of graphene on SiO 2. We have used intentional addition of charged scattering impurities and systematic variation of the dielectric environment to differentiate the effects of charged impurities and short-range scatterers. The results show that charged impurities indeed lead to a conductivity linear in density ( σ(n)∝n) in graphene, with a scattering magnitude that agrees quantitatively with theoretical estimates; increased dielectric screening reduces the scattering from charged impurities, but increases the scattering from short-range scatterers. We evaluate the effects of the corrugations (ripples) of graphene on SiO 2 on transport by measuring the height-height correlation function. The results show that the corrugations cannot mimic long-range (charged impurity) scattering effects, and have too small an amplitude-to-wavelength ratio to significantly affect the observed mobility via short-range scattering. Temperature-dependent measurements show that longitudinal acoustic phonons in graphene produce a resistivity that is linear in temperature and independent of carrier density; at higher temperatures, polar optical phonons of the SiO 2 substrate give rise to an activated, carrier density-dependent resistivity. Together the results paint a complete picture of charge carrier transport in graphene on SiO 2 in the diffusive regime.

  13. Mechanisms for plasma etching of HfO{sub 2} gate stacks with Si selectivity and photoresist trimming

    Energy Technology Data Exchange (ETDEWEB)

    Shoeb, Juline; Kushner, Mark J. [Department of Electrical and Computer Engineering, Iowa State University, Ames, Iowa 50011 (United States); Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109-2122 (United States)

    2009-11-15

    To minimize leakage currents resulting from the thinning of the insulator in the gate stack of field effect transistors, high-dielectric constant (high-k) metal oxides, and HfO{sub 2} in particular, are being implemented as a replacement for SiO{sub 2}. To speed the rate of processing, it is desirable to etch the gate stack (e.g., metal gate, antireflection layers, and dielectric) in a single process while having selectivity to the underlying Si. Plasma etching using Ar/BCl{sub 3}/Cl{sub 2} mixtures effectively etches HfO{sub 2} while having good selectivity to Si. In this article, results from integrated reactor and feature scale modeling of gate-stack etching in Ar/BCl{sub 3}/Cl{sub 2} plasmas, preceded by photoresist trimming in Ar/O{sub 2} plasmas, are discussed. It was found that BCl{sub n} species react with HfO{sub 2}, which under ion impact, form volatile etch products such as B{sub m}OCl{sub n} and HfCl{sub n}. Selectivity to Si is achieved by creating Si-B bonding as a precursor to the deposition of a BCl{sub n} polymer which slows the etch rate relative to HfO{sub 2}. The low ion energies required to achieve this selectivity then challenge one to obtain highly anisotropic profiles in the metal gate portion of the stack. Validation was performed with data from literature. The effect of bias voltage and key reactant probabilities on etch rate, selectivity, and profile are discussed.

  14. Thermal stability and chemical bonding states of AlOxNy/Si gate stacks revealed by synchrotron radiation photoemission spectroscopy

    International Nuclear Information System (INIS)

    He, G.; Toyoda, S.; Shimogaki, Y.; Oshima, M.

    2010-01-01

    Annealing-temperature dependence of the thermal stability and chemical bonding states of AlO x N y /SiO 2 /Si gate stacks grown by metalorganic chemical vapor deposition (MOCVD) using new chemistry was investigated by synchrotron radiation photoemission spectroscopy (SRPES). Results have confirmed the formation of the AlN and AlNO compounds in the as-deposited samples. Annealing the AlO x N y samples in N 2 ambient in 600-800 deg. C promotes the formation of SiO 2 component. Meanwhile, there is no formation of Al-O-Si and Al-Si binding states, suggesting no interdiffusion of Al with the Si substrate. A thermally induced reaction between Si and AlO x N y to form volatile SiO and Al 2 O is suggested to be responsible for the full disappearance of the Al component that accompanies annealing at annealing temperature of 1000 deg. C. The released N due to the breakage of the Al-N bonding will react with the SiO 2 interfacial layer and lead to the formation of the Si 3 -N-O/Si 2 -N-O components at the top of Si substrate. These results indicate high temperature processing induced evolution of the interfacial chemistry and application range of AlO x N y /Si gate stacks in future CMOS devices.

  15. Selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation.

    Science.gov (United States)

    Miao, Guang; Ye, Feiyan; Wu, Luoming; Ren, Xiaoling; Xiao, Jing; Li, Zhong; Wang, Haihui

    2015-12-30

    This study investigates selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation. The TiO2/SiO2 adsorbents were prepared and then characterized by N2 adsorption, X-ray diffraction and X-ray photoelectron spectroscopy. Adsorption isotherms, selectivity and kinetics of TiO2/SiO2 were measured in a UV built-in batch reactor. It was concluded that (a) with the employment of UV-irradiation, high organosulfur uptake of 5.12 mg/g was achieved on the optimized 0.3TiO2/0.7SiO2 adsorbent at low sulfur concentration of 15 ppmw-S, and its adsorption selectivity over naphthalene was up to 325.5; (b) highly dispersed TiO2 served as the photocatalytic sites for DBT oxidation, while SiO2 acted as the selective adsorption sites for the corresponding oxidized DBT using TiO2 as a promoter, the two types of active sites worked cooperatively to achieve the high adsorption selectivity of TiO2/SiO2; (c) The kinetic rate-determining step for the UV photocatalysis-assisted adsorptive desulfurization (PADS) over TiO2/SiO2 was DBT oxidation; (d) consecutive adsorption-regeneration cycles suggested that the 0.3TiO2/0.7SiO2 adsorbent can be regenerated by acetonitrile washing followed with oxidative air treatment. This work demonstrated an effective PADS approach to greatly enhance adsorption capacity and selectivity of thiophenic compounds at low concentrations for deep desulfurization under ambient conditions. Copyright © 2015 Elsevier B.V. All rights reserved.

  16. Exploration of porous SiC nanostructures as thermal insulator with high thermal stability and low thermal conductivity

    Institute of Scientific and Technical Information of China (English)

    Peng; WAN; Jingyang; WANG

    2016-01-01

    The crucial challenge for current nanoscale thermal insulation materials,such as Al2O3 and SiO2 aerogel composites,is to solve the trade-off between extremely low thermal conductivity and unsatisfied thermal stability.Typical high-temperature ceramic SiC possesses excellent mechanical properties and

  17. Heat Transport in Graphene Ferromagnet-Insulator-Superconductor Junctions

    Institute of Scientific and Technical Information of China (English)

    LI Xiao-Wei

    2011-01-01

    We study heat transport in a graphene ferromagnet-insulator-superconducting junction. It is found that the thermal conductance of the graphene ferromagnet-insulator-superconductor (FIS) junction is an oscillatory function of the barrier strength x in the thin-barrier limit. The gate potential U0 decreases the amplitude of thermal conductance oscillation. Both the amplitude and phase of the thermal conductance oscillation varies with the exchange energy Eh. The thermal conductance of a graphene FIS junction displays the usual exponential dependence on temperature, reflecting the s-wave symmetry of superconducting graphene.%@@ We study heat transport in a graphene ferromagnet-insulator-superconducting junction.It is found that the thermal conductance of the graphene ferromagnet-insulator-superconductor(FIS)junction is an oscillatory function of the barrier strength X in the thin-barrier limit.The gate potential Uo decreases the amplitude of thermal conductance oscillation.Both the amplitude and phase of the thermal conductance oscillation varies with the exchange energy Eh.The thermal conductance of a graphene FIS junction displays the usual exponential dependence on temperature, reflecting the s-wave symmetry of superconducting graphene.

  18. Luminescence properties of Ca2 Ga2 SiO7 :RE phosphors for UV white-light-emitting diodes.

    Science.gov (United States)

    Jiao, Mengmeng; Lv, Wenzhen; Lü, Wei; Zhao, Qi; Shao, Baiqi; You, Hongpeng

    2015-03-16

    A series of Eu(2+) -, Ce(3+) -, and Tb(3+) -doped Ca2 Ga2 SiO7 phosphors is synthesized by using a high-temperature solid-state reaction. The powder X-ray diffraction and structure refinement data indicate that our prepared phosphors are single phased and the phosphor crystalizes in a tetrahedral system with the ${P\\bar 42m}$ (113) space group. The Eu(2+) - and Ce(3+) -doped phosphors both have broad excitation bands, which match well with the UV light-emitting diodes chips. Under irradiation of λ=350 nm, Ca2 Ga2 SiO7 :Eu(2+) and Ca2 Ga2 SiO7 :Ce(3+) , Li(+) have green and blue emissions, respectively. Luminescence of Ca2 Ga2 SiO7 :Tb(3+) , Li(+) phosphor varies with the different Tb(3+) contents. The thermal stability and energy-migration mechanism of Ca2 Ga2 SiO7 :Eu(2+) are also studied. The investigation results indicate that the prepared Ca2 Ga2 SiO7 :Eu(2+) and Ca2 Ga2 SiO7 :Ce(3+) , Li(+) samples show potential as green and blue phosphors, respectively, for UV-excited white-light-emitting diodes. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Processing of SiO2 protective layer using HMDS precursor by combustion CVD.

    Science.gov (United States)

    Park, Kyoung-Soo; Kim, Youngman

    2011-08-01

    Hexamethyldisilazane (HMDS, [(CH3)3Si]2NH) was used as a precursor to form SiO2 protective coatings on IN738LC alloys by combustion chemical vapor deposition (CCVD). SEM and XPS showed that the processed coatings were composed mainly of SiO2. The amount of HMDS had the largest effect on the size of the SiO2 agglomerates and the thickness of the deposited coatings. The specimens coated with SiO2 using the 0.05 mol/l HMDS solution showed a significantly higher temperature oxidation resistance than those deposited under other conditions.

  20. Study on spectroscopic properties and effects of tungsten ions in 2Bi2O3-3GeO2/SiO2 glasses.

    Science.gov (United States)

    Yu, Pingsheng; Su, Liangbi; Cheng, Junhua; Zhang, Xia; Xu, Jun

    2017-04-01

    The 2Bi 2 O 3 -3GeO 2 /SiO 2 glass samples have been prepared by the conventional melt quenching technique. XRD patterns, absorption spectra, excitation-emission spectra and Raman measurements were utilized to characterize the synthesized glasses. When substitute SiO 2 for GeO 2 , the 0.4Bi 2 O 3 -(0.4-0.1)GeO 2 -(0.2-0.5)SiO 2 glasses exhibit strong emission centered at about 475nm (under 300nm excitation), and the decay constants are within the scope of 20-40ns. W doping into 2Bi 2 O 3 -3SiO 2 glass could increase the emission intensity of 470nm, and the W-doped 2Bi 2 O 3 -3SiO 2 glass has shown another emission at about 433nm with much shorter decay time (near 10ns). The 2Bi 2 O 3 -3GeO 2 /SiO 2 glass system could be the possible candidate for scintillator in high energy physics applications. Copyright © 2017 Elsevier Ltd. All rights reserved.

  1. The effect of gate length on SOI-MOSFETS operation | Baedi ...

    African Journals Online (AJOL)

    The effect of gate length on the operation of silicon-on-insulator (SOI) MOSFET structure with a layer of buried silicon oxide added to isolate the device body has been simulated. Three transistors with gate lengths of 100, 200 and 500 nm were simulated. Simulations showed that with a fixed channel length, when the gate ...

  2. Cd2SiO4/Graphene nanocomposite: Ultrasonic assisted synthesis, characterization and electrochemical hydrogen storage application.

    Science.gov (United States)

    Masjedi-Arani, Maryam; Salavati-Niasari, Masoud

    2018-05-01

    For the first time, a simple and rapid sonochemical technique for preparing of pure Cd 2 SiO 4 nanostructures has been developed in presence of various surfactants of SDS, CTAB and PVP. Uniform and fine Cd 2 SiO 4 nanoparticle was synthesized using of polymeric PVP surfactant and ultrasonic irradiation. The optimized cadmium silicate nanostructures added to graphene sheets and Cd 2 SiO 4 /Graphene nanocomposite synthesized through pre-graphenization. Hydrogen storage capacity performances of Cd 2 SiO 4 nanoparticle and Cd 2 SiO 4 /Graphene nanocomposite were compared. Obtained results represent that Cd 2 SiO 4 /Graphene nanocomposites have higher hydrogen storage capacity than Cd 2 SiO 4 nanoparticles. Cd 2 SiO 4 /Graphene nanocomposites and Cd 2 SiO 4 nanoparticles show hydrogen storage capacity of 3300 and 1300 mAh/g, respectively. Copyright © 2018 Elsevier B.V. All rights reserved.

  3. Hetero-gate-dielectric double gate junctionless transistor (HGJLT) with reduced band-to-band tunnelling effects in subthreshold regime

    International Nuclear Information System (INIS)

    Ghosh, Bahniman; Mondal, Partha; Akram, M. W.; Bal, Punyasloka; Salimath, Akshay Kumar

    2014-01-01

    We propose a hetero-gate-dielectric double gate junctionless transistor (HGJLT), taking high-k gate insulator at source side and low-k gate insulator at drain side, which reduces the effects of band-to-band tunnelling (BTBT) in the sub-threshold region. A junctionless transistor (JLT) is turned off by the depletion of carriers in the highly doped thin channel (device layer) which results in a significant band overlap between the valence band of the channel region and the conduction band of the drain region, due to off-state drain bias, that triggers electrons to tunnel from the valence band of the channel region to the conduction band of the drain region leaving behind holes in the channel. These effects of band-to-band tunnelling increase the sub-threshold leakage current, and the accumulation of holes in the channel forms a parasitic bipolar junction transistor (n–p–n BJT for channel JLT) in the lateral direction by the source (emitter), channel (base) and drain (collector) regions in JLT structure in off-state. The proposed HGJLT reduces the subthreshold leakage current and suppresses the parasitic BJT action in off-state by reducing the band-to-band tunnelling probability. (semiconductor devices)

  4. Enhanced the hydrophobic surface and the photo-activity of TiO2-SiO2 composites

    Science.gov (United States)

    Wahyuni, S.; Prasetya, A. T.

    2017-02-01

    The aim of this research is to develop nanomaterials for coating applications. This research studied the effect of various TiO2-SiO2 composites in acrylic paint to enhance the hydrophobic properties of the substrate. Titanium dioxide containing silica in the range 20-35 mol% has been synthesized using sol-gel route. The XRD’s spectra show that increasing SiO2 content in the composite, decreasing its crystalline properties but increasing the surface area. TiO2-SiO2 composite was dispersed in acrylic paint in 2% composition by weight. The largest contact angle was 70, which produced by the substrate coated with TS-35-modified acrylic paint. This study also investigated the enhanced photo-activity of TiO2-SiO2 modified with poly-aniline. The XRD spectra show that the treatment does not change the crystal structure of TiO2. The photo-activity of the composite was evaluated by degradation of Rhodamine-B with visible light. The best performance of the degradation process was handled by the composite treated with 0.1mL anilines per gram of TiO2-SiO2 composite (TSP-A). On the other side, the contact angle 70 has not shown an excellent hydrophobic activity. However, the AFM spectra showed that nanoroughness has started to form on the surface of acrylic paint modified with TiO2-SiO2 than acrylic alone.

  5. SiO2-induced release of sVEGFRs from pulmonary macrophages.

    Science.gov (United States)

    Chao, Jie; Lv, Yan; Chen, Jin; Wang, Jing; Yao, Honghong

    2018-01-01

    The inhalation of silicon dioxide (SiO 2 ) particles causes silicosis, a stubborn pulmonary disease that is characterized by alveolar inflammation during the early stage. Soluble cytokine receptors (SCRs) play important roles in regulating inflammation by either attenuating or promoting cytokine signaling. However, the role of SCRs in silicosis remains unknown. Luminex assays revealed increased soluble vascular endothelial growth factor receptor (sVEGFR) family levels in the plasma of silicosis patients. In an enzyme-linked immunosorbent assay (ELISA), cells from the differentiated human monocytic cell line U937 released sVEGFR family proteins after exposure to SiO 2 (50μg/cm 2 ). Further Western blot experiments revealed that VEGFR expression was also elevated in U937 cells. In contrast, levels of sVEGFR family members did not change in the supernatants of human umbilical vein endothelial cells (HUVECs) after exposure to SiO 2 (50μg/cm 2 ). Interestingly, VEGFR expression in HUVECs decreased after SiO 2 treatment. In a scratch assay, HUVECs exhibited cell migration ability, indicating the acquisition of mesenchymal properties. Our findings highlight the important role of sVEGFRs in both inflammation and fibrosis induced by SiO 2 , suggesting a possible mechanism for the fibrogenic effects observed in pulmonary diseases associated with fibrosis. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. Highly efficient transparent Zn2SiO4:Mn2+ phosphor film on quartz glass

    International Nuclear Information System (INIS)

    Seo, K.I.; Park, J.H.; Kim, J.S.; Kim, G.C.; Yoo, J.H.

    2009-01-01

    Highly efficient transparent Zn 2 SiO 4 :Mn 2+ film phosphors on quartz substrates were deposited by the thermal diffusion of sputtered ZnO:Mn film. They show a textured structure with some preferred orientations. Our film phosphor shows, for the best photoluminescence (PL) brightness, a green PL brightness of about 20% of a commercial Zn 2 SiO 4 :Mn 2+ powder phosphor screen. The film shows a high transmittance of more than 10% at the red-color region. The excellence in PL brightness and transmittance can be explained in terms of the textured crystal growth with a continuous gradient of Zn 2 SiO 4 : Mn 2+ crystals.

  7. Photocatalytic application of TiO2/SiO2-based magnetic nanocomposite (Fe3O4@SiO2/TiO2 for reusing of textile wastewater

    Directory of Open Access Journals (Sweden)

    Laleh Enayati Ahangar

    2016-01-01

    Full Text Available In this research we have developed a treatment method for textile wastewater by TiO2/SiO2-based magnetic nanocomposite. Textile wastewater includes a large variety of dyes and chemicals and needs treatments. This manuscript presents a facile method for removing dyes from the textile wastewater by using TiO2/SiO2-based nanocomposite (Fe3O4@SiO2/TiO2 under UV irradiation. This magnetic nanocomposite, as photocatalytically active composite, is synthesized via solution method in mild conditions. A large range of cationic, anionic and neutral dyes including: methyl orange, methylene blue, neutral red, bromocresol green and methyl red are used for treatment investigations. Neutral red and bromocresol green have good results in reusing treatment. The high surface area of nanocomposites improve the kinetic of wastewater treatment. In this method, by using the magnetic properties of Fe3O4 nanoparticles, TiO2-based photocatalyst could be separated and reused for 3 times. The efficiency of this method is respectively 100% and 65% for low concentration (10 ppm and high concentration (50 ppm of neutral red and bromocrosol green after 3 h treatment. The efficiency of treatment using the second used nanocomposite was 90% for 10 ppm of the same dyes.

  8. Electric detection of the spin-Seebeck effect in magnetic insulator in the presence of interface barrier

    International Nuclear Information System (INIS)

    Uchida, K; Ota, T; Kajiwara, Y; Saitoh, E; Umezawa, H; Kawai, H

    2011-01-01

    The spin-Seebeck effect (SSE), the spin-voltage generation as a result of a temperature gradient, has recently been observed in ferrimagnetic insulator LaY 2 Fe 5 O 12 films by means of the inverse spin-Hall effect in Pt films. Here we investigate the SSE using LaY 2 Fe 5 O 12 /SiO 2 (Cu)/Pt systems, where the LaY 2 Fe 5 O 12 and Pt layers are separated by SiO 2 (Cu) thin-film barriers. The experimental results show that the SSE signal disappears in the LaY 2 Fe 5 O 12 /SiO 2 /Pt system, but the finite signal appears in the LaY 2 Fe 5 O 12 /Cu/Pt system, indicating that the direct contacts between the LaY 2 Fe 5 O 12 and normal metals is necessary for generating the SSE signal.

  9. Efficient photocatalytic activity with carbon-doped SiO2 nanoparticles

    KAUST Repository

    Zhang, Dongen

    2013-01-01

    Photocatalysis provides a \\'green\\' approach to completely eliminate various kinds of contaminants that are fatal for current environmental and energy issues. Semiconductors are one of the most frequently used photocatalysts as they can absorb light over a wide spectral range. However, it is also well known that naked SiO2 is not an efficient photocatalyst due to its relatively large band gap, which could only absorb shortwave ultraviolet light. In this report, nanoscale particles of carbon-doped silicon dioxide (C-doped SiO2) for use in photocatalysis were successfully prepared by a facile one-pot thermal process using tetraethylorthosilicate (TEOS) as the source of both silicon and carbon. These particles were subsequently characterized by thermogravimetric analysis, X-ray diffraction, standard and high resolution transmission electron microscopy and X-ray photoelectron spectroscopy. The C-doped SiO2 displayed outstanding photocatalytic properties, as evidenced by its catalysis of Rhodamine B degradation under near-UV irradiation. We propose that carbon doping of the SiO2 lattice creates new energy states between the bottom of the conduction band and the top of the valence band, which narrows the band gap of the material. As a result, the C-doped SiO2 nanoparticles exhibit excellent photocatalytic activities in a neutral environment. The novel synthesis reported herein for this material is both energy efficient and environmentally friendly and as such shows promise as a technique for low-cost, readily scalable industrial production. © 2013 The Royal Society of Chemistry.

  10. Luminescence and origin of lead-related centers in single crystalline films of Y2SiO5 and Lu2SiO5

    International Nuclear Information System (INIS)

    Babin, V.; Gorbenko, V.; Krasnikov, A.; Mihokova, E.; Nikl, M.; Zazubovich, S.; Zorenko, Yu.

    2013-01-01

    In the temperature range 4.2–350 K, the steady-state and time-resolved emission and excitation spectra and luminescence decay kinetics are studied for the undoped Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films grown by liquid phase epitaxy method from the PbO-based flux and, owing to that, containing lead ions substituting for Y 3+ or Lu 3+ ions. Luminescence characteristics of Pb-related centers of different types are identified. On the basis of the results obtained, we suggest that the ultraviolet emission of Pb-related centers arises from the Pb 2+ ions substituting for Y 3+ or Lu 3+ ions in the Y1 and Lu1 lattice sites of the X 2 structure. Possible hypotheses on the origin of the intense complex lead-related blue emission are discussed. We propose phenomenological models describing the excited-state dynamics of the studied luminescence centers. We also determine characteristic parameters of the corresponding relaxed excited states, in particular, the energy separations between the excited states and the rates of the radiative and non-radiative transitions from these states. -- Highlights: •Emission of lead centers in Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films is studied. •The ultraviolet emission arises from Pb 2+ ions located in Y1 or Lu1 lattice sites. •Possible hypotheses on the origin of the blue emission are proposed and discussed. •The relaxed excited states parameters of various Pb-related centers are determined

  11. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-06-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard bulk mono-crystalline silicon substrate. A lifetime projection is extracted using statistical analysis of the ramping voltage (Vramp) breakdown and time dependent dielectric breakdown data. The obtained flexible MOSCAPs operational voltages satisfying the 10 years lifetime benchmark are compared to those of the control MOSCAPs, which are not peeled off from the silicon wafer. © 2014 IEEE.

  12. Molecular dynamics simulations of the effect of shape and size of SiO2 nanoparticle dopants on insulation paper cellulose

    Directory of Open Access Journals (Sweden)

    Chao Tang

    2016-12-01

    Full Text Available The effect of silica nanoparticle (Nano-SiO2 dopants on insulation paper cellulose, and the interaction between them, was investigated using molecular dynamics simulations. The mechanical properties, interactions, and cellulose-Nano-SiO2 compatibility of composite models of cellulose doped with Nano-SiO2 were studied. An increase in Nano-SiO2 size leads to a decrease in the mechanical properties, and a decrease in the anti-deformation ability of the composite model. The binding energies and bond energies per surface area of the composite models indicate that the bonding interaction between spherical Nano-SiO2 and cellulose is the strongest among the four different Nano-SiO2 shapes that are investigated. The solubilities of the four composite models decrease with increasing Nano-SiO2 size, and the difference between the solubility of pure cellulose and those of the composite models increases with increasing Nano-SiO2 size. Good doping effects with the highest cellulose-Nano-SiO2 compatibility are achieved for the cellulose model doped with spherical Nano-SiO2 of 10 Å in diameter. These findings provide a method for modifying the mechanical properties of cellulose by doping, perhaps for improving insulation dielectrics.

  13. OTFT with pentacene-gate dielectric interface modified by silicon nanoparticles

    International Nuclear Information System (INIS)

    Jakabovic, J.; Kovac, J.; Srnanek, R.; Guldan, S.; Donoval, D.; Weis, M.; Sokolsky, M.; Cirak, J.; Broch, K.; Schreiber, F.

    2011-01-01

    We have for the first time investigated the structural and electrical properties of pentacene OTFT deposited on the semiconductor-gate insulator interface covered with SiNPs monolayer prepared by the LB method and compared these to a reference sample (without SiNPs). The micro-Raman, AFM and XRD measurements confirmed that the pentacene layer deposited on the semiconductor-gate insulator interface covered with a SiNPs monolayer on both hydrophobic and hydrophilic surfaces changes the structure. The Raman measurements show that the average value of α is between 0.8 and 1.0. The different structural quality of pentacene leads to better OTFTs electrical characteristics mainly saturation current of OTFTs with SiNPs increasing (∼ 2.5 x) with storing time (85 days) in comparison to OTFTs without SiNPs, which decrease similarly after 85 days.

  14. Top-gate microcrystalline silicon TFTs processed at low temperature (<200 deg. C)

    International Nuclear Information System (INIS)

    Saboundji, A.; Coulon, N.; Gorin, A.; Lhermite, H.; Mohammed-Brahim, T.; Fonrodona, M.; Bertomeu, J.; Andreu, J.

    2005-01-01

    N-type as well P-type top-gate microcrystalline silicon thin film transistors (TFTs) are fabricated on glass substrates at a maximum temperature of 200 deg. C. The active layer is an undoped μc-Si film, 200 nm thick, deposited by Hot-Wire Chemical Vapor. The drain and source regions are highly phosphorus (N-type TFTs) or boron (P-type TFTs)-doped μc-films deposited by HW-CVD. The gate insulator is a silicon dioxide film deposited by RF sputtering. Al-SiO 2 -N type c-Si structures using this insulator present low flat-band voltage,-0.2 V, and low density of states at the interface D it =6.4x10 10 eV -1 cm -2 . High field effect mobility, 25 cm 2 /V s for electrons and 1.1 cm 2 /V s for holes, is obtained. These values are very high, particularly the hole mobility that was never reached previously

  15. Electrically tuned magnetic order and magnetoresistance in a topological insulator.

    Science.gov (United States)

    Zhang, Zuocheng; Feng, Xiao; Guo, Minghua; Li, Kang; Zhang, Jinsong; Ou, Yunbo; Feng, Yang; Wang, Lili; Chen, Xi; He, Ke; Ma, Xucun; Xue, Qikun; Wang, Yayu

    2014-09-15

    The interplay between topological protection and broken time reversal symmetry in topological insulators may lead to highly unconventional magnetoresistance behaviour that can find unique applications in magnetic sensing and data storage. However, the magnetoresistance of topological insulators with spontaneously broken time reversal symmetry is still poorly understood. In this work, we investigate the transport properties of a ferromagnetic topological insulator thin film fabricated into a field effect transistor device. We observe a complex evolution of gate-tuned magnetoresistance, which is positive when the Fermi level lies close to the Dirac point but becomes negative at higher energies. This trend is opposite to that expected from the Berry phase picture, but is intimately correlated with the gate-tuned magnetic order. The underlying physics is the competition between the topology-induced weak antilocalization and magnetism-induced negative magnetoresistance. The simultaneous electrical control of magnetic order and magnetoresistance facilitates future topological insulator based spintronic devices.

  16. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  17. Effect of SiO2 addition and gamma irradiation on the lithium borate glasses

    Science.gov (United States)

    Raut, A. P.; Deshpande, V. K.

    2018-01-01

    The physical properties like density, glass transition temperature (Tg), and ionic conductivity of lithium borate (LB) glasses with SiO2 addition were measured before and after gamma irradiation. Remarkable changes in properties have been obtained in the physical properties of LB glasses with SiO2 addition and after gamma irradiation. The increase in density and glass transition temperature of LB glasses with SiO2 addition has been explained with the help of increase in density of cross linking due to SiO4 tetrahedra formation. The increase in ionic conductivity with SiO2 addition was explained with the help of ‘mixed glass former effect’. The increase in density and Tg of LB glasses with SiO2 addition after gamma irradiation has been attributed to fragmentation of bigger ring structure into smaller rings, which increases the density of cross linking and hence compaction. The exposure of gamma irradiation has lead to decrease in ionic conductivity of LB glasses with SiO2 addition. The atomic displacement caused by gamma irradiation resulted in filling of interstices and decrease in trapping sites. This explains the obtained decrease in ionic conductivity after gamma irradiation of glasses. The obtained results of effect of SiO2 addition and gamma irradiation on the density, Tg and ionic conductivity has been supported by FTIR results.

  18. Effect of Coercive Voltage and Charge Injection on Performance of a Ferroelectric-Gate Thin-Film Transistor

    Directory of Open Access Journals (Sweden)

    P. T. Tue

    2013-01-01

    Full Text Available We adopted a lanthanum oxide capping layer between semiconducting channel and insulator layers for fabrication of a ferroelectric-gate thin-film transistor memory (FGT which uses solution-processed indium-tin-oxide (ITO and lead-zirconium-titanate (PZT film as a channel layer and a gate insulator, respectively. Good transistor characteristics such as a high “on/off” current ratio, high channel mobility, and a large memory window of 108, 15.0 cm2 V−1 s−1, and 3.5 V were obtained, respectively. Further, a correlation between effective coercive voltage, charge injection effect, and FGT’s memory window was investigated. It is found that the charge injection from the channel to the insulator layer, which occurs at a high electric field, dramatically influences the memory window. The memory window’s enhancement can be explained by a dual effect of the capping layer: (1 a reduction of the charge injection and (2 an increase of effective coercive voltage dropped on the insulator.

  19. High-sensitivity pH sensor using separative extended-gate field-effect transistors with single-walled carbon-nanotube networks

    Science.gov (United States)

    Pyo, Ju-Young; Cho, Won-Ju

    2018-04-01

    We fabricate high-sensitivity pH sensors using single-walled carbon-nanotube (SWCNT) network thin-film transistors (TFTs). The sensing and transducer parts of the pH sensor are composed of separative extended-sensing gates (ESGs) with SnO2 ion-sensitive membranes and double-gate structure TFTs with thin SWCNT network channels of ∼1 nm and AlO x top-gate insulators formed by the solution-deposition method. To prevent thermal process-induced damages on the SWCNT channel layer due to the post-deposition annealing process and improve the electrical characteristics of the SWCNT-TFTs, microwave irradiation is applied at low temperatures. As a result, a pH sensitivity of 7.6 V/pH, far beyond the Nernst limit, is obtained owing to the capacitive coupling effect between the top- and bottom-gate insulators of the SWCNT-TFTs. Therefore, double-gate structure SWCNT-TFTs with separated ESGs are expected to be highly beneficial for high-sensitivity disposable biosensor applications.

  20. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  1. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    OpenAIRE

    B. Pivac; P. Dubček; J. Dasović; H. Zorc; S. Bernstorff; J. Zavašnik; B. Vlahovic

    2018-01-01

    The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C) in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm) spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction) and self-organization ...

  2. Use of MnO2 and MnO2 SiO2 for sorbing of Sr-90 from liquid rad waste

    International Nuclear Information System (INIS)

    Subiarto; Las, Thamzil; Aan BH, Martin; Utomo, Cahyo Hari

    1998-01-01

    The synthesis of MnO 2 adsorbent and MnO 2 -SiO 2 composite has been done. MnO 2 synthesis is done by the reaction of KMnO 4 , Mn(NO 3 ) 2 .4H 2 O and Na 2 S 2 O 4 ( MnO 2 -A, MnO 2 -B, and MnO 2 -T ). MnO 2 . SiO 2 is made from KMnO 4 , Na 2 SiO 3 , and H 2 O 2 . The result obtained show the best Sr-90 sorption by MnO 2 -A with Kd = 2085.63 ml/g, by MnO 2 -L with Kd = 755.09 ml/g, and by MnO 2 - SiO 2 composite with Kd = 1466.51 ml/g. From this result, we can conclude that MnO 2 -SiO 2 can be expanded for Sr-90 sorption from liquid radioactive waste. (author)

  3. Cytotoxicity and effect on GJIC of SiO2 nanoparticles in HL-7702 cells

    International Nuclear Information System (INIS)

    Pan Tao; Jin Minghua; Liu Xiaomei; Du Zhongjun; Zhou Xianqing; Huang Peili; Sun Zhiwei

    2013-01-01

    Objective: To study the cytotoxicity and effect on gap junction intracellular communication (GJIC) of SiO 2 nanoparticles in HL-7702 cells, and to provide experimental basis for toxicity assessment and the security applications of SiO 2 nanoparticles. Methods: Transmission electron microscope (TEM) was used to characterize two kinds of SiO 2 nanoparticles, verifying their size, dispersion and shape; dynamic light scattering (DLS) method was used to analyze the water dispersion and culture medium dispersion of the SiO 2 nanoparticles; MTT assay was carried out to examine the cytotoxicities of the two sizes SiO 2 nanoparticles on the cells; lactate dehydrogenase (LDH) release assay was performed to examine the integrity nano of the cell membrane; Scrape-loading and dye transfer assay was performed to examine the effect of SiO 2 nanoparticles on GJIC. Results: Based on the result of TEM, two kinds of SiO 2 nanoparticles were spherically shaped, uniformly sized and sporadically dispersed; the statistical analysis results showed the diameters of the two nanoparticles were (447.60±20.78) nm and (67.42±5.69) nm, respectively, thus they could be categorized as submicron scale and nano scale. The DLS method results manifested that the hydration nanoparticle sizes of the two SiO 2 nanoparticles were (684.37±18.76) nm, (128.31±7.64) nm in high purity water and (697.02±19.57) nm, (133.74±8.97) nm in RPMI-1640 solution, all the two nanoparticles were well dispersed without aggregation. MTT assay indicated that 24 h after treatment of SiO 2 nanoparticles, the cell viabilities were affected by both the size and the dose of the SiO 2 nanoparticles; the higher the dose was, the less viability the cells exhibited. Moreover, the nano scale particles inflicted more damage to the cells. LDH release assay indicated that the SiO 2 particles could also damage the cell membrane in a dose-dependent and size-dependent way. Scrape-loading and dye transfer assay indicated that the nano

  4. ISAC's Gating-ML 2.0 data exchange standard for gating description.

    Science.gov (United States)

    Spidlen, Josef; Moore, Wayne; Brinkman, Ryan R

    2015-07-01

    The lack of software interoperability with respect to gating has traditionally been a bottleneck preventing the use of multiple analytical tools and reproducibility of flow cytometry data analysis by independent parties. To address this issue, ISAC developed Gating-ML, a computer file format to encode and interchange gates. Gating-ML 1.5 was adopted and published as an ISAC Candidate Recommendation in 2008. Feedback during the probationary period from implementors, including major commercial software companies, instrument vendors, and the wider community, has led to a streamlined Gating-ML 2.0. Gating-ML has been significantly simplified and therefore easier to support by software tools. To aid developers, free, open source reference implementations, compliance tests, and detailed examples are provided to stimulate further commercial adoption. ISAC has approved Gating-ML as a standard ready for deployment in the public domain and encourages its support within the community as it is at a mature stage of development having undergone extensive review and testing, under both theoretical and practical conditions. © 2015 International Society for Advancement of Cytometry.

  5. The Effect of SiO2 Shell on the Suppression of Photocatalytic Activity of TiO2 and ZnO Nanoparticles

    International Nuclear Information System (INIS)

    Lee, Min Hee; Lee, Choon Soo; Patil, Umakant Mahadev; Kochuveedu, Saji Thomas

    2012-01-01

    In this study, we investigate the potential use of TiO 2 SiO 2 and ZnO SiO 2 core/shell nanoparticles (NPs) as effective UV shielding agent. In the typical synthesis, SiO 2 was coated over different types of TiO 2 (anatase and rutile) and ZnO by sol-gel method. The synthesized TiO 2 SiO 2 and ZnO SiO 2 Nps were characterized by UV-Vis, XRD, Sem and TEM. The UV-vis absorbance and transmittance spectra of core shell NPs showed an efficient blocking effect in the UV region and more than 90% transmittance in the visible region. XRD and SAED studies confirmed the formation of amorphous SiO 2 coated over the TiO 2 and ZnO NPs. The FESEM and TEM images shows that coating of SiO 2 over the surface of anatase, rutile TiO 2 and ZnO NPs resulted in the increase in particle size by ∼30 nm. In order to study the UV light shielding capability of the samples, photocatalytic degradation of methylene blue dye on TiO 2 SiO 2 and ZnO SiO 2 NPs was performed. Photocatalytic activity for both types of TiO 2 NPs was partially suppressed. In comparison, the photocatalytic activity of ZnO almost vanished after the SiO 2 coating

  6. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  7. Irradiation-induced hardening/softening in SiO2 studied with instrumented indentation

    International Nuclear Information System (INIS)

    Nakano, Shinsuke; Muto, Shunsuke; Tanabe, Tetsuo

    2005-01-01

    To understand the plastic deformation mechanism of SiO 2 polytypes, we measured the mechanical parameters of He + -irradiated crystalline SiO 2 (α-quartz, c-SiO 2 ) and vitreous SiO 2 (silica glass, v-SiO 2 ) as functions of the irradiation dose, by using the instrumented indentation method combined with a finite-element analysis. We extracted the effects of local rotation and bending of the SiO 4 framework (the degree of local structural freedom), which play key roles in the plastic deformation, and expressed the hardness change with a simple formula. For v-SiO 2 , the changes in the density and the number of broken bonds correlated well with the change in the degree of freedom. In contrast, for c-SiO 2 the present formulation was insufficient to fully express the hardness change in the structural disordering regime. The structure change by irradiation peculiar to this material is discussed, based on the theoretical formulation

  8. Influence of SiO2 Addition on Properties of PTFE/TiO2 Microwave Composites

    Science.gov (United States)

    Yuan, Ying; Wang, Jie; Yao, Minghao; Tang, Bin; Li, Enzhu; Zhang, Shuren

    2018-01-01

    Composite substrates for microwave circuit applications have been fabricated by filling polytetrafluoroethylene (PTFE) polymer matrix with ceramic powder consisting of rutile TiO2 ( D 50 ≈ 5 μm) partially substituted with fused amorphous SiO2 ( D 50 ≈ 8 μm) with composition x vol.% SiO2 + (50 - x) vol.% TiO2 ( x = 0, 3, 6, 9, 12), and the effects of SiO2 addition on characteristics such as the density, moisture absorption, microwave dielectric properties, and thermal properties systematically investigated. The results show that the filler was well distributed throughout the matrix. High dielectric constant ( ɛ r > 7.19) and extremely low moisture absorption (ceramic particles served as barriers and improved the thermal stability of the PTFE polymer, retarding its decomposition. The temperature coefficient of dielectric constant ( τ ɛ ) of the composites shifted toward the positive direction (from - 309 ppm/°C to - 179 ppm/°C) as the SiO2 content was increased, while the coefficient of thermal expansion remained almost unchanged (˜ 35 ppm/°C).

  9. Bioactivity of gel-glass powders in the CaO-SiO2 system: a comparison with ternary (CaO-P2O5-SiO2) and quaternary glasses (SiO2-CaO-P2O5-Na2O).

    Science.gov (United States)

    Saravanapavan, Priya; Jones, Julian R; Pryce, Russell S; Hench, Larry L

    2003-07-01

    Bioactive glasses react chemically with body fluids in a manner that is compatible with the repair processes of the tissues. This results in the formation of an interfacial bond between the glasses and living tissue. Bioactive glasses also stimulate bone-cell proliferation. This behavior is dependent on the chemical composition as well as the surface texture of the glasses. It has been recently reported that gel-derived monolith specimens in the binary SiO2 - CaO are bioactive over a similar molar range of SiO2 content as the previously studied ternary CaO-P2O5-SiO2 system. In this report, the preparation and bioactivity of the binary gel-glass powder with 70 mol % SiO2 is discussed and its bioactivity is compared with the melt-derived 45S5 (quaternary) Bioglass and sol-gel-derived 58S (ternary) bioactive gel-glass compositions. Dissolution kinetic parameters K(1) and K(2) were also computed based on the silicon release for all glass powders. It was shown that the simple two-component SiO2-CaO gel-glass powder is bioactive with comparable dissolution rates as the clinically used melt-derived 45S5 Bioglass powder and extensively studied sol-gel-derived 58S gel-glass powder. Copyright 2003 Wiley Periodicals, Inc.

  10. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  11. PENGUJIAN AKTIVITAS KOMPOSIT Fe2O3-SiO2 SEBAGAI FOTOKATALIS PADA FOTODEGRADASI 4-KLOROFENOL (The Activity Test of Fe2O3-SiO2 Composite As Photocatalyst on 4-Chlorophenol Photodegradation

    Directory of Open Access Journals (Sweden)

    Eko Sri Kunarti

    2009-03-01

    Full Text Available ABSTRAK  Pada penelitian ini telah dilakukan pengujian aktivitas komposit Fe2O3-SiO2 sebagai fotokatalis pada fotodegradasi 4-klorofenol. Penelitian diawali dengan preparasi dan karakterisasi fotokatalis Fe2O3-SiO2. Preparasi dilakukan dengan metode sol-gel pada temperatur kamar menggunakan tetraetil ortosilikat (TEOS dan besi (III nitrat sebagai prekursor diikuti dengan perlakuan termal pada temperature 500 oC. Karakterisasi dilakukan dengan metode spektrometri inframerah, difraksi sinar-X dan spektrometri fluoresensi sinar-X. Uji aktivitas komposit untuk fotodegradasi 4-klorofenol dilakukan dalam reaktor tertutup yang dilengkapi dengan lampu UV. Pada uji ini telah dipelajari pengaruh waktu penyinaran dan pH larutan terhadap efektivitas fotodegradasi 4-klorofenol. Hasil penelitian menunjukkan bahwa komposit Fe2O3-SiO2 dapat dipreparasi dengan metode sol-gel pada temperatur kamar diikuti perlakuan termal. Komposit Fe2O3-SiO2 dapat meningkatkan efektivitas fotodegradasi 4-klorofenol dari 11,86 % menjadi 55,38 %. Efektivitas fotodegradasi 4- klorofenol dipengaruhi waktu penyinaran dan pH larutan yang semakin lama waktu penyinaran efektifitas fotodegradasi semakin tinggi, namun waktu penyinaran yang lebih lama dari 4 jam dapat menurunkan efektivitasnya. pH larutan memberikan pengaruh yang berbeda-beda pada efektivitas fotodegradasi 4-klorofenol.   ABSTRACT The activity test of Fe2O3-SiO2 composite as photocatalyst on 4-chlorophenol photodegradation has been studied. The research was initiated by preparation of Fe2O3-SiO2 photocatalyst and followed by characterization. The preparation was conducted by sol-gel method at room temperature using tetraethylorthosilicate (TEOS and iron (III nitrate as precursors followed by thermal treatment at a temperature of 500oC. The characterizations were performed by X-ray Diffraction (XRD, Infrared and X-ray Fluorescence Spectrophotometry. The photocatalytic activity test of composites for 4 chlorophenol

  12. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    Science.gov (United States)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  13. Analysis of SiO2 nanoparticles binding proteins in rat blood and brain homogenate

    Directory of Open Access Journals (Sweden)

    Shim KH

    2014-12-01

    Full Text Available Kyu Hwan Shim,1 John Hulme,1 Eun Ho Maeng,2 Meyoung-Kon Kim,3 Seong Soo A An1 1Department of Bionano Technology, Gachon Medical Research Institute, Gachon University, Sungnam-si, 2Department of Analysis, KTR, Kimpo, Gyeonggi-do, 3Department of Biochemistry and Molecular Biology, Korea University Medical School and College, Seoul, South Korea Abstract: A multitude of nanoparticles, such as titanium oxide (TiO2, zinc oxide, aluminum oxide, gold oxide, silver oxide, iron oxide, and silica oxide, are found in many chemical, cosmetic, pharmaceutical, and electronic products. Recently, SiO2 nanoparticles were shown to have an inert toxicity profile and no association with an irreversible toxicological change in animal models. Hence, exposure to SiO2 nanoparticles is on the increase. SiO2 nanoparticles are routinely used in numerous materials, from strengthening filler for concrete and other construction composites, to nontoxic platforms for biomedical application, such as drug delivery and theragnostics. On the other hand, recent in vitro experiments indicated that SiO2 nanoparticles were cytotoxic. Therefore, we investigated these nanoparticles to identify potentially toxic pathways by analyzing the adsorbed protein corona on the surface of SiO2 nanoparticles in the blood and brain of the rat. Four types of SiO2 nanoparticles were chosen for investigation, and the protein corona of each type was analyzed using liquid chromatography-tandem mass spectrometry technology. In total, 115 and 48 plasma proteins from the rat were identified as being bound to negatively charged 20 nm and 100 nm SiO2 nanoparticles, respectively, and 50 and 36 proteins were found for 20 nm and 100 nm arginine-coated SiO2 nanoparticles, respectively. Higher numbers of proteins were adsorbed onto the 20 nm sized SiO2 nanoparticles than onto the 100 nm sized nanoparticles regardless of charge. When proteins were compared between the two charges, higher numbers of proteins were

  14. Direct deposition of aluminum oxide gate dielectric on graphene channel using nitrogen plasma treatment

    International Nuclear Information System (INIS)

    Lim, Taekyung; Kim, Dongchool; Ju, Sanghyun

    2013-01-01

    Deposition of high-quality dielectric on a graphene channel is an essential technology to overcome structural constraints for the development of nano-electronic devices. In this study, we investigated a method for directly depositing aluminum oxide (Al 2 O 3 ) on a graphene channel through nitrogen plasma treatment. The deposited Al 2 O 3 thin film on graphene demonstrated excellent dielectric properties with negligible charge trapping and de-trapping in the gate insulator. A top-gate-structural graphene transistor was fabricated using Al 2 O 3 as the gate dielectric with nitrogen plasma treatment on graphene channel region, and exhibited p-type transistor characteristics

  15. Ultra-low carrier concentration and surface-dominant transport in antimony-doped Bi2Se3 topological insulator nanoribbons

    KAUST Repository

    Hong, Seung Sae; Cha, Judy J.; Kong, Desheng; Cui, Yi

    2012-01-01

    A topological insulator is the state of quantum matter possessing gapless spin-locking surface states across the bulk band gap, which has created new opportunities from novel electronics to energy conversion. However, the large concentration of bulk residual carriers has been a major challenge for revealing the property of the topological surface state by electron transport measurements. Here we report the surface-state-dominant transport in antimony-doped, zinc oxide-encapsulated Bi2Se3 nanoribbons with suppressed bulk electron concentration. In the nanoribbon with sub-10-nm thickness protected by a zinc oxide layer, we position the Fermi levels of the top and bottom surfaces near the Dirac point by electrostatic gating, achieving extremely low two-dimensional carrier concentration of 2×10 11cm-2. The zinc oxide-capped, antimony-doped Bi 2Se3 nanostructures provide an attractive materials platform to study fundamental physics in topological insulators, as well as future applications. © 2012 Macmillan Publishers Limited. All rights reserved.

  16. Ultra-low carrier concentration and surface-dominant transport in antimony-doped Bi2Se3 topological insulator nanoribbons

    KAUST Repository

    Hong, Seung Sae

    2012-03-27

    A topological insulator is the state of quantum matter possessing gapless spin-locking surface states across the bulk band gap, which has created new opportunities from novel electronics to energy conversion. However, the large concentration of bulk residual carriers has been a major challenge for revealing the property of the topological surface state by electron transport measurements. Here we report the surface-state-dominant transport in antimony-doped, zinc oxide-encapsulated Bi2Se3 nanoribbons with suppressed bulk electron concentration. In the nanoribbon with sub-10-nm thickness protected by a zinc oxide layer, we position the Fermi levels of the top and bottom surfaces near the Dirac point by electrostatic gating, achieving extremely low two-dimensional carrier concentration of 2×10 11cm-2. The zinc oxide-capped, antimony-doped Bi 2Se3 nanostructures provide an attractive materials platform to study fundamental physics in topological insulators, as well as future applications. © 2012 Macmillan Publishers Limited. All rights reserved.

  17. Simulation of current-voltage characteristics of a MOS structure considering the tunnel transport of carriers in semiconductor

    International Nuclear Information System (INIS)

    Vexler, M I

    2006-01-01

    The effect of a tunnel charge transport in the near-surface region of silicon on the electrical characteristics of MOS structures with a 2-3 nm insulator layer is studied theoretically. An equilibrium condition for the substrate is assumed. The cases of an Al and polySi gate are considered. The possibility of a 'double' (in Si and through SiO 2 ) tunnelling expands the energy range of transported particles, which increases one of the components of the total tunnel current. The proposed model allows for the improved simulation of gate current in MOSFETs, which is especially important for highly-doped substrates

  18. Screen-Printed Photochromic Textiles through New Inks Based on SiO2@naphthopyran Nanoparticles.

    Science.gov (United States)

    Pinto, Tânia V; Costa, Paula; Sousa, Céu M; Sousa, Carlos A D; Pereira, Clara; Silva, Carla J S M; Pereira, Manuel Fernando R; Coelho, Paulo J; Freire, Cristina

    2016-10-26

    Photochromic silica nanoparticles (SiO 2 @NPT), fabricated through the covalent immobilization of silylated naphthopyrans (NPTs) based on 2H-naphtho[1,2-b]pyran (S1, S2) and 3H-naphtho[2,1-b]pyran (S3, S4) or through the direct adsorption of the parent naphthopyrans (1, 3) onto silica nanoparticles (SiO 2 NPs), were successfully incorporated onto cotton fabrics by a screen-printing process. Two aqueous acrylic- (AC-) and polyurethane- (PU-) based inks were used as dispersing media. All textiles exhibited reversible photochromism under UV and solar irradiation, developing fast responses and intense coloration. The fabrics coated with SiO 2 @S1 and SiO 2 @S2 showed rapid color changes and high contrasts (ΔE* ab = 39-52), despite presenting slower bleaching kinetics (2-3 h to fade to the original color), whereas the textiles coated with SiO 2 @S3 and SiO 2 @S4 exhibited excellent engagement between coloration and decoloration rates (coloration and fading times of 1 and 2 min, respectively; ΔE* ab = 27-53). The PU-based fabrics showed excellent results during the washing fastness tests, whereas the AC-based textiles evidenced good results only when a protective transfer film was applied over the printed design.

  19. High-Mobility 6,13-Bis(triisopropylsilylethynyl) Pentacene Transistors Using Solution-Processed Polysilsesquioxane Gate Dielectric Layers.

    Science.gov (United States)

    Matsuda, Yu; Nakahara, Yoshio; Michiura, Daisuke; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) is a low-temperature curable polymer that is compatible with low-cost plastic substrates. We cured PSQ gate dielectric layers by irradiation with ultraviolet light at ~60 °C, and used them for 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) thin film transistors (TFTs). The fabricated TFTs have shown the maximum and average hole mobility of 1.3 and 0.78 ± 0.3 cm2V-1s-1, which are comparable to those of the previously reported transistors using single-crystalline TIPS-pentacene micro-ribbons for their active layers and thermally oxidized SiO2 for their gate dielectric layers. Itis therefore demonstrated that PSQ is a promising polymer gate dielectric material for low-cost organic TFTs.

  20. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  1. SIMULTANEOUS OBSERVATIONS OF SiO AND H{sub 2}O MASERS TOWARD KNOWN STELLAR H{sub 2}O MASER SOURCES

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jaeheon [Yonsei University Observatory, Seongsan-ro 262, Seodaemun, Seoul 120-749 (Korea, Republic of); Cho, Se-Hyung [Korean VLBI Network Yonsei Radio Astronomy Observatory, Yonsei University, Seongsan-ro 262, Seodaemun, Seoul 120-749 (Korea, Republic of); Kim, Sang Joon, E-mail: jhkim@kasi.re.kr, E-mail: cho@kasi.re.kr, E-mail: sjkim1@khu.ac.kr [Department of Astronomy and Space Science, Kyung Hee University, Seocheon-Dong, Giheung-Gu, Yongin, Gyeonggi-Do 446-701 (Korea, Republic of)

    2013-01-01

    We present the results of simultaneous observations of SiO v = 1, 2, {sup 29}SiO v = 0, J = 1-0, and H{sub 2}O 6{sub 16}-5{sub 23} maser lines toward 152 known stellar H{sub 2}O maser sources using the Yonsei 21 m radio telescope of the Korean VLBI Network from 2009 June to 2011 January. Both SiO and H{sub 2}O masers were detected from 62 sources with a detection rate of 40.8%. The SiO-only maser emission without H{sub 2}O maser detection was detected from 27 sources, while the H{sub 2}O-only maser without SiO maser detection was detected from 22 sources. Therefore, the overall SiO maser emission was detected from 89 sources, resulting in a detection rate of 58.6%. We have identified 70 new detections of the SiO maser emission. For both H{sub 2}O and SiO maser detected sources, the peak and integrated antenna temperatures of SiO masers are stronger than those of H{sub 2}O masers in both Mira variables and OH/IR stars and the relative intensity ratios of H{sub 2}O to SiO masers in OH/IR stars are larger than those in Mira variables. In addition, distributions of 152 observed sources were investigated in the IRAS two-color diagram.

  2. Crack-resistant Al2O3-SiO2 glasses.

    Science.gov (United States)

    Rosales-Sosa, Gustavo A; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-07

    Obtaining "hard" and "crack-resistant" glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3 • 40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  3. Microwave electromagnetic and absorption properties of SiO2/C core/shell composites plated with metal cobalt

    Science.gov (United States)

    Shen, Guozhu; Fang, Xumin; Wu, Hongyan; Wei, Hongyu; Li, Jingfa; Li, Kaipeng; Mei, Buqing; Xu, Yewen

    2017-04-01

    A facile method has been developed to fabricate magnetic core/shell SiO2/C/Co sub-microspheres via the pyrolysis of SiO2/PANI (polyaniline) and electroless plating method. The electromagnetic parameters of these SiO2/C and SiO2/C/Co composites were measured and the microwave reflection loss properties were evaluated in the frequency range of 2-18 GHz. The results show that the dielectric loss of SiO2/C composite increases with the increase of carbonization temperature and the magnetic loss enhances due to the deposition of cobalt on the SiO2/C sub-microspheres. The reflection loss results exhibit that the microwave absorption properties of the SiO2/C/Co composites are more excellent than those of SiO2/C composites for each thickness. The maximum effective absorption bandwidth (reflection loss ≤ -10 dB) arrives at 5.0 GHz (13.0-18 GHz) for SiO2/C/Co composite with 1.5 mm of thickness and the minimum reflection loss value is -24.0 dB at 5.0 GHz with 4.0 mm of thickness. The microwave loss mechanism of the SiO2/C/Co composites was also discussed in this paper.

  4. Enhanced antioxidation and microwave absorbing properties of SiO2-coated flaky carbonyl iron particles

    Science.gov (United States)

    Zhou, Yingying; Xie, Hui; Zhou, Wancheng; Ren, Zhaowen

    2018-01-01

    SiO2 was successfully coated on the surface of flaky carbonyl iron particles using a chemical bath deposition method in the presence of 3-aminopropyl triethoxysilane (APTES). The morphologies, composition, valence states of elements, as well as antioxidation and electromagnetic properties of the samples were characterized by scanning electron microscope (SEM), energy dispersive spectrometer (EDS), X-ray photoelectron spectroscopy (XPS), thermogravimetric (TG) and microwave network analyzer. TG curve shows the obvious weight gain of carbonyl iron was deferred to 360 °C after SiO2-coated, which can be ascribed to the exits of SiO2 overlayer. Compared with the raw carbonyl iron, SiO2-coated sample shows good wave absorption performance due to its impedance matching. The electromagnetic properties of raw and SiO2-coated carbonyl iron particles were characterized in X band before and after heat treatment at 250 °C for 10 h. It was established that SiO2-coated carbonyl iron demonstrate good thermal stability, indicating SiO2-coating is useful in the usage of microwave absorbers operating at temperature up to 250 °C.

  5. Development of nano SiO2 incorporated nano zinc phosphate coatings on mild steel

    International Nuclear Information System (INIS)

    Tamilselvi, M.; Kamaraj, P.; Arthanareeswari, M.; Devikala, S.; Selvi, J. Arockia

    2015-01-01

    Highlights: • Nano SiO 2 incorporated nano zinc phosphate coating on mild steel was developed. • Coatings showed enhanced corrosion resistance. • The nano SiO 2 is adsorbed on mild steel surface and become nucleation sites. • The nano SiO 2 accelerates the phosphating process. - Abstract: This paper reports the development of nano SiO 2 incorporated nano zinc phosphate coatings on mild steel at low temperature for achieving better corrosion protection. A new formulation of phosphating bath at low temperature with nano SiO 2 was attempted to explore the possibilities of development of nano zinc phosphate coatings on mild steel with improved corrosion resistance. The coatings developed were studied by Scanning Electron Microscopy (SEM), Energy-Dispersive X-ray Spectroscopy (EDX), X-ray Diffraction (XRD), Transmission Electron Microscopy (TEM) and Electrochemical measurements. Significant variation in the coating weight, morphology and corrosion resistance was observed as nano SiO 2 concentrations varied from 0.5–4 g/L. The results showed that, the nano SiO 2 in the phosphating solution changed the initial potential of the interface between mild steel substrate and phosphating solution and reduce the activation energy of the phosphating process, increase the nucleation sites and yielded zinc phosphate coatings of higher coating weight, greater surface coverage and enhanced corrosion resistance. Better corrosion resistance was observed for coatings derived from phosphating bath containing 1.5 g/L nano SiO 2 . The new formulation reported in the present study was free from Ni or Mn salts and had very low concentration of sodium nitrite (0.4 g/L) as accelerator

  6. Demonstration of hetero-gate-dielectric tunneling field-effect transistors (HG TFETs).

    Science.gov (United States)

    Choi, Woo Young; Lee, Hyun Kook

    2016-01-01

    The steady scaling-down of semiconductor device for improving performance has been the most important issue among researchers. Recently, as low-power consumption becomes one of the most important requirements, there have been many researches about novel devices for low-power consumption. Though scaling supply voltage is the most effective way for low-power consumption, performance degradation is occurred for metal-oxide-semiconductor field-effect transistors (MOSFETs) when supply voltage is reduced because subthreshold swing (SS) of MOSFETs cannot be lower than 60 mV/dec. Thus, in this thesis, hetero-gate-dielectric tunneling field-effect transistors (HG TFETs) are investigated as one of the most promising alternatives to MOSFETs. By replacing source-side gate insulator with a high- k material, HG TFETs show higher on-current, suppressed ambipolar current and lower SS than conventional TFETs. Device design optimization through simulation was performed and fabrication based on simulation demonstrated that performance of HG TFETs were better than that of conventional TFETs. Especially, enlargement of gate insulator thickness while etching gate insulator at the source side was improved by introducing HF vapor etch process. In addition, the proposed HG TFETs showed higher performance than our previous results by changing structure of sidewall spacer by high- k etching process.

  7. Design of flexible PANI-coated CuO-TiO2-SiO2 heterostructure nanofibers with high ammonia sensing response values

    Science.gov (United States)

    Pang, Zengyuan; Nie, Qingxin; Lv, Pengfei; Yu, Jian; Huang, Fenglin; Wei, Qufu

    2017-06-01

    We report a room-temperature ammonia sensor with extra high response values and ideal flexibility, including polyaniline (PANI)-coated titanium dioxide-silicon dioxide (TiO2-SiO2) or copper oxide-titanium dioxide-silicon dioxide (CuO-TiO2-SiO2) composite nanofibers. Such flexible inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers were prepared by electrospinning, followed by calcination. Then, in situ polymerization of aniline monomers was carried out with inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers as templates. Gas sensing tests at room temperature indicated that the obtained CuO-TiO2-SiO2/PANI composite nanofibers had much higher response values to ammonia gas (ca. 45.67-100 ppm) than most of those reported before as well as the prepared TiO2-SiO2/PANI composite nanofibers here. These excellent sensing properties may be due to the P-N, P-P heterojunctions and a structure similar to field-effect transistors formed on the interfaces between PANI, TiO2, and CuO, which is p-type, n-type, and p-type semiconductor, respectively. In addition, the prepared free-standing CuO-TiO2-SiO2/PANI composite nanofiber membrane was easy to handle and possessed ideal flexibility, which is promising for potential applications in wearable sensors in the future.

  8. Facile synthesis of microporous SiO2/triangular Ag composite nanostructures for photocatalysis

    Science.gov (United States)

    Sirohi, Sidhharth; Singh, Anandpreet; Dagar, Chakit; Saini, Gajender; Pani, Balaram; Nain, Ratyakshi

    2017-11-01

    In this article, we present a novel fabrication of microporous SiO2/triangular Ag nanoparticles for dye (methylene blue) adsorption and plasmon-mediated degradation. Microporous SiO2 nanoparticles with pore size aminopropyl) trimethoxysilane) to introduce amine groups. Amine-functionalized microporous silica was used for adsorption of triangular silver (Ag) nanoparticles. The synthesized microporous SiO2 nanostructures were investigated for adsorption of different dyes including methylene blue, congo red, direct green 26 and curcumin crystalline. Amine-functionalized microporous SiO2/triangular Ag nanostructures were used for plasmon-mediated photocatalysis of methylene blue. The experimental results revealed that the large surface area of microporous silica facilitated adsorption of dye. Triangular Ag nanoparticles, due to their better charge carrier generation and enhanced surface plasmon resonance, further enhanced the photocatalysis performance.

  9. Radiation Effects in Advanced Multiple Gate and Silicon-on-Insulator Transistors

    Science.gov (United States)

    Simoen, Eddy; Gaillardin, Marc; Paillet, Philippe; Reed, Robert A.; Schrimpf, Ron D.; Alles, Michael L.; El-Mamouni, Farah; Fleetwood, Daniel M.; Griffoni, Alessio; Claeys, Cor

    2013-06-01

    The aim of this review paper is to describe in a comprehensive manner the current understanding of the radiation response of state-of-the-art Silicon-on-Insulator (SOI) and FinFET CMOS technologies. Total Ionizing Dose (TID) response, heavy-ion microdose effects and single-event effects (SEEs) will be discussed. It is shown that a very high TID tolerance can be achieved by narrow-fin SOI FinFET architectures, while bulk FinFETs may exhibit similar TID response to the planar devices. Due to the vertical nature of FinFETs, a specific heavy-ion response can be obtained, whereby the angle of incidence becomes highly important with respect to the vertical sidewall gates. With respect to SEE, the buried oxide in the SOI FinFETs suppresses the diffusion tails from the charge collection in the substrate compared to the planar bulk FinFET devices. Channel lengths and fin widths are now comparable to, or smaller than the dimensions of the region affected by the single ionizing ions or lasers used in testing. This gives rise to a high degree of sensitivity to individual device parameters and source-drain shunting during ion-beam or laser-beam SEE testing. Simulations are used to illuminate the mechanisms observed in radiation testing and the progress and needs for the numerical modeling/simulation of the radiation response of advanced SOI and FinFET transistors are highlighted.

  10. Composition and crystallization kinetics of R2O-Al2O3-SiO2 glass-ceramics

    International Nuclear Information System (INIS)

    Xiong, Dehua; Cheng, Jinshu; Li, Hong

    2010-01-01

    The crystallization behavior and microstructure of R 2 O-Al 2 O 3 -SiO 2 (R means K, Na and Li) glass were investigated by means of differential scanning calorimeter (DSC), X-ray diffraction (XRD) and scanning electron microscopy (SEM). The crystallization kinetic parameters including the crystallization apparent activation energy (E a ), the Avrami parameter (n), glass transition temperature (T g ) and the activity energy of glass transition (E t ) were also measured with different methods. The results have shown that: the DSC traces of composition A parent glass have two different precipitation crystallization peaks corresponding to E a1 (A) = 151.4 kJ/mol (Li 2 SiO 3 ) and E a2 (A) = 623.1 kJ/mol (Li 2 Si 2 O 5 ), the average value of n = 1.70 (Li 2 Si 2 O 5 ) for the surface crystallization and E t (A) = 202.8 kJ/mol. And E a (B) = 50.7 kJ/mol (Li 2 SiO 3 ), the average value of n = 3.89 (Li 2 SiO 3 ) for the bulk crystallization and E t (B) = 220.4 kJ/mol for the composition B parent glass. Because of the content of R 2 O is bigger than composition A, composition B parent glass has a lower E a , T g and a larger n, E t .

  11. Excellent Brightness with Shortening Lifetime of Textured Zn2SiO4:Mn2+ Phosphor Films on Quartz Glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Kim, Seongsin Margaret; Kung, Patrick

    2010-04-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor films were fabricated by the thermal diffusion of ZnO:Mn on quartz glass. The Zn2SiO4:Mn2+ phosphor films became textured along several hexagonal directions and their chemical composition was continuously graded at the interface. The decay time of Mn2+ was as short as 4.4 ms, and the optical transition probability of the films defined as the inverse of decay time showed a strong correlation with film texture degree as a function of annealing temperature. The brightest Zn2SiO4:Mn2+ film showed a photoluminescent brightness as high as 65% compared with a commercial Zn2SiO4:Mn2+ phosphor powder screen and a maximum absolute transparency of 70%. These excellent optical properties are explained by the combination of the unique textured structure and continuous grading of the Zn2SiO4:Mn2+ chemical composition at the interface.

  12. Potentiodynamical deposition of nanostructured MnO2 film at the assist of electrodeposited SiO2 as template

    International Nuclear Information System (INIS)

    Wu, Lian-Kui; Xia, Jie; Hou, Guang-Ya; Cao, Hua-Zhen; Tang, Yi-Ping; Zheng, Guo-Qu

    2016-01-01

    Highlights: • MnO 2 -SiO 2 composite film is prepared by potentiodynamical deposition. • Hierarchical porous MnO 2 films is obtained after the etching of SiO 2 . • The obtained MnO 2 film electrode exhibit high specific capacitance. - Abstract: We report a novel silica co-electrodeposition route to prepare nanostructured MnO 2 films. Firstly, MnO 2 -SiO 2 composite film was fabricated on a stainless steel substrate by potentiodynamical deposition, i.e. cyclic deposition, and then the SiO 2 template was removed by simple immersion in concentrated alkaline solution, leading to the formation of a porous MnO 2 (po-MnO 2 ) matrix. The structure and morphology of the obtained films were characterized using Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The electrochemical properties of the po-MnO 2 film were evaluated by cyclic voltammetry (CV), galvanostatic charge–discharge (GCD) and electrochemical impedance spectroscopy (EIS). Results showed that this porous MnO 2 derived from the MnO 2 -SiO 2 composite film exhibits good electrochemical performance for potential use as a supercapacitor material.

  13. Synthesis of metallic nanoparticles in SiO2 matrices

    International Nuclear Information System (INIS)

    Gutierrez W, C.; Mondragon G, G.; Perez H, R.; Mendoza A, D.

    2004-01-01

    Metallic nanoparticles was synthesized in SiO 2 matrices by means of a process of two stages. The first one proceeded via sol-gel, incorporating the metallic precursors to the reaction system before the solidification of the matrix. Later on, the samples underwent a thermal treatment in atmosphere of H 2 , carrying out the reduction of the metals that finally formed to the nanoparticles. Then it was detected the presence of smaller nanoparticles than 20 nm, dispersed and with the property of being liberated easily of the matrix, conserving a free surface, chemically reactive and with response to external electromagnetic radiation. The system SiO 2 -Pd showed an important thermoluminescent response. (Author)

  14. Physical and Chemical Properties of TiOxNy Prepared by Low-Temperature Oxidation of Ultrathin Metal Nitride Directly Deposited on SiO2

    Institute of Scientific and Technical Information of China (English)

    HAN Yue-Ping; HAN Yan

    2009-01-01

    Physical and chemical properties of titanium oxynitride (TiOxNy) formed by low-temperature oxidation of titanium nitride (TIN) layer are investigated for advanced metal-oxide--semiconductor (MOS) gate dielectric application.TiOx Ny exhibits polycrystalline properties after the standard thermal process for MOS device fabrication,showing the preferred orientation at [200].Superior electrical properties of TiOxNy can be maintained before and after the annealing,probably due to the nitrogen incorporation in the oxide bulk and at the interface.Naturally formed transition layer between TiOxNy and SiO2 is also confirmed.

  15. Structural aspects of B2O3-substituted (PbO)0.5(SiO2)0.5 glasses

    International Nuclear Information System (INIS)

    Sudarsan, V.; Kulshreshtha, S.K.; Shrikhande, V.K.; Kothiyal, G.P.

    2002-01-01

    Lead borosilicate glasses having general formulae (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x with 0.0≤x≤0.4 and (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y with 0.0≤y≤0.5 have been prepared by a conventional melt-quench method and characterized by 29 Si, 11 B magic angle spinning (MAS) NMR techniques and infrared spectroscopy, as regards their structural features. From 29 Si NMR results, it has been inferred that with increasing concentration of boron oxide, (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 )x glasses exhibit a systematic increase in the number of Q 4 structural units of Si at the expense of Q 2 structural units, along with the formation of Si-O-B linkages. On the other hand, for (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y glasses, there is no direct interaction between SiO 2 and B 2 O 3 in the glass network, as revealed by the 29 Si MAS NMR studies. Boron exists in both trigonal and tetrahedral configurations for these two series of glasses and for the (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y series of glasses; the relative concentration of these two structural units remains almost constant with increasing B 2 O 3 concentration. In contrast, for (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x glasses, there is a slight increase in the number of BO 3 structural units above x = 0.2, as there is a competition between SiO 2 and B 2 O 3 for interaction with Pb 2+ , thereby leading to the formation of BO 3 structural units. For both series of glasses, the thermal expansion coefficient is found to decrease with increasing B 2 O 3 concentration, the effect being more pronounced for the (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x series of glasses due to the increased concentration of Q 4 structural units of silicon and better cross-linking as a result of the formation of Si-O-B-type linkages. (author)

  16. A transparent electrochromic metal-insulator switching device with three-terminal transistor geometry

    Science.gov (United States)

    Katase, Takayoshi; Onozato, Takaki; Hirono, Misako; Mizuno, Taku; Ohta, Hiromichi

    2016-05-01

    Proton and hydroxyl ion play an essential role for tuning functionality of oxides because their electronic state can be controlled by modifying oxygen off-stoichiometry and/or protonation. Tungsten trioxide (WO3), a well-known electrochromic (EC) material for smart window, is a wide bandgap insulator, whereas it becomes a metallic conductor HxWO3 by protonation. Although one can utilize electrochromism together with metal-insulator (MI) switching for one device, such EC-MI switching cannot be utilized in current EC devices because of their two-terminal structure with parallel-plate configuration. Here we demonstrate a transparent EC-MI switchable device with three-terminal TFT-type structure using amorphous (a-) WO3 channel layer, which was fabricated on glass substrate at room temperature. We used water-infiltrated nano-porous glass, CAN (calcium aluminate with nano-pores), as a liquid-leakage-free solid gate insulator. At virgin state, the device was fully transparent in the visible-light region. For positive gate voltage, the active channel became dark blue, and electrical resistivity of the a-WO3 layer drastically decreased with protonation. For negative gate voltage, deprotonation occurred and the active channel returned to transparent insulator. Good cycleability of the present transparent EC-MI switching device would have potential for the development of advanced smart windows.

  17. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  18. Structure and crystallization of SiO2 and B2O3 doped lithium disilicate glasses from theory and experiment.

    Science.gov (United States)

    Erlebach, Andreas; Thieme, Katrin; Sierka, Marek; Rüssel, Christian

    2017-09-27

    Solid solutions of SiO 2 and B 2 O 3 in Li 22SiO 2 are synthesized and characterized for the first time. Their structure and crystallization mechanisms are investigated employing a combination of simulations at the density functional theory level and experiments on the crystallization of SiO 2 and B 2 O 3 doped lithium disilicate glasses. The remarkable agreement of calculated and experimentally determined cell parameters reveals the preferential, kinetically controlled incorporation of [SiO 4 ] and [BO 4 ] at the Li + lattice sites of the Li 22SiO 2 crystal structure. While the addition of SiO 2 increases the glass viscosity resulting in lower crystal growth velocities, glasses containing B 2 O 3 show a reduction of both viscosities and crystal growth velocities. These observations could be rationalized by a change of the chemical composition of the glass matrix surrounding the precipitated crystal phase during the course of crystallization, which leads to a deceleration of the attachment of building units required for further crystal growth at the liquid-crystal interface.

  19. Beyond sixfold coordinated Si in SiO2 glass at ultrahigh pressures.

    Science.gov (United States)

    Prescher, Clemens; Prakapenka, Vitali B; Stefanski, Johannes; Jahn, Sandro; Skinner, Lawrie B; Wang, Yanbin

    2017-09-19

    We investigated the structure of SiO 2 glass up to 172 GPa using high-energy X-ray diffraction. The combination of a multichannel collimator with diamond anvil cells enabled the measurement of structural changes in silica glass with total X-ray diffraction to previously unachievable pressures. We show that SiO 2 first undergoes a change in Si-O coordination number from fourfold to sixfold between 15 and 50 GPa, in agreement with previous investigations. Above 50 GPa, the estimated coordination number continuously increases from 6 to 6.8 at 172 GPa. Si-O bond length shows first an increase due to the fourfold to sixfold coordination change and then a smaller linear decrease up to 172 GPa. We reconcile the changes in relation to the oxygen-packing fraction, showing that oxygen packing decreases at ultrahigh pressures to accommodate the higher than sixfold Si-O coordination. These results give experimental insight into the structural changes of silicate glasses as analogue materials for silicate melts at ultrahigh pressures.

  20. Temperature dependent spectroscopic studies of the electron delocalization dynamics of excited Ce ions in the wide band gap insulator, Lu2SiO5

    NARCIS (Netherlands)

    Van der Kolk, E.; Basun, S.A.; Imbusch, G.F.; Yen, W.M.

    2003-01-01

    Electron delocalization processes of optically excited states of Ce3+ impurities in Lu2SiO5 were investigated by means of a temperature and spectrally resolved photoconductivity study. By monitoring separately the strength of the photocurrent resulting from excitation into each of the Ce3+?5d

  1. Bipolar resistive switching in metal-insulator-semiconductor nanostructures based on silicon nitride and silicon oxide

    Science.gov (United States)

    Koryazhkina, M. N.; Tikhov, S. V.; Mikhaylov, A. N.; Belov, A. I.; Korolev, D. S.; Antonov, I. N.; Karzanov, V. V.; Gorshkov, O. N.; Tetelbaum, D. I.; Karakolis, P.; Dimitrakis, P.

    2018-03-01

    Bipolar resistive switching in metal-insulator-semiconductor (MIS) capacitor-like structures with an inert Au top electrode and a Si3N4 insulator nanolayer (6 nm thick) has been observed. The effect of a highly doped n +-Si substrate and a SiO2 interlayer (2 nm) is revealed in the changes in the semiconductor space charge region and small-signal parameters of parallel and serial equivalent circuit models measured in the high- and low-resistive capacitor states, as well as under laser illumination. The increase in conductivity of the semiconductor capacitor plate significantly reduces the charging and discharging times of capacitor-like structures.

  2. Synthesis and Characterization of Ti-Phenyl at SiO2 Core-Shell Nanoparticles Catalyst

    International Nuclear Information System (INIS)

    Syamsi Aini; Jon Efendi; Syamsi Aini; Jon Efendi

    2012-01-01

    This study highlights the potential use of Ti-Phenyl at SiO 2 core-shell nanoparticles as heterogeneous catalysis in oxidation reaction. The Ti-Phenyl at SiO 2 was synthesized by reduction of TiCl 4 and diazonium salt with sodium borohydride to produce phenyl titanium nanoparticles (Ti-Phenyl), followed by the silica shell coating using tetraethyl orthosilicate (TEOS). The Ti-Phenyl at SiO 2 nanoparticles were characterized by Fourier transform infrared (FTIR) spectrometer, diffuse reflectance (DR) UV-visible spectrometer, thermogravimetric analyzer (TGA), X-ray diffraction (XRD) spectrometer, field emission scanning electron microscope (FESEM) and transmission electron microscope (TEM). The core-shell size of Ti-Phenyl at SiO 2 was in the range of 40 to 100 nm with its core composed with an agglomeration of Ti-Phenyl. The Ti-Phenyl at SiO 2 was active as a catalyst in the liquid phase epoxidation of 1-octene with aqueous hydrogen peroxide as an oxidant. (author)

  3. Detection of pH and Enzyme-Free H2O2 Sensing Mechanism by Using GdO x Membrane in Electrolyte-Insulator-Semiconductor Structure.

    Science.gov (United States)

    Kumar, Pankaj; Maikap, Siddheswar; Qiu, Jian-Tai; Jana, Surajit; Roy, Anisha; Singh, Kanishk; Cheng, Hsin-Ming; Chang, Mu-Tung; Mahapatra, Rajat; Chiu, Hsien-Chin; Yang, Jer-Ren

    2016-12-01

    A 15-nm-thick GdO x membrane in an electrolyte-insulator-semiconductor (EIS) structure shows a higher pH sensitivity of 54.2 mV/pH and enzyme-free hydrogen peroxide (H2O2) detection than those of the bare SiO2 and 3-nm-thick GdO x membranes for the first time. Polycrystalline grain and higher Gd content of the thicker GdO x films are confirmed by transmission electron microscopy (TEM) and X-ray photo-electron spectroscopy (XPS), respectively. In a thicker GdO x membrane, polycrystalline grain has lower energy gap and Gd(2+) oxidation states lead to change Gd(3+) states in the presence of H2O2, which are confirmed by electron energy loss spectroscopy (EELS). The oxidation/reduction (redox) properties of thicker GdO x membrane with higher Gd content are responsible for detecting H2O2 whereas both bare SiO2 and thinner GdO x membranes do not show sensing. A low detection limit of 1 μM is obtained due to strong catalytic activity of Gd. The reference voltage shift increases with increase of the H2O2 concentration from 1 to 200 μM owing to more generation of Gd(3+) ions, and the H2O2 sensing mechanism has been explained as well.

  4. Plasma-Induced Damage on the Reliability of Hf-Based High-k/Dual Metal-Gates Complementary Metal Oxide Semiconductor Technology

    International Nuclear Information System (INIS)

    Weng, W.T.; Lin, H.C.; Huang, T.Y.; Lee, Y.J.; Lin, H.C.

    2009-01-01

    This study examines the effects of plasma-induced damage (PID) on Hf-based high-k/dual metal-gates transistors processed with advanced complementary metal-oxide-semiconductor (CMOS) technology. In addition to the gate dielectric degradations, this study demonstrates that thinning the gate dielectric reduces the impact of damage on transistor reliability including the positive bias temperature instability (PBTI) of n-channel metal-oxide-semiconductor field-effect transistors (NMOSFETs) and the negative bias temperature instability (NBTI) of p-channel MOSFETs. This study shows that high-k/metal-gate transistors are more robust against PID than conventional SiO 2 /poly-gate transistors with similar physical thickness. Finally this study proposes a model that successfully explains the observed experimental trends in the presence of PID for high-k/metal-gate CMOS technology.

  5. Cotton fabric finishing with TiO2/SiO2 composite hydrosol based on ionic cross-linking method

    International Nuclear Information System (INIS)

    Xu, Z.J.; Tian, Y.L.; Liu, H.L.; Du, Z.Q.

    2015-01-01

    Highlights: • We studied the cotton finishing with TiO 2 /SiO 2 based on ionic cross-linking method. • The samples treated with CHTAC had lower value of whiteness. • The samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. • The ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) was better than with TiO 2 /SiO 2 sol alone. - Abstract: Cotton fabric was successfully modified by 3-chloro-2-hydroxypropyl trimethyl ammonium chloride (CHTAC), 1,2,3,4-butanetetracarboxylic acid (BTCA) and TiO 2 /SiO 2 sol. Self-cleaning characteristic was investigated using a Color Measuring and Matching System with 6 h sunlight irradiation. And the stability of TiO 2 /SiO 2 coatings was explored by measuring the washing fastness and wrinkle resistance of treated cotton samples. In addition, whiteness index, crease recovery angle and tensile strength retention (%) of treated samples were evaluated. Moreover, the morphology, structure change and crystallinity of samples were observed by scanning electron microscopy (SEM), Fourier transform infrared spectroscopy (FTIR) and X-ray diffraction (XRD), respectively. The results revealed that the samples treated with CHTAC had lower value of whiteness index as compared with original cotton fabric. It was also found that samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. Moreover, the treatment of CHTAC and BTCA had adverse effect on the crystallinity of cotton samples, as treated samples had lower crystallinity in comparison with raw cotton fabrics. Nevertheless, the stability of self-cleaning coatings was better for samples treated with ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) than samples treated with TiO 2 /SiO 2 sol alone. Furthermore, compared with original samples the UV-blocking property of ionic cross-linking treated samples was obviously enhanced

  6. Ca2 Al2 SiO7 :Ce3+ phosphors for mechanoluminescence dosimetry.

    Science.gov (United States)

    Tiwari, Geetanjali; Brahme, Nameeta; Sharma, Ravi; Bisen, D P; Sao, Sanjay Kumar; Sahu, Ishwar Prasad

    2016-12-01

    A series of Ce 3+ ion single-doped Ca 2 Al 2 SiO 7 phosphors was synthesized by a combustion-assisted method at an initiating temperature of 600 °C. The samples were annealed at 1100 °C for 3 h and their X-ray diffraction patterns confirmed a tetragonal structure. The phase structure, particle size, surface morphology and elemental analysis were analyzed using X-ray diffraction (XRD), transmission electron microscope (TEM), scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) spectroscopy techniques. Thermoluminescence (TL) intensity increased with increase in ultraviolet (UV) light exposure time up to 15 min. With further increase in the UV irradiation time the TL intensity decreases. The increase in TL intensity indicates that trap concentration increased with UV exposure time. A broad peak at 121 °C suggested the existence of a trapping level. The peak of mechanoluminescence (ML) intensity versus time curve increased linearly with increasing impact velocity of the moving piston. Mechanoluminescence intensity increased with increase in UV irradiation time up to 15 min. Under UV-irradiation excitation, the TL and ML emission spectra of Ca 2 Al 2 SiO 7 :Ce 3+ phosphor showed the characteristic emission of Ce 3+ peaking at 400 nm (UV-violet) and originating from the Ce 3+ transitions of 5d-4f ( 2 F 5/2 and 2 F 7/2 ). The photoluminescence (PL) emission spectra for Ca 2 Al 2 SiO 7 :Ce 3+ were similar to the ML/TL emission spectra. The mechanism of ML excitation and the suitability of the Ca 2 Al 2 SiO 7 :Ce 3+ phosphor for radiation dosimetry are discussed. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  7. Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, Christopher J.; Neumann, Christopher M.; Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2015-07-28

    Fully depleted silicon-on-insulator transistors were fabricated using two different metal gate deposition mechanisms to compare plasma damage effects on gate oxide quality. Devices fabricated with both plasma-enhanced atomic-layer-deposited (PE-ALD) TiN gates and magnetron plasma sputtered TiN gates showed very good electrostatics and short-channel characteristics. However, the gate oxide quality was markedly better for PE-ALD TiN. A significant reduction in interface state density was inferred from capacitance-voltage measurements as well as a 1200× reduction in gate leakage current. A high-power magnetron plasma source produces a much higher energetic ion and vacuum ultra-violet (VUV) photon flux to the wafer compared to a low-power inductively coupled PE-ALD source. The ion and VUV photons produce defect states in the bulk of the gate oxide as well as at the oxide-silicon interface, causing higher leakage and potential reliability degradation.

  8. Vacuum Insulation Panels (VIPs) for building construction industry - A review of the contemporary developments and future directions

    International Nuclear Information System (INIS)

    Alam, M.; Singh, H.; Limbachiya, M.C.

    2011-01-01

    Highlights: → Vacuum Insulation Panels (VIP), a high thermal resistance building insulation. → Review of research into VIPs for building applications. → High cost and uncertainty of service life are two barriers for VIP use in buildings. → SiO 2 /SiN x coated PET laminate- candidate for high barrier VIP envelope. → The optimum combination of VIP core and envelope yet to be determined. -- Abstract: Demand for energy efficient buildings has increased drastically in recent years and this trend will continue in the future. Insulating building elements will play a key role in meeting this demand by reducing heat losses through the building fabric. Due to their higher thermal resistance, Vacuum Insulation Panels (VIPs) would be a more energy efficient alternative to conventional building insulation materials. Thus, efforts to develop VIPs with characteristics suitable for applications to new and existing buildings are underway. This paper provides a review of important contemporary developments towards producing VIPs using various materials such as glass fibre, foams, perlite and fibre/powder composites. The limitations of the materials currently used to fabricate VIPs have not been emphasised in detail in previous review papers published. Selection criteria, methods to measure important properties of VIPs and analytical and numerical models presented in the past have been detailed. Limitations of currently employed design tools along with potential future materials such as Nano/microcellular foams and SiO x /SiN x coatings for use in VIPs are also described.

  9. Raman and optical absorption spectroscopic investigation of Yb-Er codoped phosphate glasses containing SiO2

    Institute of Scientific and Technical Information of China (English)

    Youkuo Chen; Lei Wen; Lili Hu; Wei Chen; Y. Guyot; G. Boulon

    2009-01-01

    Yb-Er codoped Na2O-Al2O3-P2Os-xSiO2 glasses containing 0 鈥? 20 mol% SiO2 were prepared successfully. The addition of SiO2 to the phosphate glass not only lengthens the bond between P5+ and non-bridging oxygen but also reduces the number of P=O bond. In contrast with silicate glass in which there is only four-fold coordinated Si4+, most probably there coexist [SiO4] tetrahedron and [SiO6] octahedron in our glasses. Within the range of 0 鈥? 20 mol% SiO2 addition, the stimulated emission cross-section of Er3+ ion only decreases no more than 10%. The Judd-Ofelt intensity parameters of Er3+, 惟.2 does not change greatly, but 惟74 and 惟6 decrease obviously with increasing SiO2 addition, because the bond between Er + and O2- is more strongly covalently bonded.

  10. SiO2 stabilized Pt/C cathode catalyst for proton exchange membrane fuel cells

    International Nuclear Information System (INIS)

    Zhu Tong; Du Chunyu; Liu Chuntao; Yin Geping; Shi Pengfei

    2011-01-01

    This paper describes the preparation of SiO 2 stabilized Pt/C catalyst (SiO 2 /Pt/C) by the hydrolysis of alkoxysilane, and examines the possibility that the SiO 2 /Pt/C is used as a durable cathode catalyst for proton exchange membrane fuel cells (PEMFCs). TEM and XRD results revealed that the hydrolysis of alkoxysilane did not significantly change the morphology and crystalline structure of Pt particles. The SiO 2 /Pt/C catalyst exhibited higher durability than the Pt/C one, due to the facts that the silica layers covered were beneficial for reducing the Pt aggregation and dissolution as well as increasing the corrosion resistance of supports, although the benefit of silica covering was lower than the case of Pt/CNT catalyst. Also, it was observed that the activity of the SiO 2 /Pt/C catalyst for the oxygen reduction reaction was somewhat reduced compared to the Pt/C one after the silica covering. This reduction was partially due to the low oxygen kinetics as revealed by the rotating-disk-electrode measurement. Silica covering by hydrolysis of only 3-aminopropyl trimethoxysilane is able to achieve a good balance between the durability and activity, leading to SiO 2 /Pt/C as a promising cathode catalyst for PEMFCs.

  11. Characterization of the implantation damage in SiO2 with x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ajioka, T.; Ushio, S.

    1986-01-01

    X-ray photoelectron spectroscopy (XPS) has been applied to characterize the damage introduced into SiO 2 by ion implantation. By measuring the peak width of Si/sub 2p/ from SiO 2 which corresponds to perturbation of the SiO 2 network, good depth profiles of the damage have been obtained for implanted samples and subsequently annealed samples. The results show that the damage distributed more widely than that calculated from energy deposition and that the perturbation of the network is caused not only by radiation damage but also by the existence of impurities in the network. It has been found that the XPS method is effective to understand the atomic structure, and thus, electrical properties of SiO 2

  12. Adsorption of uranyl in SiO2 porous glass

    International Nuclear Information System (INIS)

    Benedetto, F. E.; Prado, M. O.

    2013-01-01

    Vitreous SiO 2 porous matrices can be used in many applications involving the uptake of chemical species on its solid surface. In this work, vitreous silica sponges were prepared from a sodium borosilicate glass manufactured in our laboratory. The product obtained was then separated into phases with subsequent leaching of the soluble phase rich in B and Na. The resulting porous matrices have a specific surface of 35 m2/gr. Adsorption of uranyl ions onto the SiO 2 porous surface was studied to evaluate the use of this material as a filter for treatment of uranium containing water. The effects of contact time, adsorbent mass and equilibrium concentration of solution were studied. The porous adsorbent exhibits a pseudo-second-order kinetic behavior. The sponges with adsorbed uranium were thermally sealed as a way of U immobilization. Retention of uranium was confirmed during the matrix sealing by TGA. Uranium concentration before and after adsorption tests were made by means of ICP-OES. For uranium concentration of 800 ppm, 72 hours contact time and pH of 3.5, the amount of uranium adsorbed was 21.06 ± 0.02 mg U per gram of vitreous porous SiO 2 . (author)

  13. On the stability of silicon field effect capacitors with phosphate buffered saline electrolytic gate and self assembled monolayer gate insulator

    International Nuclear Information System (INIS)

    Hemed, Nofar Mintz; Inberg, Alexandra; Shacham-Diamand, Yosi

    2013-01-01

    We herein report on the stability of Electrolyte/Insulator/Semiconductor (EIS) devices with Self-Assembled Monolayer (SAM) gate insulator layers, i.e. Electrolyte/SAM/Semiconductor (ESS) devices. ESS devices can be functionalized creating highly specific sensors that can be integrated on standard silicon platform. However, biosensors by their nature are in contact with biological solutions that contain ions and molecules that may affect the device characteristics and cause electrical instability. In this paper we present a list of potential hazards to ESS devices and a study of the device stability under common testing conditions analyzing possible causes for the instabilities. ESS capacitors under open circuit conditions (i.e. open circuit bias of ∼0.6 V vs. Ag/AgCl reference electrode) were periodically characterized. We measured the complex impedance of the capacitors versus bias and extracted the effective capacitance vs. voltage (C–V) curves using two methods. We observed a parallel shift of the C–V curves toward negative bias; showing an effective accumulation of positive charge. The quantitative analysis of the drift vs. time was found to depend on the effective capacitance evaluation method. This effect is discussed and a best-known method is proposed. The devices surface composition was tested before and after the stress experiment by X-ray Photoelectron Spectroscopy (XPS) and sodium accumulation was observed. To further explore the flat-band voltage drift effect and to challenge the assumption that alkali ions are involved in the drift we conceived a novel alkali-free phosphate buffer saline (AF-PBS) where the sodium and potassium ions are replaced by ammonium ion and tested the capacitor under similar conditions to standard PBS. We found that the drift of the AF-PBS solution was much less at the first hour but was similar to that of the conventional PBS for longer stress times; hence, AF-PBS does not solve the long-term instability problem

  14. Effects of four step sintering on Y2O3: SiO2 nanocomposite

    International Nuclear Information System (INIS)

    Ahlawat, Rachna

    2015-01-01

    Need for high performance materials for advanced applications have led to the development of new concepts in materials design processing and their fabrication. The development of nanocrystalline materials with improved and novel properties is an important turning point in materials research. In present work, we report synthesis and structural characterization of Y 2 O 3 : SiO 2 nanocomposite. Sol-gel technique is being used to prepare-Y 2 O 3 SiO 2 nanocomposite due to its effectiveness in preparing samples with good mixing of starting materials and at relatively low reaction temperature. We have used Y(NO 3 ) 3 .4H 2 O and TEOS as precursors and followed usual approach of sol-gel technique, the final product of Y 2 O 3 : SiO 2 nanocomposite is obtained in the form of powder. The powder samples were sintered at different temperature for different time durations in programmable muffle furnace. The samples were characterized by complementary techniques as X-Ray Diffraction (XRD), Fourier Transforms Infrared Spectroscopy (FTIR) and Transmission Electron Microscopy (TEM). (author)

  15. The electrorheological properties of nano-sized SiO2 particle materials doped with rare earths

    International Nuclear Information System (INIS)

    Liu Yang; Liao Fuhui; Li Junran; Zhang Shaohua; Chen Shumei; Wei Chenguan; Gao Song

    2006-01-01

    Electrorheological (ER) materials of pure SiO 2 and SiO 2 doped with rare earths (RE = Ce, Gd, Y) (non-metallic glasses (silicates)) were prepared using Na 2 SiO 3 and RECl 3 as starting materials. The electrorheological properties are not enhanced by all rare earth additions. The material doped with Ce exhibits the best ER performance

  16. A facile approach to fabricate Au nanoparticles loaded SiO2 microspheres for catalytic reduction of 4-nitrophenol

    International Nuclear Information System (INIS)

    Tang, Mingyi; Huang, Guanbo; Li, Xianxian; Pang, Xiaobo; Qiu, Haixia

    2015-01-01

    Hydrophilic and biocompatible macromolecules were used to improve and simplify the process for the fabrication of core/shell SiO 2 @Au composite particles. The influence of polymers on the morphology of SiO 2 @Au particles with different size of SiO 2 cores was analyzed by transmission electron microscopy and scanning electron microscopy. The optical property of the SiO 2 @Au particles was studied with UV–Vis spectroscopy. The results indicate that the structure and composition of macromolecules affect the morphology of Au layers on SiO 2 microspheres. The SiO 2 @Au particles prepared in the presence of polyvinyl alcohol (PVA) or polyvinylpyrrolidone (PVP) have thin and complete Au nanoshells owing to their inducing act in preferential growth of Au nanoparticles along the surface of SiO 2 microspheres. SiO 2 @Au particles can be also prepared from SiO 2 microspheres modified with 3-aminopropyltrimethoxysilane in the presence of PVA or PVP. This offers a simple way to fabricate a Au layer on SiO 2 or other microspheres. The SiO 2 @Au particles demonstrated high catalytic activity in the reduction of 4-nitrophenol. - Highlights: • Facile direct deposition method for Au nanoparticles on silica microspheres. • Influence of different types of macromolecule on the formation of Au shell. • High catalytic performance of Au nanoparticles on silica microspheres

  17. Ultra-low specific on-resistance SOI double-gate trench-type MOSFET

    International Nuclear Information System (INIS)

    Lei Tianfei; Luo Xiaorong; Ge Rui; Chen Xi; Wang Yuangang; Yao Guoliang; Jiang Yongheng; Zhang Bo; Li Zhaoji

    2011-01-01

    An ultra-low specific on-resistance (R on,sp ) silicon-on-insulator (SOI) double-gate trench-type MOSFET (DG trench MOSFET) is proposed. The MOSFET features double gates and an oxide trench: the oxide trench is in the drift region, one trench gate is inset in the oxide trench and one trench gate is extended into the buried oxide. Firstly, the double gates reduce R on,sp by forming dual conduction channels. Secondly, the oxide trench not only folds the drift region, but also modulates the electric field, thereby reducing device pitch and increasing the breakdown voltage (BV). ABV of 93 V and a R on,sp of 51.8 mΩ·mm 2 is obtained for a DG trench MOSFET with a 3 μm half-cell pitch. Compared with a single-gate SOI MOSFET (SG MOSFET) and a single-gate SOI MOSFET with an oxide trench (SG trench MOSFET), the R on,sp of the DG trench MOSFET decreases by 63.3% and 33.8% at the same BV, respectively. (semiconductor devices)

  18. Electronic states of SiO2-MxOy (MxOy=P205, TiO2 and ZrO2) glasses

    Energy Technology Data Exchange (ETDEWEB)

    Kowada, Y [Hyogo Univ. of Teacher Education, Hyogo (Japan); Adachi, H [Kyoto Univ. (Japan). Faculty of Engineering; Minami, T [Univ. of Osaka Prefecture, Osaka (Japan). Faculty of Engineering

    1993-12-01

    Using the sol-gel method the surface of metal and glass substrates can be modified. For example, stainless steel sheets coated with the SiO2-ZrO2 glass films have higher resistance to corrosion and oxidation. The coating films contain high concentration of alkali ions diffusing from the glass substrates. It suggests that the sodium ions are trapped strongly within the coating films and are blocked to further diffuse to the surface. This behavior must be associated with the chemical bonding around the sodium ions in the SiO2-TiO2 and SiO2-ZrO2 films. For better understanding of the chemical bonding in the glasses, the electronic states of the SiO2-MxOy glasses were calculated by means of the DV-Xa cluster method. In this paper, the calculation method is explained, the results are discussed and the conclusion is stated. 17 refs., 6 figs.

  19. Luminescence characteristics of Mg2SiO4:Nd

    International Nuclear Information System (INIS)

    Indira, P.; Subrahmanyam, R.V.; Murthy, K.V.R.

    2011-01-01

    Thermoluminescence (TL) properties of Magnesium Ortho silicate (2:1) Mg 2 SiO 4 doped with various concentrations of rare earth (Nd) have been studied. The phosphor material were prepared using standard solid state reaction technique and heated specimens at 1100 ± 20 deg C for two hours. 4% Ammonium chloride was used as flux. The received material was grinded in an agate mortar and pestle. The TL exhibited by the Mg 2 SiO 4 with varying concentration of Nd is interesting in nature. It is interesting to note but as the concentration of Nd increases the peak around 125 deg C TL peak intensity increases. But the hump around 200 deg C resolved as TL peak at 253 deg C with high intensity. (author)

  20. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  1. Visible Light Excited Catalysis and Reusability Performances of TiO2@Pr:Y2SiO5 Upconversion Materials

    Directory of Open Access Journals (Sweden)

    Yan Jiao

    2017-01-01

    Full Text Available To get high efficiency photodegradation on pollutants under visible light, Pr(III doped Y2SiO5 upconversion materials and anatase TiO2 nanofilm coated Pr:Y2SiO5 composite have been prepared by using a sol-gel method. XRD and SEM test results indicated that TiO2 nanofilm was well coated on Pr:Y2SiO5 to form TiO2@Pr:Y2SiO5 composite particles with the sizes of 0.5–1.0 μm. To avoid secondary pollution resulting from incomplete recovery of catalyst particles, TiO2@Pr:Y2SiO5 was loaded on the glass fiber filters by using a dip-coating method. It is found that the catalyst particles were embedded into the carrier firmly, even after having been reused for 6 times. The luminescence intensities of TiO2@Pr:Y2SiO5 were getting down sharply with the coating contents of TiO2 increased, which was attributed to the adsorption of the luminescence by the TiO2 film in situ. As a result, TiO2@Pr:Y2SiO5 with 4% TiO2, which presented lowest luminescence intensity, showed the highest efficiency on the photodegradation of nitrobenzene wastewater. The catalysts loaded on glass fiber filters showed excellent reusability on the photodegradation of nitrobenzene and presented a photodegradation rate of 95% at the first time and up to 75.9% even after 6 times of reusing by the treatment time of 12 h.

  2. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo

    2018-02-28

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates. Then, bottom-contact graphene field effect transistors (G-FETs) were fabricated on the NP SiO2/Si substrate to measure the transfer curves. The graphene transferred onto the NP SiO2/Si substrate showed relatively n-doped behavior compared to the graphene transferred onto a flat SiO2/Si substrate, as evidenced by the blue-shift of the 2D peak position (∼2700 cm−1) in the Raman spectra due to contact doping. As the porosity increased within the substrate, the Dirac voltage shifted to a more positive or negative value, depending on the initial doping type (p- or n-type, respectively) of the contact doping. The Dirac voltage shifts with porosity were ascribed mainly to the compensation for the reduced capacitance owing to the SiO2–air hetero-structured dielectric layer within the periodically aligned nanopores capped by the suspended graphene (electrostatic doping). The hysteresis (Dirac voltage difference during the forward and backward scans) was reduced when utilizing an NP SiO2/Si substrate with smaller pores and/or a low porosity because fewer H2O or O2 molecules could be trapped inside the smaller pores.

  3. Fourier transform infrared spectroscopic study of gamma irradiated SiO2 nanoparticles

    Science.gov (United States)

    Huseynov, Elchin; Garibov, Adil; Mehdiyeva, Ravan; Huseynova, Efsane

    2018-03-01

    In the present work, nano SiO2 particles are investigated before and after gamma irradiation (25, 50, 75, 100 and 200 kGy) using Fourier transform infrared (FTIR) spectroscopy method for the wavenumber between 400-4000 cm-1. It is found that as a result of spectroscopic analysis, five new peaks have appeared after gamma radiation. Two of new obtained peaks (which are located at 687 cm-1 and 2357 cm-1 of wavenumber) were formed as a result of gamma radiation interaction with Si-O bonds. Another three new peaks (peaks appropriate to 941, 2052 and 2357 cm-1 values of wavenumber) appear as a result of interaction of water with nano SiO2 particles after gamma irradiation. It has been defined as asymmetrical bending vibration, symmetrical bending vibration, symmetrical stretching vibration and asymmetrical stretching vibration of Si-O bonds appropriate to peaks.

  4. Effect of Ni/Al2O3-SiO2 and Ni/Al2O3-SiO2 with K2O Promoter Catalysts on H2, CO and CH4 Concentration by CO2 Gasification of Rosa Multiflora Biomass

    Directory of Open Access Journals (Sweden)

    Tursunov Obid

    2017-11-01

    Full Text Available The thermal behaviour of the Rosa mutiflora biomass by thermogravimetric analysis was studied at heating rate 3 K min−1 from ambient temperature to 950 °C. TGA tests were performed in high purity carbon dioxide (99 998% with a flow rate 200 ml/min and 100 mg of sample, milled and sieved to a particle size below 250 µm. Moreover, yields of gasification products such as hydrogen (H2, carbon monoxide (CO and methane (CH4 were determined based on the thermovolumetric measurements of catalytic (Ni/Al2O3-SiO2 and Ni/Al2O3-SiO2 with K2O promoter catalysts and non-catalytic gasification of the Rosa multiflora biomass. Additionally, carbon conversion degrees are presented. Calculations were made of the kinetic parameters of carbon monoxide and hydrogen formation reaction in the catalytic and non-catalytic CO2 gasification processes. A high temperature of 950 °C along with Ni/Al2O3-SiO2and Ni/Al2O3-SiO2 with K2O promoter catalysts resulted in a higher conversion of Rosa multiflora biomass into gaseous yield production with greatly increasing of H2 and CO contents. Consequently, H2 and CO are the key factors to produce renewable energy and bio-gases (synthesis gas. The parameters obtained during the experimental examinations enable a tentative assessment of plant biomasses for the process of large-scale gasification in industrial sectors.

  5. Hazards of TiO2 and amorphous SiO2 nanoparticles

    NARCIS (Netherlands)

    Reijnders, L.; Kahn, H.A.; Arif, I.A.

    2012-01-01

    TiO2 and amorphous SiO2 nanoparticles have been described as ‘safe’, ‘non-toxic’ and ‘environment friendly’ in scientific literature. However, though toxicity data are far from complete, there is evidence that these nanoparticles are hazardous. TiO2 nanoparticles have been found hazardous to humans

  6. Silicon electrodeposition from chloride-fluoride melts containing K2SiF6 and SiO2

    Directory of Open Access Journals (Sweden)

    Zhuk Sergey I.

    2017-01-01

    Full Text Available Silicon electrodeposition on glassy carbon from the KF-KCl-K2SiF6, KF-KCl-K2SiF6-KOH and KF-KCl-K2SiF6-SiO2 melts was studied by the cyclic voltammetry. Тhe electroreduction of Si(IV to metallic Si was observed as a single 4-electron wave under all considered conditions. The reactions of cathode reduction of silicon from fluoride and oxyfluoride complexes were suggested. It was shown that the process can be controlled by the preliminary transformation of SiO44- to SiF62- and SiOxFyz-. The influence of the current density on structure and morphology of silicon deposits obtained during galvanostatic electrolysis of the KF-KCl-K2SiF6-SiO2 melt was studied.

  7. Co-electrospinning fabrication and photocatalytic performance of TiO2/SiO2 core/sheath nanofibers with tunable sheath thickness

    International Nuclear Information System (INIS)

    Cao, Houbao; Du, Pingfan; Song, Lixin; Xiong, Jie; Yang, Junjie; Xing, Tonghai; Liu, Xin; Wu, Rongrong; Wang, Minchao; Shao, Xiaoli

    2013-01-01

    Graphical abstract: - Highlights: • The core–sheath TiO 2 /SiO 2 nanofibers were fabricated by co-electrospinning technique. • The catalytic property of nanofibers with different sheath thickness was studied. • The potential methods of improving catalytic efficiency are suggested. - Abstract: In this paper, core/sheath TiO 2 /SiO 2 nanofibers with tunable sheath thickness were directly fabricated via a facile co-electrospinning technique with subsequent calcination at 500 °C. The morphologies and structures of core/sheath TiO 2 /SiO 2 nanofibers were characterized by TGA, FESEM, TEM, FTIR, XPS and BET. It was found that the 1D core/sheath nanofibers are made up of anatase–rutile TiO 2 core and amorphous SiO 2 sheath. The influences of SiO 2 sheath and its thickness on the photoreactivity were evaluated by observing photo-degradation of methylene blue aqueous solution under the irradiation of UV light. Compared with pure TiO 2 nanofibers, the core/sheath TiO 2 /SiO 2 nanofibers performed a better catalytic performance. That was attributed to not only efficient separation of hole–electron pairs resulting from the formation of heterojunction but also larger surface area and surface silanol group which will be useful to provide higher capacity for oxygen adsorption to generate more hydroxyl radicals. And the optimized core/sheath TiO 2 /SiO 2 nanofibers with a sheath thickness of 37 nm exhibited the best photocatalytic performance

  8. Stability of trapped electrons in SiO2

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Winokur, P.S.; Flament, O.; Leray, J.L.

    1998-01-01

    Electron trapping near the Si/SiO 2 interface plays a crucial role in mitigating the response of MOS devices to ionizing radiation or high-field stress. These electrons offset positive charge due to trapped holes, and can be present at densities exceeding 10 12 cm -2 in the presence of a similar density of trapped positive charge. The nature of the defects that serve as hosts for trapped electrons in the near-interfacial SiO 2 is presently unknown, although there is compelling evidence that these defects are often intimately associated with trapped holes. This association is depicted most directly in the model of Lelis et al., which suggests that trapped electrons and holes occupy opposite sides of a compensated E center in SiO 2 . Charge exchange between electron traps and the Si can occur over a wide range of time scales, depending on the trap depth and location relative to the Si/SiO 2 interface. Here the authors report a detailed study of the stability of electron traps associated with trapped holes near the Si/SiO 2 interface

  9. Theory of Al2O3 incorporation in SiO2

    DEFF Research Database (Denmark)

    Lægsgaard, Jesper

    2002-01-01

    Different possible forms of Al2O3 units in a SiO2 network are studied theoretically within the framework of density-functional theory. Total-energy differences between the various configurations are obtained, and simple thermodynamical arguments are used to provide an estimate of their relative...

  10. Characteristics of epoxy resin/SiO2 nanocomposite insulation: effects of plasma surface treatment on the nanoparticles.

    Science.gov (United States)

    Yan, Wei; Phung, B T; Han, Zhao Jun; Ostrikov, Kostya

    2013-05-01

    The present study compares the effects of two different material processing techniques on modifying hydrophilic SiO2 nanoparticles. In one method, the nanoparticles undergo plasma treatment by using a custom-developed atmospheric-pressure non-equilibrium plasma reactor. With the other method, they undergo chemical treatment which grafts silane groups onto their surface and turns them into hydrophobic. The treated nanoparticles are then used to synthesize epoxy resin-based nanocomposites for electrical insulation applications. Their characteristics are investigated and compared with the pure epoxy resin and nanocomposite fabricated with unmodified nanofillers counterparts. The dispersion features of the nanoparticles in the epoxy resin matrix are examined through scanning electron microscopy (SEM) images. All samples show evidence that the agglomerations are smaller than 30 nm in their diameters. This indicates good dispersion uniformity. The Weibull plot of breakdown strength and the recorded partial discharge (PD) events of the epoxy resin/plasma-treated hydrophilic SiO2 nanocomposite (ER/PTI) suggest that the plasma-treated specimen yields higher breakdown strength and lower PD magnitude as compared to the untreated ones. In contrast, surprisingly, lower breakdown strength is found for the nanocomposite made by the chemically treated hydrophobic particles, whereas the PD magnitude and PD numbers remain at a similar level as the plasma-treated ones.

  11. Effect of SiO2 passivation overlayers on hillock formation in Al thin films

    International Nuclear Information System (INIS)

    Kim, Deok-kee

    2012-01-01

    Hillock formation in Al thin films with varying thicknesses of SiO 2 as a passivation layer was investigated during thermal cycling. Based on the stress measurements and the number of hillocks, 250 nm thick SiO 2 was thick enough to suppress the hillock formation and the suppression of hillock at 250 nm passivation and the lack of suppression at thinner passivation is related to the presence/absence of protection against the diffusive flow of atoms from the surrounding area to the surface due to the biaxial compressive stresses present in the film through the weak spots in the passivation layer. The stress state of Al films measured during annealing (the driving force for hillock formation) did not vary much with SiO 2 thickness. A small number of hillocks formed during the plasma enhanced chemical vapor deposition of SiO 2 overlayers at 300 °C. - Highlights: ► We examined the effect of SiO 2 overlayers on hillock formation in Al thin films. ► Thin overlayers were not effective in suppressing diffusive flow to the surface. ► A thick overlayer suppressed the diffusive flow from the interior to the surface. ► The stress state of Al films did not vary much with SiO 2 passivation thickness. ► High mechanical strength provided a large driving force for the large grain growth.

  12. Crystallization and chemical durability of glasses in the system Bi2O3-SiO2

    International Nuclear Information System (INIS)

    Fredericci, C.

    2011-01-01

    The crystallization of the Bi 2 O 3 -SiO 2 -TiO 2 -Al 2 O 3 -Na 2 O-K 2 O and Bi 2 O 3 -SiO 2 -ZnO-Al 2 O 3 -B 2 O 3 -Na 2 O glasses was studied using glass samples prepared by traditional melt-quench method. Differential thermal analysis (DTA) curves suggested that surface crystallization played a major role in the crystallization of the glass samples. X-ray diffraction (XRD) analysis revealed the crystallization of bismuth silicate for both glasses and bismuth silicate and zinc silicate for the glass containing ZnO. Through scanning electron microscopy (MEV) and energy dispersive spectroscopy (EDS), it was possible to observe that the crystals of zinc silicate (Zn 2 SiO 4 ) were readily attacked by hot 0,1 N sulfuric acid, whereas bismuth silicate crystals were more resistant to acidic attack etching. (author)

  13. Compositional and optical properties of SiO x films and (SiO x /SiO y ) junctions deposited by HFCVD

    Science.gov (United States)

    2014-01-01

    In this work, non-stoichiometric silicon oxide (SiO x ) films and (SiO x /SiO y ) junctions, as-grown and after further annealing, are characterized by different techniques. The SiO x films and (SiO x /SiO y ) junctions are obtained by hot filament chemical vapor deposition technique in the range of temperatures from 900°C to 1,150°C. Transmittance spectra of the SiO x films showed a wavelength shift of the absorption edge thus indicating an increase in the optical energy band gap, when the growth temperature decreases; a similar behavior is observed in the (SiO x /SiO y ) structures, which in turn indicates a decrease in the Si excess, as Fourier transform infrared spectroscopy (FTIR) reveals, so that, the film and junction composition changes with the growth temperature. The analysis of the photoluminescence (PL) results using the quantum confinement model suggests the presence of silicon nanocrystal (Si-nc) embedded in a SiO x matrix. For the case of the as-grown SiO x films, the absorption and emission properties are correlated with quantum effects in Si-nc and defects. For the case of the as-grown (SiO x /SiO y ) junctions, only the emission mechanism related to some kinds of defects was considered, but silicon nanocrystal embedded in a SiO x matrix is present. After thermal annealing, a phase separation into Si and SiO2 occurs, as the FTIR spectra illustrates, which has repercussions in the absorption and emission properties of the films and junctions, as shown by the change in the A and B band positions on the PL spectra. These results lead to good possibilities for proposed novel applications in optoelectronic devices. PACS 61.05.-a; 68.37.Og; 61.05.cp; 78.55.-m; 68.37.Ps; 81.15.Gh PMID:25342935

  14. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    Directory of Open Access Journals (Sweden)

    B. Pivac

    2018-01-01

    Full Text Available The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction and self-organization (in horizontal direction. The formed films produce intensive photoluminescence (PL with a peak at 500 nm. The explored dynamics of the PL decay show the existence of a very rapid process similar to the one found at Ge/SiO2 defected interface layers.

  15. Si nanocrystals embedded in SiO2: Optical studies in the vacuum ultraviolet range

    DEFF Research Database (Denmark)

    Pankratov, V.; Osinniy, Viktor; Kotlov, A.

    2011-01-01

    done. It is demonstrated that the experimentally determined blueshift of the photoluminescence excitation and absorption spectra is larger than the theoretical predictions. The influence of point defects in the SiO2 matrix on the optical and luminescence properties of the embedded Si nanocrystals...... is discussed. Moreover, it is demonstrated that no energy transfer takes place between the SiO2 and Si nanocrystals when the excitation energy is higher than the band-to-band transition energy in SiO2....

  16. B2O3/SiO2 substitution effect on structure and properties of Na2O-CaO-SrO-P2O5-SiO2 bioactive glasses from molecular dynamics simulations.

    Science.gov (United States)

    Ren, Mengguo; Lu, Xiaonan; Deng, Lu; Kuo, Po-Hsuen; Du, Jincheng

    2018-05-23

    The effect of B2O3/SiO2 substitution in SrO-containing 55S4.3 bioactive glasses on glass structure and properties, such as ionic diffusion and glass transition temperature, was investigated by combining experiments and molecular dynamics simulations with newly developed potentials. Both short-range (such as bond length and bond angle) and medium-range (such as polyhedral connection and ring size distribution) structures were determined as a function of glass composition. The simulation results were used to explain the experimental results for glass properties such as glass transition temperature and bioactivity. The fraction of bridging oxygen increased linearly with increasing B2O3 content, resulting in an increase in overall glass network connectivity. Ion diffusion behavior was found to be sensitive to changes in glass composition and the trend of the change with the level of substitution is also temperature dependent. The differential scanning calorimetry (DSC) results show a decrease in glass transition temperature (Tg) with increasing B2O3 content. This is explained by the increase in ion diffusion coefficient and decrease in ion diffusion energy barrier in glass melts, as suggested by high-temperature range (above Tg) ion diffusion calculations as B2O3/SiO2 substitution increases. In the low-temperature range (below Tg), the Ea for modifier ions increased with B2O3/SiO2 substitution, which can be explained by the increase in glass network connectivity. Vibrational density of states (VDOS) were calculated and show spectral feature changes as a result of the substitution. The change in bioactivity with B2O3/SiO2 substitution is discussed with the change in pH value and release of boric acid into the solution.

  17. Ultralow-density SiO2 aerogels prepared by a two-step sol-gel process

    International Nuclear Information System (INIS)

    Wang Jue; Li Qing; Shen Jun; Zhou Bin; Chen Lingyan; Jiang; Weiyang

    1996-01-01

    Low density SiO 2 gels are prepared by a two-step sol-gel process from TEOS. The influence of various solution ratios on the gelation process is investigated. The comparative characterization of gels using different solvent, such as ethanol, acetone and methyl cyanide, is also given. The ultralow-density SiO 2 aerogels with density less than 10 kg/m 3 are prepared by CO 2 supercritical drying technique. The structure difference between SiO 2 aerogels prepared by conventional single-step process and the two-step process is also presented

  18. Structure-Dependent Spectroscopic Properties of Yb3+-Doped Phosphosilicate Glasses Modified by SiO2

    Directory of Open Access Journals (Sweden)

    Ling Wang

    2017-02-01

    Full Text Available Yb3+-doped phosphate glasses containing different amounts of SiO2 were successfully synthesized by the conventional melt-quenching method. The influence mechanism of SiO2 on the structural and spectroscopic properties was investigated systematically using the micro-Raman technique. It was worth noting that the glass with 26.7 mol % SiO2 possessed the longest fluorescence lifetime (1.51 ms, the highest gain coefficient (1.10 ms·pm2, the maximum Stark splitting manifold of 2F7/2 level (781 cm−1, and the largest scalar crystal-field NJ and Yb3+ asymmetry degree. Micro-Raman spectra revealed that introducing SiO2 promoted the formation of P=O linkages, but broke the P=O linkages when the SiO2 content was greater than 26.7 mol %. Based on the previous 29Si MAS NMR experimental results, these findings further demonstrated that the formation of [SiO6] may significantly affect the formation of P=O linkages, and thus influences the spectroscopic properties of the glass. These results indicate that phosphosilicate glasses may have potential applications as a Yb3+-doped gain medium for solid-state lasers and optical fiber amplifiers.

  19. Structure-Dependent Spectroscopic Properties of Yb3+-Doped Phosphosilicate Glasses Modified by SiO2

    Science.gov (United States)

    Wang, Ling; Zeng, Huidan; Yang, Bin; Ye, Feng; Chen, Jianding; Chen, Guorong; Smith, Andew T.; Sun, Luyi

    2017-01-01

    Yb3+-doped phosphate glasses containing different amounts of SiO2 were successfully synthesized by the conventional melt-quenching method. The influence mechanism of SiO2 on the structural and spectroscopic properties was investigated systematically using the micro-Raman technique. It was worth noting that the glass with 26.7 mol % SiO2 possessed the longest fluorescence lifetime (1.51 ms), the highest gain coefficient (1.10 ms·pm2), the maximum Stark splitting manifold of 2F7/2 level (781 cm−1), and the largest scalar crystal-field NJ and Yb3+ asymmetry degree. Micro-Raman spectra revealed that introducing SiO2 promoted the formation of P=O linkages, but broke the P=O linkages when the SiO2 content was greater than 26.7 mol %. Based on the previous 29Si MAS NMR experimental results, these findings further demonstrated that the formation of [SiO6] may significantly affect the formation of P=O linkages, and thus influences the spectroscopic properties of the glass. These results indicate that phosphosilicate glasses may have potential applications as a Yb3+-doped gain medium for solid-state lasers and optical fiber amplifiers. PMID:28772601

  20. Investigation of aluminum gate CMP in a novel alkaline solution

    International Nuclear Information System (INIS)

    Feng Cuiyue; Liu Yuling; Sun Ming; Zhang Wenqian; Zhang Jin; Wang Shuai

    2016-01-01

    Beyond 45 nm, due to the superior CMP performance requirements with the metal gate of aluminum in the advanced CMOS process, a novel alkaline slurry for an aluminum gate CMP with poly-amine alkali slurry is investigated. The aluminum gate CMP under alkaline conditions has two steps: stock polishing and fine polishing. A controllable removal rate, the uniformity of aluminum gate and low corrosion are the key challenges for the alkaline polishing slurry of the aluminum gate CMP. This work utilizes the complexation-soluble function of FA/O II and the preference adsorption mechanism of FA/O I nonionic surfactant to improve the uniformity of the surface chemistry function with the electrochemical corrosion research, such as OCP-TIME curves, Tafel curves and AC impedance. The result is that the stock polishing slurry (with SiO 2 abrasive) contains 1 wt.% H 2 O 2 ,0.5 wt.% FA/O II and 1.0 wt.% FA/O I nonionic surfactant. For a fine polishing process, 1.5 wt.% H 2 O 2 , 0.4 wt.% FA/O II and 2.0 wt.% FA/O I nonionic surfactant are added. The polishing experiments show that the removal rates are 3000 ± 50 Å/min and 1600 ± 60 Å/min, respectively. The surface roughnesses are 2.05 ± 0.128 nm and 1.59 ± 0.081 nm, respectively. A combination of the functions of FA/O II and FA/O I nonionic surfactant obtains a controllable removal rate and a better surface roughness in alkaline solution. (paper)

  1. Enhancement of photocatalytic properties of TiO2 nanoparticles doped with CeO2 and supported on SiO2 for phenol degradation

    International Nuclear Information System (INIS)

    Hao, Chunjing; Li, Jing; Zhang, Zailei; Ji, Yongjun; Zhan, Hanhui; Xiao, Fangxing; Wang, Dan; Liu, Bin; Su, Fabing

    2015-01-01

    Highlights: • CeO 2 -TiO 2 /SiO 2 composites were prepared via a facile co-precipitation method. • Introduction of SiO 2 support increases the dispersion of CeO 2 -TiO 2 . • CeO 2 -TiO 2 /SiO 2 exhibits an enhanced photocatalytic efficiency for phenol degradation. • Ce 3+ /Ce 4+ pair coexisting in CeO 2 improves electron–hole pairs separation efficiency. - Abstract: A series of CeO 2 -TiO 2 and CeO 2 -TiO 2 /SiO 2 composites were prepared with TiCl 4 and Ce (NO 3 ) 3 ·6H 2 O as precursors via a facile co-precipitation method. The obtained samples were characterized by various techniques such as X-ray diffraction (XRD), nitrogen adsorption (N 2 -BET), Fourier transformation infrared spectrum (FT-IR), scanning electron microscopy (SEM), transmission electron microscopy (TEM), and UV–Vis spectroscopy measurements. The results indicated that TiO 2 doped with CeO 2 and supported on SiO 2 could reduce the crystallite size, inhibit the phase transformation, enhance the thermal stability, and effectively extend the spectral response from UV to visible range. When applied to the phenol photodegradation on a homemade batch reactor with an external cooling jacket, the CeO 2 -TiO 2 /SiO 2 catalysts exhibited significantly enhanced photodegradation efficiency in comparison with commercial Degussa P25 and CeO 2 -TiO 2 . The unique catalytic properties of CeO 2 -TiO 2 /SiO 2 were ascribed to improved electron–hole pairs separation efficiency and formation of more reactive oxygen species owing to the presence of Ce 3+ /Ce 4+ , as well as high dispersion of active component of CeO 2 -TiO 2 as a result of the introduction of SiO 2 support. Furthermore, the catalysts can be easily recovered from the reaction solution by centrifugation and reused for four cycles without significant loss of activity

  2. Fiber-optic thermometer application of thermal radiation from rare-earth end-doped SiO2 fiber

    International Nuclear Information System (INIS)

    Katsumata, Toru; Morita, Kentaro; Komuro, Shuji; Aizawa, Hiroaki

    2014-01-01

    Visible light thermal radiation from SiO 2 glass doped with Y, La, Ce, Pr, Nd, Eu, Tb, Dy, Ho, Er, Tm, Yb, and Lu were studied for the fiber-optic thermometer application based on the temperature dependence of thermal radiation. Thermal radiations according to Planck's law of radiation are observed from the SiO 2 fibers doped with Y, La, Ce, Pr, Eu, Tb, and Lu at the temperature above 1100 K. Thermal radiations due to f-f transitions of rare-earth ions are observed from the SiO 2 fibers doped with Nd, Dy, Ho, Er, Tm, and Yb at the temperature above 900 K. Peak intensities of thermal radiations from rare-earth doped SiO 2 fibers increase sensitively with temperature. Thermal activation energies of thermal radiations by f-f transitions seen in Nd, Dy, Ho, Er, Tm, and Yb doped SiO 2 fibers are smaller than those from SiO 2 fibers doped with Y, La, Ce, Pr, Eu, Tb, and Lu. Thermal radiation due to highly efficient f-f transitions in Nd, Dy, Ho, Er, Tm, and Yb ions emits more easily than usual thermal radiation process. Thermal radiations from rare-earth doped SiO 2 are potentially applicable for the fiber-optic thermometry above 900 K

  3. Preparation of Raspberry-like Superhydrophobic SiO2 Particles by Sol-gel Method and Its Potential Applications

    Directory of Open Access Journals (Sweden)

    Xu Gui-Long

    2011-12-01

    Full Text Available Raspberry‐like SiO2 particles with a nano‐micro‐binary structure were prepared by a simple sol‐gel method using tetraethoxysilane (TEOS and methyltriethoxysilane (MTES as precursors. The chemical components and morphology of the SiO2 particles were characterized by Fourier transform infrared spectroscopy (FT‐IR and a Transmission electron microscope (TEM. The surface topography and wetting behaviour of the raspberry‐like SiO2 surface were observed with a Scanning electron microscope (SEM and studied by the water/oil contact angle (CA, respectively. The thermal stability of the prepared SiO2 particles was characterized by TGA analysis. The results show that the highly dispersed SiO2 particles initially prepared by the sol‐gel method turn into raspberry‐like particles with during the aging process. The raspberry‐like SiO2 particles show superhydrophobicity and superoleophilicity across a wide range of pH values. The SiO2 particles were thermally stable up to 475°C, while above this temperature the hydrophobicity decreases and finally becomes superhydrophobic when the temperature reaches 600°C. The raspberry‐like SiO2 particles which were prepared have potential applications in the fields of superhydrophobic surfaces, water‐oil separation, anti‐corrosion and fluid transportation.

  4. Super-bright and short-lived photoluminescence of textured Zn2SiO4:Mn2+ phosphor film on quartz glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Seo, Kwangil; Kwon, Kevin; Kung, Patrick; Kim, Seongsin M.

    2010-02-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor film was fabricated by a thermal diffusion of ZnO:Mn on quartz glass. The characterization has been performed in terms of Mn2+ ions concentration (Mn/Zn=1~9 mol %). As an increase of Mn2+ ions concentration in the Zn2SiO4:Mn2+ phosphor film, the emission peak was red shifted from 519 nm to 526 nm, and the decay time to 10% of the maximum intensity was shorter from 20 ms to 0.5 ms. All annealed Zn2SiO4:Mn2+ phosphor films became textured along some hexagonal directions on the amorphous quartz glass. The brightest Zn2SiO4:Mn2+ film at optimal Mn2+ concentration of 5 % showed the photoluminescence brightness of 65 % and the shortened decay time of 4.4 ms in comparison with a commercially Zn2SiO4: Mn2+ powder phosphor screen. The excellencies can be attributed to a unique textured structure.

  5. In-situ synthesis of SiO2@MOF composites for high-efficiency removal of aniline from aqueous solution

    Science.gov (United States)

    Han, Tongtong; Li, Caifeng; Guo, Xiangyu; Huang, Hongliang; Liu, Dahuan; Zhong, Chongli

    2016-12-01

    A series of SiO2@aluminum-MOF(MIL-68) composites with different SiO2 loadings have been synthesized by a simple and mild compositing strategy for high-efficiency removal of aniline. As evidenced from SEM and TEM images as well as the particle size distribution, the incorporation of SiO2 can improve the dispersity of MIL-68(Al) in composites, and result in the smaller particle size than that of pristine MIL-68(Al). Besides, the adsorption of aniline over SiO2, MIL-68(Al), the physical mixture of these two materials, and SiO2@MIL-68(Al) composites was investigated comparatively, demonstrating a relatively high adsorption capacity (531.9 mg g-1) of 7% SiO2@MIL-68(Al) towards aniline. Combining the ultrafast adsorption dynamics (reaching equilibrium within 40 s) and great reusability, 7% SiO2@MIL-68(Al) shows excellent adsorption performance. This indicates that the SiO2@MIL-68(Al) composites possess great potential applications as a kind of fascinating adsorbent in water pollution protection.

  6. Assembly of Fe3O4 nanoparticles on SiO2 monodisperse spheres

    Indian Academy of Sciences (India)

    Assembly of Fe3O4 nanoparticles on SiO2 monodisperse spheres. K C BARICK and D BAHADUR*. Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology Bombay,. Mumbai 400 076, India. Abstract. The assembly of superparamagnetic Fe3O4 nanoparticles on submicroscopic SiO2 ...

  7. Origin of the n -type and p -type conductivity of MoS 2 monolayers on a SiO 2 substrate

    KAUST Repository

    Dolui, Kapildeb

    2013-04-02

    Ab initio density functional theory calculations are performed to study the electronic properties of a MoS2 monolayer deposited over a SiO 2 substrate in the presence of interface impurities and defects. When MoS2 is placed on a defect-free substrate, the oxide plays an insignificant role since the conduction band top and the valence band minimum of MoS2 are located approximately in the middle of the SiO2 band gap. However, if Na impurities and O dangling bonds are introduced at the SiO2 surface, these lead to localized states, which modulate the conductivity of the MoS2 monolayer from n- to p-type. Our results show that the conductive properties of MoS2 deposited on SiO 2 are mainly determined by the detailed structure of the MoS 2/SiO2 interface, and suggest that doping the substrate can represent a viable strategy for engineering MoS2-based devices. © 2013 American Physical Society.

  8. Diamond logic inverter with enhancement-mode metal-insulator-semiconductor field effect transistor

    Energy Technology Data Exchange (ETDEWEB)

    Liu, J. W., E-mail: liu.jiangwei@nims.go.jp [International Center for Young Scientists (ICYS), National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan); Liao, M. Y.; Imura, M. [Optical and Electronic Materials Unit, NIMS, 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan); Watanabe, E.; Oosato, H. [Nanofabrication Platform, NIMS, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Koide, Y., E-mail: koide.yasuo@nims.go.jp [Optical and Electronic Materials Unit, NIMS, 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan); Nanofabrication Platform, NIMS, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Center of Materials Research for Low Carbon Emission, NIMS, 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan)

    2014-08-25

    A diamond logic inverter is demonstrated using an enhancement-mode hydrogenated-diamond metal-insulator-semiconductor field effect transistor (MISFET) coupled with a load resistor. The gate insulator has a bilayer structure of a sputtering-deposited LaAlO{sub 3} layer and a thin atomic-layer-deposited Al{sub 2}O{sub 3} buffer layer. The source-drain current maximum, extrinsic transconductance, and threshold voltage of the MISFET are measured to be −40.7 mA·mm{sup −1}, 13.2 ± 0.1 mS·mm{sup −1}, and −3.1 ± 0.1 V, respectively. The logic inverters show distinct inversion (NOT-gate) characteristics for input voltages ranging from 4.0 to −10.0 V. With increasing the load resistance, the gain of the logic inverter increases from 5.6 to as large as 19.4. The pulse response against the high and low input voltages shows the inversion response with the low and high output voltages.

  9. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    Science.gov (United States)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  10. Molecular-beam-deposited yttrium-oxide dielectrics in aluminum-gated metal - oxide - semiconductor field-effect transistors: Effective electron mobility

    International Nuclear Information System (INIS)

    Ragnarsson, L.-A degree.; Guha, S.; Copel, M.; Cartier, E.; Bojarczuk, N. A.; Karasinski, J.

    2001-01-01

    We report on high effective mobilities in yttrium-oxide-based n-channel metal - oxide - semiconductor field-effect transistors (MOSFETs) with aluminum gates. The yttrium oxide was grown in ultrahigh vacuum using a reactive atomic-beam-deposition system. Medium-energy ion-scattering studies indicate an oxide with an approximate composition of Y 2 O 3 on top of a thin layer of interfacial SiO 2 . The thickness of this interfacial oxide as well as the effective mobility are found to be dependent on the postgrowth anneal conditions. Optimum conditions result in mobilities approaching that of SiO 2 -based MOSFETs at higher fields with peak mobilities at approximately 210 cm 2 /Vs. [copyright] 2001 American Institute of Physics

  11. Effects of Gate Stack Structural and Process Defectivity on High-k Dielectric Dependence of NBTI Reliability in 32 nm Technology Node PMOSFETs

    Directory of Open Access Journals (Sweden)

    H. Hussin

    2014-01-01

    Full Text Available We present a simulation study on negative bias temperature instability (NBTI induced hole trapping in E′ center defects, which leads to depassivation of interface trap precursor in different geometrical structures of high-k PMOSFET gate stacks using the two-stage NBTI model. The resulting degradation is characterized based on the time evolution of the interface and hole trap densities, as well as the resulting threshold voltage shift. By varying the physical thicknesses of the interface silicon dioxide (SiO2 and hafnium oxide (HfO2 layers, we investigate how the variation in thickness affects hole trapping/detrapping at different stress temperatures. The results suggest that the degradations are highly dependent on the physical gate stack parameters for a given stress voltage and temperature. The degradation is more pronounced by 5% when the thicknesses of HfO2 are increased but is reduced by 11% when the SiO2 interface layer thickness is increased during lower stress voltage. However, at higher stress voltage, greater degradation is observed for a thicker SiO2 interface layer. In addition, the existence of different stress temperatures at which the degradation behavior differs implies that the hole trapping/detrapping event is thermally activated.

  12. Insulator layer formation in MgB2 SIS junctions

    International Nuclear Information System (INIS)

    Shimakage, H.; Tsujimoto, K.; Wang, Z.; Tonouchi, M.

    2005-01-01

    The dependence of current-voltage characteristics on thin film deposition conditions was investigated using MgB 2 /AlN/NbN SIS junctions. By increasing the substrate temperature in AlN insulator deposition, the current density decreased and the normal resistance increased. The results indicated that an additional insulator layer between the MgB 2 and AlN formed, either before or during the AlN deposition. The thickness of the additional insulator layer was increased with an increase in the AlN deposition temperature. From the dependence of current density on the thickness of AlN in low temperature depositions, the thickness of the additional insulator layer was estimated to be 1-1.5 nm when the AlN insulator was deposited from 0.14 to 0.7 nm. Moreover, with the current density of MgB 2 /AlN/MgB 2 SIS junctions, further insulator layer formation was confirmed

  13. UV Light-Driven Photodegradation of Methylene Blue by Using Mn0.5Zn0.5Fe2O4/SiO2 Nanocomposites

    Science.gov (United States)

    Indrayana, I. P. T.; Julian, T.; Suharyadi, E.

    2018-04-01

    The photodegradation activity of nanocomposites for 20 ppm methylene blue solution has been investigated in this work. Nanocomposites Mn0.5Zn0.5Fe2O4/SiO2 have been synthesized using coprecipitation method. The X-ray diffraction (XRD) pattern confirmed the formation of three phases in sample Mn0.5Zn0.5Fe2O4/SiO2 i.e., Mn0.5Zn0.5Fe2O4, Zn(OH)2, and SiO2. The appearance of SiO2 phase showed that the encapsulation process has been carried out. The calculated particles size of Mn0.5Zn0.5Fe2O4/SiO2 is greater than Mn0.5Zn0.5Fe2O4. Bonding analysis via vibrational spectra for Mn0.5Zn0.5Fe2O4/SiO2 confirmed the formation of bonds Me-O-Si stretching (2854.65 cm-1) and Si-O-Si asymmetric stretching (1026.13 cm-1). The optical gap energy of Mn0.5Zn0.5Fe2O4/SiO2 was smaller (2.70 eV) than Mn0.5Zn0.5Fe2O4 (3.04 eV) due to smaller lattice dislocation and microstrain that affect their electronic structure. The Mn0.5Zn0.5Fe2O4/SiO2 showed high photodegradation ability due to smaller optical gap energy and the appearance of SiO2 ligand that can easily attract dye molecules. The Mn0.5Zn0.5Fe2O4/SiO2 also showed high degradation activity even without UV light radiation. The result showed that photodegradation reaction doesn’t follow pseudo-first order kinetics.

  14. Preparation of TiO2-SiO2 composite photocatalysts for environmental applications

    Czech Academy of Sciences Publication Activity Database

    Paušová, Š.; Krýsa, J.; Jirkovský, Jaromír; Prevot, V.; Mailhot, G.

    2014-01-01

    Roč. 89, č. 8 (2014), s. 1129-1135 ISSN 0268-2575 Institutional support: RVO:61388955 Keywords : photocatalysis * TiO2/SiO2 * composite Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 2.349, year: 2014

  15. Transfer free graphene growth on SiO2 substrate at 250 °C

    Science.gov (United States)

    Vishwakarma, Riteshkumar; Rosmi, Mohamad Saufi; Takahashi, Kazunari; Wakamatsu, Yuji; Yaakob, Yazid; Araby, Mona Ibrahim; Kalita, Golap; Kitazawa, Masashi; Tanemura, Masaki

    2017-03-01

    Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

  16. Integrated nanophotonic hubs based on ZnO-Tb(OH3/SiO2 nanocomposites

    Directory of Open Access Journals (Sweden)

    Lin Yu

    2011-01-01

    Full Text Available Abstract Optical integration is essential for practical application, but it remains unexplored for nanoscale devices. A newly designed nanocomposite based on ZnO semiconductor nanowires and Tb(OH3/SiO2 core/shell nanospheres has been synthesized and studied. The unique sea urchin-type morphology, bright and sharply visible emission bands of lanthanide, and large aspect ratio of ZnO crystalline nanotips make this novel composite an excellent signal receiver, waveguide, and emitter. The multifunctional composite of ZnO nanotips and Tb(OH3/SiO2 nanoparticles therefore can serve as an integrated nanophotonics hub. Moreover, the composite of ZnO nanotips deposited on a Tb(OH3/SiO2 photonic crystal can act as a directional light fountain, in which the confined radiation from Tb ions inside the photonic crystal can be well guided and escape through the ZnO nanotips. Therefore, the output emission arising from Tb ions is truly directional, and its intensity can be greatly enhanced. With highly enhanced lasing emissions in ZnO-Tb(OH3/SiO2 as well as SnO2-Tb(OH3/SiO2 nanocomposites, we demonstrate that our approach is extremely beneficial for the creation of low threshold and high-power nanolaser.

  17. Refractories in the Al2O3-ZrO2-SiO2 system

    International Nuclear Information System (INIS)

    Banerjee, S.P.; Bhadra, A.K.; Sircar, N.R.

    1978-01-01

    The effect of addition of ZrO 2 in different proportions in the refractories of the Al 2 O 3 -SiO 2 system was studied. The investigation was confined to two broad ranges of compositions incorporating zirconia (15-30 percent and 80-85 percent) in the Al 2 O 3 -ZrO 2 -SiO 2 system. The overall attainment of properties is dependent upon the mode of fabrication and firing, and bears a relationship with the phase assemblages and the relative proportion thereof. Of the different characteristics, the trend of dissociation of zircon has been found to be specially significant vis-a-vis the temperature of firing and thermal shock resistance. Reassociation of the dissociated products has been ascribed to bring forth improved resistance to thermal spalling. The different products developed during this investigation are considered to be very promising which find useful applications in view of the properties attained by them. (auth.)

  18. Bulk properties and near-critical behaviour of SiO2 fluid

    Science.gov (United States)

    Green, Eleanor C. R.; Artacho, Emilio; Connolly, James A. D.

    2018-06-01

    Rocky planets and satellites form through impact and accretion processes that often involve silicate fluids at extreme temperatures. First-principles molecular dynamics (FPMD) simulations have been used to investigate the bulk thermodynamic properties of SiO2 fluid at high temperatures (4000-6000 K) and low densities (500-2240 kg m-3), conditions which are relevant to protoplanetary disc condensation. Liquid SiO2 is highly networked at the upper end of this density range, but depolymerises with increasing temperature and volume, in a process characterised by the formation of oxygen-oxygen (Odbnd O) pairs. The onset of vaporisation is closely associated with the depolymerisation process, and is likely to be non-stoichiometric at high temperature, initiated via the exsolution of O2 molecules to leave a Si-enriched fluid. By 6000 K the simulated fluid is supercritical. A large anomaly in the constant-volume heat capacity occurs near the critical temperature. We present tabulated thermodynamic properties for silica fluid that reconcile observations from FPMD simulations with current knowledge of the SiO2 melting curve and experimental Hugoniot curves.

  19. High carrier mobility of CoPc wires based field-effect transistors using bi-layer gate dielectric

    Directory of Open Access Journals (Sweden)

    Murali Gedda

    2013-11-01

    Full Text Available Polyvinyl alcohol (PVA and anodized Al2O3 layers were used as bi-layer gate for the fabrication of cobalt phthalocyanine (CoPc wire base field-effect transistors (OFETs. CoPc wires were grown on SiO2 surfaces by organic vapor phase deposition method. These devices exhibit a field-effect carrier mobility (μEF value of 1.11 cm2/Vs. The high carrier mobility for CoPc molecules is attributed to the better capacitive coupling between the channel of CoPc wires and the gate through organic-inorganic dielectric layer. Our measurements also demonstrated the way to determine the thicknesses of the dielectric layers for a better process condition of OFETs.

  20. Measurement of the quantum capacitance from two-dimensional surface state of a topological insulator at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Hyunwoo, E-mail: chw0089@gmail.com [Department of Electrical and Computer Engineering, University of Seoul, Seoul 02504 (Korea, Republic of); Kim, Tae Geun, E-mail: tgkim1@korea.ac.kr [School of Electrical Engineering, Korea University, Seoul 02841 (Korea, Republic of); Shin, Changhwan, E-mail: cshin@uos.ac.kr [Department of Electrical and Computer Engineering, University of Seoul, Seoul 02504 (Korea, Republic of)

    2017-06-15

    Highlights: • The quantum capacitance in topological insulator (TI) at room temperature is directly revealed. • The physical origin of quantum capacitance, the two dimensional surface state of TI, is experimentally validated. • Theoretically calculated results of ideal quantum capacitance can well predict the experimental data. - Abstract: A topological insulator (TI) is a new kind of material that exhibits unique electronic properties owing to its topological surface state (TSS). Previous studies focused on the transport properties of the TSS, since it can be used as the active channel layer in metal-oxide-semiconductor field-effect transistors (MOSFETs). However, a TI with a negative quantum capacitance (QC) effect can be used in the gate stack of MOSFETs, thereby facilitating the creation of ultra-low power electronics. Therefore, it is important to study the physics behind the QC in TIs in the absence of any external magnetic field, at room temperature. We fabricated a simple capacitor structure using a TI (TI-capacitor: Au-TI-SiO{sub 2}-Si), which shows clear evidence of QC at room temperature. In the capacitance-voltage (C-V) measurement, the total capacitance of the TI-capacitor increases in the accumulation regime, since QC is the dominant capacitive component in the series capacitor model (i.e., C{sub T}{sup −1} = C{sub Q}{sup −1} + C{sub SiO2}{sup −1}). Based on the QC model of the two-dimensional electron systems, we quantitatively calculated the QC, and observed that the simulated C-V curve theoretically supports the conclusion that the QC of the TI-capacitor is originated from electron–electron interaction in the two-dimensional surface state of the TI.

  1. Microstructural dependence on relevant physical-mechanical properties on SiO2-Na2O-CaO-P2O5 biological glasses.

    Science.gov (United States)

    Rajendran, V; Begum, A Nishara; Azooz, M A; el Batal, F H

    2002-11-01

    Bioactive glasses of the system SiO2-Na2O-CaO-P2O5 have been prepared by the normal melting and annealing technique. The elastic moduli, attenuation, Vickers hardness, fracture toughness and fracture surface energy have been obtained using the known method at room temperature. The temperature dependence of elastic moduli and attenuation measurements have been extended over a wide range of temperature from 150 to 500 K. The SiO2 content dependence of velocities, attenuation, elastic moduli, and other parameters show an interesting observation at 45 wt% of SiO2 by exhibiting an anomalous behaviour. A linear relation is developed for Tg, which explores the influence of Na2O on SiO2-Na2O-CaO-P2O5 bioactive glasses. The measured hardness, fracture toughness and fracture surface energy show a linear relation with Young's modulus. It is also interesting to note that the observed results are functions of polymerisation and the number of non-bridging oxygens (NBO) prevailing in the network with change in SiO2 content. The temperature dependence of velocities, attenuation and elastic moduli show the existence of softening in the glass network structure as temperature increases.

  2. The effect of rare earth dopants on the structure, surface texture and photocatalytic properties of TiO2-SiO2 prepared by sol-gel method

    International Nuclear Information System (INIS)

    Mohamed, R.M.; Mkhalid, I.A.

    2010-01-01

    The sol-gel method was successfully used to prepare a series of TiO 2 -SiO 2 and rare earth (RE) (La 3+ , Nd 3+ , Sm 3+ , Gd 3+ )-doped TiO 2 -SiO 2 nanoparticles at a doping level of 3 atomic percent. The structural features of parent TiO 2 -SiO 2 and RE-TiO 2 -SiO 2 fired at 550 o C have been investigated by XRD, UV-diffuse reflection, SEM and nitrogen adsorption measurements at -196 o C. XRD data verified the formation of typical characteristic anatase form in all the prepared RE-doped TiO 2 -SiO 2 samples. In comparison with the pure TiO 2 -SiO 2 samples (ca. 35 nm in diameter), the RE-TiO 2 -SiO 2 samples have relatively small particle size indicating that the doping with RE metal ions can improve the particle morphology, and retard the grain growth of TiO 2 -SiO 2 during heat treatment. The results indicated that Gd 3+ doped TiO 2 -SiO 2 has the lowest bandgap and particle size compared with pure TiO 2 -SiO 2 and other nanoparticles of RE-doped TiO 2 -SiO 2 . The highest surface area (S BET ) and pore volume (V p ) values were recorded for Gd-TiO 2 -SiO 2 as well. The effect of doping on the photoactivity was evaluated by the photocatalytic degradation of EDTA as a probe reaction. Among all the pure and RE-doped TiO 2 -SiO 2 , Gd 3+ -TiO 2 -SiO 2 performed the highest catalytic activity towards the tested reaction. That might be due to its special characteristics of particle size, surface texture and bandgap properties. Details of the synthesis procedure and results of the characterization studies of the produced RE-TiO 2 -SiO 2 are presented in this paper.

  3. Efficient VEGF targeting delivery of DOX using Bevacizumab conjugated SiO2@LDH for anti-neuroblastoma therapy.

    Science.gov (United States)

    Zhu, Rongrong; Wang, Zhaoqi; Liang, Peng; He, Xiaolie; Zhuang, Xizhen; Huang, Ruiqi; Wang, Mei; Wang, Qigang; Qian, Yechang; Wang, Shilong

    2017-11-01

    Vascular endothelial growth factor (VEGF) plays an important role in angiogenesis and is highly expressed in carcinoma, which make it an important target for tumor targeting therapy. Neuroblastoma is the main cause for cancer-related death in children. Like most solid tumors, it is also accompanied with the overexpression of VEGF. Doxorubicin Hydrochloride (DOX), a typical chemotherapeutic agent, exhibits efficient anticancer activities for various cancers. However, DOX, without targeting ability, usually causes severe damage to normal tissues. To overcome the shortages, we designed a novel nano-composite, which is Bevacizumab (Bev) modified SiO 2 @LDH nanoparticles (SiO 2 @LDH-Bev), loading with DOX to achieve targeting ability and curative efficiency. SiO 2 @LDH-DOX and SiO 2 @LDH-Bev-DOX nanoparticles were synthesized and the physicochemical properties were characterized by TEM detection, Zeta potential analysis, FTIR, Raman and XPS analysis. Then in vitro and in vivo anti-neuroblastoma efficiency, targeting ability and mechanisms of anti-carcinoma and anti-angiogenesis of SiO 2 @LDH-Bev-DOX were explored. Our results indicated that we obtained the core-shell structure SiO 2 @LDH-Bev with an average diameter of 253±10nm and the amount of conjugated Bev was 4.59±0.38μg/mg SiO 2 @LDH-Bev. SiO 2 @LDH-Bev-DOX could improve the cellular uptake and the targeting effect of DOX to brain and tumor, enhance the anti-neuroblastoma and anti-angiogenesis efficiency both in vitro and in vivo, and alleviate side effects of DOX sharply, especially hepatic injury. In addition, we also demonstrated that angiogenesis inhibitory effect was mediated by DOX and VEGF triggered signal pathways, including PI3K/Akt, Raf/MEK/ERK, and adhesion related pathways. In summary, SiO 2 @LDH-Bev could be a potential VEGF targeting nanocarrier applied in VEGF positive cancer therapy. This paper explored that a novel core-shell structure nanomaterial SiO 2 @LDH and modified SiO 2 @LDH with

  4. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  5. Water droplet behavior on superhydrophobic SiO2 nanocomposite films during icing/deicing cycles

    NARCIS (Netherlands)

    Lazauskas, A.; Guobiene, A.; Prosycevas, I.; Baltrusaitis, V.; Grigaliunas, V.; Narmontas, P.; Baltrusaitis, Jonas

    2013-01-01

    This work investigates water droplet behavior on superhydrophobic (water contact angle value of 162 ± 1°) SiO2 nanocomposite films subjected to repetitive icing/deicing treatments, changes in SiO2 nanocomposite film surface morphology and their non-wetting characteristics. During the experiment,

  6. SiO2 Antireflection Coatings Fabricated by Electron-Beam Evaporation for Black Monocrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Minghua Li

    2014-01-01

    Full Text Available In this work we prepared double-layer antireflection coatings (DARC by using the SiO2/SiNx:H heterostructure design. SiO2 thin films were deposited by electron-beam evaporation on the conventional solar cell with SiNx:H single-layer antireflection coatings (SARC, while to avoid the coverage of SiO2 on the front side busbars, a steel mask was utilized as the shelter. The thickness of the SiNx:H as bottom layer was fixed at 80 nm, and the varied thicknesses of the SiO2 as top layer were 105 nm and 122 nm. The results show that the SiO2/SiNx:H DARC have a much lower reflectance and higher external quantum efficiency (EQE in short wavelengths compared with the SiNx:H SARC. A higher energy conversion efficiency of 17.80% was obtained for solar cells with SiO2 (105 nm/SiNx:H (80 nm DARC, an absolute conversion efficiency increase of 0.32% compared with the conventional single SiNx:H-coated cells.

  7. The improvement of SiO2 nanotubes electrochemical behavior by hydrogen atmosphere thermal treatment

    Science.gov (United States)

    Spataru, Nicolae; Anastasescu, Crina; Radu, Mihai Marian; Balint, Ioan; Negrila, Catalin; Spataru, Tanta; Fujishima, Akira

    2018-06-01

    Highly defected SiO2 nanotubes (SiO2-NT) were obtained by a simple sol-gel procedure followed by calcination. Boron-doped diamond (BDD) polycrystalline films coated with SiO2-NT were used as working electrodes and, unexpectedly, cyclic voltammetric experiments have shown that the concentration of both positive and negative defects at the surface is high enough to enable redox processes involving positively charged Ru(bpy)32+/3+ to occur. Conversely, no electrochemical activity was put into evidence for Fe(CN)63-/4- species, most likely as a result of the strong electrostatic repulsion exerted by the negatively charged SiO2 surface. The concentration of surface defects was further increased by a subsequent thermal treatment in a hydrogen atmosphere which, as EIS measurements have shown, significantly promotes Ru(bpy)32+ anodic oxidation. Digital simulation of the voltammetric responses demonstrated that this treatment does not lead to a similar increase of the number of electron-donor sites. It was also found that methanol anodic oxidation at hydrogenated SiO2-NT-supported platinum results in Tafel slopes of 116-220 mV decade-1, comparable to those reported for both conventional PtRu and Pt-oxide catalysts.

  8. Electron dynamics in films made of transition metal nanograins embedded in SiO[sub 2]: Infrared reflectivity and nanoplasma infrared resonance

    KAUST Repository

    Massa, Néstor E.

    2009-06-04

    We report on near normal infrared reflectivityspectra of ∼550 nm thick films made of cosputtered transition metal nanograins and SiO2 in a wide range of metal fractions. Co0.85(SiO2)0.15,with conductivity well above the percolation threshold has a frequency and temperature behavior according to what it is find in conductingmetal oxides. The electron scattering rate displays a unique relaxation time characteristic of single type of carriers experiencing strong electron-phonon interactions. Using small polaron fits we identify those phonons as glass vibrational modes. Ni0.61(SiO2)0.39, with a metal fraction closer to the percolation threshold, undergoes a metal-nonmetal transition at ∼77 K. Here, as it is suggested by the scattering rate nearly quadratic dependence, we broadly identify two relaxation times (two carrier contributions) associated to a Drude mode and a midinfrared overdamped band, respectively. Disorder induced, the midinfrared contribution drives the phase transition by thermal electron localization. Co0.51(SiO2)0.49 has the reflectivity of an insulator with a distinctive band at ∼1450 cm−1 originating in electron promotion, localization, and defect induced polaron formation. Angle dependent oblique reflectivity of globally insulating Co0.38(SiO2)0.62, Fe0.34(SiO2)0.66, and Ni0.28(SiO2)0.72, reveals a remarkable resonance at that band threshold. We understand this as due to the excitation by normal to the film electric fields of defect localized electrons in the metallic nanoparticles. At higher oblique angles, this localized nanoplasma couples to SiO2 longitudinal optical Berreman phonons resulting in band peak softening reminiscent to the phonon behavior undergoing strong electron-phonon interactions. Singular to a globally insulating phase, we believe that this resonance might be a useful tool for tracking metal-insulator phase transitions in inhomogeneous materials.

  9. Charge trapping/de-trapping in nitrided SiO2 dielectrics and its influence on device reliability

    Science.gov (United States)

    Kambour, Kenneth; Hjalmarson, Harold; Nguyen, Duc; Kouhestani, Camron; Devine, Roderick

    2012-02-01

    Field effect devices with insulator gate dielectrics are excellent test vehicles to probe the physics of defects and charge trapping in the insulator/ semiconductor structure. p-channel field effect device reliability under negative bias stressing has been identified to originate from at least two terms: a) charged defect generation at the Si substrate/SiOxNy interface and b) charge trapping at neutral defect pre-cursors in the ``bulk'' of the SiOxNy beyond the interface. Measurements of transistor characteristics enable extraction of the two terms. We report the results of such measurements and demonstrate that short time effects are associated primarily with electric field assisted tunneling of holes from the inversion layer to neutral traps. This is confirmed by bias stressing measurements at different frequencies in the range 1 Hz to 2 MHz. First principles modeling of the tunneling/trapping phenomena is presented. K.Kambour worked under contract FA9453-08-C-0245 with the Air Force Research Laboratory/RVSE. Sandia National Labs is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000.

  10. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Science.gov (United States)

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  11. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2018-05-01

    Full Text Available In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment to 54.6 cm2/V∙s (with CF4 plasma treatment, which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability.

  12. Design and Synthesis of Hierarchical SiO2@C/TiO2 Hollow Spheres for High-Performance Supercapacitors.

    Science.gov (United States)

    Zhang, Ying; Zhao, Yan; Cao, Shunsheng; Yin, Zhengliang; Cheng, Li; Wu, Limin

    2017-09-06

    TiO 2 has been widely investigated as an electrode material because of its long cycle life and good durability, but the relatively low theoretical capacity restricts its practical application. Herein, we design and synthesize novel hierarchical SiO 2 @C/TiO 2 (HSCT) hollow spheres via a template-directed method. These unique HSCT hollow spheres combine advantages from both TiO 2 such as cycle stability and SiO 2 with a high accessible area and ionic transport. In particular, the existence of a C layer is able to enhance the electrical conductivity. The SiO 2 layer with a porous structure can increase the ion diffusion channels and accelerate the ion transfer from the outer to the inner layers. The electrochemical measurements demonstrate that the HSCT-hollow-sphere-based electrode manifests a high specific capacitance of 1018 F g -1 at 1 A g -1 which is higher than those for hollow TiO 2 (113 F g -1 ) and SiO 2 /TiO 2 (252 F g -1 ) electrodes, and substantially higher than those of all the previously reported TiO 2 -based electrodes.

  13. Defect layer in SiO2-Sic interface proved by a slow positron beam

    International Nuclear Information System (INIS)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Miyashita, A.; Suzuki, R.; Ohdaira, T.

    2006-01-01

    The structure of the SiO 2 -4ph-SiC interface layer produced by dry oxidation has been studied by positron annihilation spectroscopy using slow positron beams. From Doppler broadening measurements, the interface layer was clearly distinguished from the SiO 2 and SiC layers and was observed to be defective. At the interface layer, a single long positron lifetime of 451 ps, which is close to the second lifetime in the SiO 2 layer, was obtained, thus suggesting that the structure of the interface layer resembles an amorphous SiO 2 network. A comparison was made between the obtained electron momentum distribution at the interface layer and the theoretical calculation. It was found that positrons annihilate with oxygen valence electrons. By annealing after the oxidation, the annihilation probability of the positrons with oxygen valence electrons and the number of interface traps decreased in the same temperature range, thus suggesting a correlation between interface traps and positron annihilation sites

  14. Tungsten trioxide as high-{kappa} gate dielectric for highly transparent and temperature-stable zinc-oxide-based thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Michael; Wenckstern, Holger von; Grundmann, Marius [Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Institut fuer Experimentelle Physik II, Linnestr. 5, 04103 Leipzig (Germany)

    2012-07-01

    We demonstrate metal-insulator-semiconductor field-effect transistors with high-{kappa}, room-temperature deposited, highly transparent tungsten trioxide (WO{sub 3}) as gate dielectric. The channel material consists of a zinc oxide (ZnO) thin-film. The transmittance and resistivity of WO{sub 3} films was tuned in order to obtain a highly transparent and insulating WO{sub 3} dielectric. The devices were processed by standard photolithography using lift-off technique. On top of the WO{sub 3} dielectric a highly transparent and conductive oxide consisting of ZnO: Al 3% wt. was deposited. The gate structure of the devices exhibits an average transmittance in the visible spectral range of 86%. The on/off-current ratio is larger than 10{sup 8} with off- and gate leakage-currents below 3 x 10{sup -8} A/cm{sup 2}. Due to the high relative permittivity of {epsilon}{sub r} {approx} 70, a gate voltage sweep of only 2 V is necessary to turn the transistor on and off with a minimum subthreshold swing of 80 mV/decade. The channel mobility of the transistors equals the Hall-effect mobility with a value of 5 cm{sup 2}/Vs. It is furthermore shown, that the devices are stable up to operating temperatures of at least 150 C.

  15. Synthesis of unidirectional structures of SiO2-Ag using Au nanoparticles as nucleation centers

    International Nuclear Information System (INIS)

    Villa S, G.; Mendoza A, D.; Gutierrez W, C.; Perez H, R.

    2008-01-01

    This paper reports a method to synthesize Ag unidirectional structures covered with SiO 2 by sol-gel technique using Au nanoparticles as nucleation centers of the unidirectional structures. In the first phase unidirectional structures of SiO 2 -Ag CI are obtained by sol-gel, using TEOS as a precursor of metallic structures (Ag) and the incorporation of Au nanoparticles as nucleation centers for growth of unidirectional structures. In the second stage, one-way systems are subjected to thermal treatment in H 2 atmosphere for obtain AG 0 particles through mechanisms that diffusion and coalescence of silver to form structures that have a thin cover of SiO 2 . Analysis by scanning electron microscopy, transmission and atomic force microscopy allowed to determine the chemical composition and microstructural properties of unidirectional systems SiO 2 -Ag. (Author)

  16. Positron annihilation spectroscopy of the interface between nanocrystalline Si and SiO2

    International Nuclear Information System (INIS)

    Pi, X.D.; Coleman, P.G.; Harding, R.; Davies, G.; Gwilliam, R.M.; Sealy, B.J.

    2003-01-01

    Positron annihilation spectroscopy has been employed to study changes in the interface region between nanocrystalline Si and SiO 2 , following annealing between 400 deg. C and 900 deg. C in nitrogen or oxygen. With the support of photoluminescence spectroscopy we find that nitrogen and oxygen are trapped in voids at the interface at low temperatures. At temperatures above 700 deg. C both nitrogen and oxygen react with Si nanocrystals, and the resulting volume increase introduces stress in the SiO 2 matrix which is relaxed by the shrinkage of its intrinsic open volume. Oxygen appears to enhance Si diffusion in SiO 2 so that the agglomeration of Si nanocrystals occurs more readily during annealing in oxygen than in nitrogen

  17. Electronic structure and insulating gap in epitaxial VO2 polymorphs

    Directory of Open Access Journals (Sweden)

    Shinbuhm Lee

    2015-12-01

    Full Text Available Determining the origin of the insulating gap in the monoclinic V O2(M1 is a long-standing issue. The difficulty of this study arises from the simultaneous occurrence of structural and electronic transitions upon thermal cycling. Here, we compare the electronic structure of the M1 phase with that of single crystalline insulating V O2(A and V O2(B thin films to better understand the insulating phase of VO2. As these A and B phases do not undergo a structural transition upon thermal cycling, we comparatively study the origin of the gap opening in the insulating VO2 phases. By x-ray absorption and optical spectroscopy, we find that the shift of unoccupied t2g orbitals away from the Fermi level is a common feature, which plays an important role for the insulating behavior in VO2 polymorphs. The distinct splitting of the half-filled t2g orbital is observed only in the M1 phase, widening the bandgap up to ∼0.6 eV. Our approach of comparing all three insulating VO2 phases provides insight into a better understanding of the electronic structure and the origin of the insulating gap in VO2.

  18. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2015-01-01

    Full Text Available We investigated amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using different high-k gate dielectric materials such as silicon nitride (Si3N4 and aluminum oxide (Al2O3 at low temperature process (<300°C and compared them with low temperature silicon dioxide (SiO2. The IGZO device with high-k gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, postannealing treatment is an essential process for completing the process. The chemical reaction of the high-k/IGZO interface due to heat formation in high-k/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-k gate dielectric materials and explained the interface effect by charge band diagram.

  19. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    International Nuclear Information System (INIS)

    Lin, Y. H.; Chou, J. C.

    2015-01-01

    We investigated amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT_s) using different high-Κ gate dielectric materials such as silicon nitride (Si_3N_4) and aluminum oxide (Al_2O_3) at low temperature process (<300 degree) and compared them with low temperature silicon dioxide (SiO_2). The IGZO device with high-Κ gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, post annealing treatment is an essential process for completing the process. The chemical reaction of the high-κ/IGZO interface due to heat formation in high-Κ/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-Κ gate dielectric materials and explained the interface effect by charge band diagram.

  20. Microstructural, thermal, physical and mechanical behavior of the self compacting concrete containing SiO2 nanoparticles

    International Nuclear Information System (INIS)

    Nazari, Ali; Riahi, Shadi

    2010-01-01

    Research highlights: → TiO 2 nanoparticles effects on flexural strength of self compacting concrete. → Physical and microstructural consideration. → Mechanical tests. → Thermal analysis. → Porosimetry. - Abstract: In the present study, flexural strength, thermal properties and microstructure of self compacting concrete with different amount of SiO 2 nanoparticles has been investigated. SiO 2 nanoparticles with the average particle size of 15 nm were partially added to self compacting concrete and various behaviors of the specimens have been measured. The results indicate that SiO 2 nanoparticles are able to improve the flexural strength of self compacting concrete and recover the negative effects of superplasticizer on flexural strength of the specimens. SiO 2 nanoparticle as a partial replacement of cement up to 4 wt% could accelerate C-S-H gel formation as a result of the increased crystalline Ca(OH) 2 amount at the early ages of hydration. The increased the SiO 2 nanoparticles' content more than 4 wt%, causes the reduced the flexural strength because of unsuitable dispersion of nanoparticles in the concrete matrix. Accelerated peak appearance in conduction calorimetry tests, more weight loss in thermogravimetric analysis and more rapid appearance of peaks related to hydrated products in X-ray diffraction results, all also indicate that SiO 2 nanoparticles up to 4 wt% could improve the mechanical and physical properties of the specimens. Finally, SiO 2 nanoparticles could improve the pore structure of concrete and shift the distributed pores to harmless and few-harm pores.

  1. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  2. Temperature stability of c-axis oriented LiNbO3/SiO2/Si thin film layered structures

    International Nuclear Information System (INIS)

    Tomar, Monika; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K.

    2001-01-01

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO 3 thin film layered structures on passivated silicon (SiO 2 /Si) substrate with and without a non-piezoelectric SiO 2 overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO 2 overlayer on LiNbO 3 film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K 2 =3.45% and a zero TCD can be obtained in the SiO 2 /LiNbO 3 /SiO 2 /Si structure with a 0.235λ thick LiNbO 3 layer sandwiched between 0.1λ thick SiO 2 layers. (author)

  3. Effects of Annealing Time on the Performance of OTFT on Glass with ZrO2 as Gate Dielectric

    Directory of Open Access Journals (Sweden)

    W. M. Tang

    2012-01-01

    Full Text Available Copper phthalocyanine-based organic thin-film transistors (OTFTs with zirconium oxide (ZrO2 as gate dielectric have been fabricated on glass substrates. The gate dielectric is annealed in N2 at different durations (5, 15, 40, and 60 min to investigate the effects of annealing time on the electrical properties of the OTFTs. Experimental results show that the longer the annealing time for the OTFT, the better the performance. Among the devices studied, OTFTs with gate dielectric annealed at 350°C in N2 for 60 min exhibit the best device performance. They have a small threshold voltage of −0.58 V, a low subthreshold slope of 0.8 V/decade, and a low off-state current of 0.73 nA. These characteristics demonstrate that the fabricated device is suitable for low-voltage and low-power operations. When compared with the TFT samples annealed for 5 min, the ones annealed for 60 min have 20% higher mobility and nearly two times smaller the subthreshold slope and off-state current. The extended annealing can effectively reduce the defects in the high-k film and produces a better insulator/organic interface. This results in lower amount of carrier scattering and larger CuPc grains for carrier transport.

  4. Crystallization behavior of (1 - x)Li2O.xNa2O.Al2O3.4SiO2 glasses

    International Nuclear Information System (INIS)

    Wang, Moo-Chin; Cheng, Chih-Wei; Chang, Kuo-Ming; Hsi, Chi-Shiung

    2010-01-01

    The crystallization behavior of the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses has been investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), electron diffraction (ED) and energy dispersive spectroscopy (EDS). The crystalline phase was composed of β-spodumene. The isothermal crystallization kinetics of β-spodumene from the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses has also been studied by a quantitative X-ray diffraction method. The activation energy of β-spodumene formation decreases from 359.2 to 317.8 kJ/mol when the Na 2 O content increases from 0 to 0.4 mol and it increases from 317.8 to 376.9 kJ/mol when the Na 2 O content increases from 0.4 to 0.6 mol. The surface nucleation and plate-like growth were dominant in the crystallization of the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses.

  5. Sol–gel hybrid membranes loaded with meso/macroporous SiO2, TiO2–P2O5 and SiO2–TiO2–P2O5 materials with high proton conductivity

    International Nuclear Information System (INIS)

    Castro, Yolanda; Mosa, Jadra; Aparicio, Mario; Pérez-Carrillo, Lourdes A.; Vílchez, Susana; Esquena, Jordi; Durán, Alicia

    2015-01-01

    In this work, highly conductive hybrid organic–inorganic membranes loaded with SiO 2 , TiO 2 –P 2 O 5 and SiO 2 –TiO 2 –P 2 O 5 meso/macroporous particles were prepared via a sol–gel process. Meso/macroporous particles were incorporated to hybrid membranes, for improving water retention and enhancing electrochemical performance. These particles with a polymodal pore size distribution were prepared by templating in highly concentrated emulsions, the particles showed a specific surface area between 50 m 2 /g (TiO 2 –P 2 O 5 ) and 300 m 2 /g (SiO 2 –TiO 2 –P 2 O 5 ). The particles were dispersed in a hybrid silica sol and further sprayed onto glass paper. The films were polymerized and sintered; those loaded with meso/macroporous particles had a homogenous distribution. High temperature proton conductivity measurements confirmed a high water retention. Conductivity of these materials is higher than that of Nafion ® at higher temperatures (120 °C) (2·10 −2  S/cm). This study provides processing guideline to achieve hybrid electrolytes for efficient conduction of protons due to their high surface area and porous structure. - Highlights: • Hybrid electrolyte with meso/macroporous particles were synthesized by sol–gel. • Depositions of hybrid solutions by spraying onto glass substrates were performed. • Proton conductivity was evaluated as a function of composition and porous structure

  6. Synthesis of Li2SiO3 at low temperature

    International Nuclear Information System (INIS)

    Mondragon G, G.

    2007-01-01

    The main objective of this work is to develop a new synthesis method to obtain one of the more studied ceramics in this field Li 2 SiO 3 ) in a simple and economic way using different solutions (urea and ammonium hydroxide). The particular objectives are first to prepare the Li 2 SiO 3 ceramic, by means of the use of the reaction conventional technique in solid state at temperatures between 800 and 900 C to compare it with the one proposed in this work and this way to observe the advantages that it would gives us the new method. Later on, the same one was synthesized lithium ceramic (Li 2 SiO 3 ) by means of the new method at low temperature (between 80 and 90 C), using silicic acid and lithium hydroxide like precursory reagents and different solutions (urea and ammonium hydroxide) for the optimization in their synthesis. Finally, it was carried out the characterization of these materials by means of X-ray diffraction (XRD), electronic microscopes (SEM and TEM), nitrogen physisorption (method BET) and thermal gravimetric analysis (TGA) to observe the differences that exist among the conventional method and the proposed method and by this way to determine the advantages of the last method. (Author)

  7. Fabrication of graphene oxide decorated with Fe3O4@SiO2 for immobilization of cellulase

    Science.gov (United States)

    Li, Yue; Wang, Xiang-Yu; Jiang, Xiao-Ping; Ye, Jing-Jing; Zhang, Ye-Wang; Zhang, Xiao-Yun

    2015-01-01

    Fe3O4@SiO2-graphene oxide (GO) composites were successfully fabricated by chemical binding of functional Fe3O4@SiO2 and GO and applied to immobilization of cellulase via covalent attachment. The prepared composites were further characterized by transmission electron microscopy and Fourier transform infrared spectroscopy. Fe3O4 nanoparticles (NPs) were monodisperse spheres with a mean diameter of 17 ± 0.2 nm. The thickness of SiO2 layer was calculated as being 6.5 ± 0.2 nm. The size of Fe3O4@SiO2 NPs was 24 ± 0.3 nm, similar to that of Fe3O4@SiO2-NH2. Fe3O4@SiO2-GO composites were synthesized by linking of Fe3O4@SiO2-NH2 NPs to GO with the catalysis of EDC and NHS. The prepared composites were used for immobilization of cellulase. A high immobilization yield and efficiency of above 90 % were obtained after the optimization. The half-life of immobilized cellulase (722 min) was 3.34-fold higher than that of free enzyme (216 min) at 50 °C. Compared with the free cellulase, the optimal temperature of the immobilized enzyme was not changed; but the optimal pH was shifted from 5.0 to 4.0, and the thermal stability was enhanced. The immobilized cellulase could be easily separated and reused under magnetic field. These results strongly indicate that the cellulase immobilized onto the Fe3O4@SiO2-GO composite has potential applications in the production of bioethanol.

  8. Spin-dependent Goos–Hänchen shift and spin beam splitter in gate-controllable ferromagnetic graphene

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Y. [School of Physics and Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Liu, Y., E-mail: stslyl@mail.sysu.edu.cn [School of Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Wang, B., E-mail: wangbiao@mail.sysu.edu.cn [School of Physics and Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2014-03-15

    The transmission and Goos–Hänchen (GH) shift for charge carriers in gate-controllable ferromagnetic graphene induced by ferromagnetic insulator are investigated theoretically. Numerical results demonstrate that spin-up and spin-down electrons exhibit remarkably different transmission and GH shifts. The spin-dependent GH shifts directly demonstrate the spin beam splitting effect, which can be controlled by the voltage of gate. We attribute the spin beam splitting effect to the combination of tunneling through potential barrier and Zeeman interaction from the magnetic field and the exchange proximity interaction between the ferromagnetic insulator and graphene. In view of the spin beam splitting effect and the spin-dependent GH shifts, the gate-controllable ferromagnetic graphene might be utilized to design spin beam splitter.

  9. Spin-dependent Goos–Hänchen shift and spin beam splitter in gate-controllable ferromagnetic graphene

    International Nuclear Information System (INIS)

    Wang, Y.; Liu, Y.; Wang, B.

    2014-01-01

    The transmission and Goos–Hänchen (GH) shift for charge carriers in gate-controllable ferromagnetic graphene induced by ferromagnetic insulator are investigated theoretically. Numerical results demonstrate that spin-up and spin-down electrons exhibit remarkably different transmission and GH shifts. The spin-dependent GH shifts directly demonstrate the spin beam splitting effect, which can be controlled by the voltage of gate. We attribute the spin beam splitting effect to the combination of tunneling through potential barrier and Zeeman interaction from the magnetic field and the exchange proximity interaction between the ferromagnetic insulator and graphene. In view of the spin beam splitting effect and the spin-dependent GH shifts, the gate-controllable ferromagnetic graphene might be utilized to design spin beam splitter

  10. Surface conduction of topological Dirac electrons in bulk insulating Bi2Se3

    Science.gov (United States)

    Fuhrer, Michael

    2013-03-01

    The three dimensional strong topological insulator (STI) is a new phase of electronic matter which is distinct from ordinary insulators in that it supports on its surface a conducting two-dimensional surface state whose existence is guaranteed by topology. I will discuss experiments on the STI material Bi2Se3, which has a bulk bandgap of 300 meV, much greater than room temperature, and a single topological surface state with a massless Dirac dispersion. Field effect transistors consisting of thin (3-20 nm) Bi2Se3 are fabricated from mechanically exfoliated from single crystals, and electrochemical and/or chemical gating methods are used to move the Fermi energy into the bulk bandgap, revealing the ambipolar gapless nature of transport in the Bi2Se3 surface states. The minimum conductivity of the topological surface state is understood within the self-consistent theory of Dirac electrons in the presence of charged impurities. The intrinsic finite-temperature resistivity of the topological surface state due to electron-acoustic phonon scattering is measured to be ~60 times larger than that of graphene largely due to the smaller Fermi and sound velocities in Bi2Se3, which will have implications for topological electronic devices operating at room temperature. As samples are made thinner, coherent coupling of the top and bottom topological surfaces is observed through the magnitude of the weak anti-localization correction to the conductivity, and, in the thinnest Bi2Se3 samples (~ 3 nm), in thermally-activated conductivity reflecting the opening of a bandgap.

  11. Undoped TiO2 particles as photoactive material for integrated metal-semiconductor structures

    International Nuclear Information System (INIS)

    Molina, Joel; Calleja, Wilfrido; Hernández, Luis; Zúñiga, Carlos; Linares, Monico; Wade, F. Javier

    2015-01-01

    Rutile-phase undoped TiO 2 nanoparticles are embedded within an organic SiO 2 matrix and the final dielectric mixture is then deposited by spinning on a thin film of aluminum (previously deposited on glass covers by e-beam evaporation). This so called “horizontal” TiO 2 -SiO 2 /Al/Glass structure is then electrically characterized under dark and light conditions (I-V-light) so that the total resistance of a simple aluminum stripe is measured and correlated before and after UV-Vis irradiation. Compared to dark conditions, excess carriers are photogenerated within the TiO 2 nanoparticles during light exposure and they are directly transferred to both ends of the aluminum stripe after applying a low potential difference (photoresistor). On the other hand, “vertical” structures using ultra-thin titanium films as a gate electrode produce a capacitor in the form of a Metal-Insulator-Metal (MIM) structure. Because of the ultra-thin titanium layer, this gate electrode is highly transparent to all UV-Vis irradiation so that when all carriers are being photogenerated, a vertical transition of these carriers between top/bottom (Ti/Al) electrodes by an applied external electric field would require a shorter distance thus increasing their lifetime before recombination as compared to the horizontal structures. These vertical structures are able to photogenerate carriers more efficiently and they are similar in function to that of a so-called photocapacitor, where all carriers could be efficiently stored within the dielectric itself right after photogeneration. Therefore, a light-driven self-charging capacitor having an efficient storage mechanism of solar energy could be obtained. (full text)

  12. Scaling the Serialization of MOSFETs by Magnetically Coupling Their Gate Electrodes

    DEFF Research Database (Denmark)

    Dimopoulos, Emmanouil; Munk-Nielsen, Stig

    2013-01-01

    More than twenty years of thorough research on the serialization of power semiconductor switches, like the Metal-Oxide-Semiconductor Field Effect Transistor (MOSFET) or the Insulated Gate Bipolar Transistor (IGBT), have resulted into several different stacking concepts; all aiming towards...... the establishment of a high-efficient, high-voltage, fast-switching device. Among the prevailing stacking approaches lies the gate balancing core technique, which, in its initial form, demonstrated very good performance in strings of high-power IGBT modules, by magnetically coupling their gate electrodes. Recently...

  13. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  14. Investigation of TL, OSL and PTTL properties of Mg2SiO4:Tb dosimeters

    International Nuclear Information System (INIS)

    Oguz, K. F.; Goekce, M.; Karali, T.; Harmansah, C.

    2010-01-01

    In this study thermoluminescence (TL) and optically stimulated luminescence (OSL) properties of Mg 2 SiO 4 :Tb in the form of sintered pellets were investigated. Mg 2 SiO 4 :Tb is a recently developed dosimetric material which offers high sensitivity for TL and OSL in dosimetric applications. Thermoluminescence glow curve of Tb doped Mg 2 SiO 4 samples show a glow peak at about 200 degree Celsius with two small peaks at about 275 and 330 degree Celsius, respectively. OSL experiments showed that blue light (470 nm) is six times more efficient than green light (532 nm) to stimulate the OSL emission. The aim of this study was to determine the TL and OSL fading properties of Mg 2 SiO 4 : Tb using OSL and TL methods. In addition, PTTL properties of the Mg 2 SiO 4 : Tb was investigated by using blue LEDs, UV lamp and blue laser. Investigations on the fading properties also showed that the TL signal fades % 10 in a period of 1 month and OSL signal fades % 10 in a period of 3 month, which then the signal remains relatively stable for longer periods.

  15. Matrix solid-phase dispersion extraction of organophosphorus pesticide using SiO2-poly(N-vinylimidazole)

    International Nuclear Information System (INIS)

    Gutiérrez-Solís, M C; Muñoz-Rodríguez, D; Carrera-Figueiras, C; Ávila-Ortega, A; Medina-Peralta, S

    2013-01-01

    A sorbent material based on silica particles modified with poly(N-vinylimidazole) (SiO 2 -PVI) has been evaluated for the treatment of samples by matrix solid-phase dispersion (MSPD). The extraction of four organophosphorus pesticides was done from a spiked tomato and the extracts were analyzed by gas chromatography coupled to mass spectrometry. Six elution solvents were evaluated and acetone was selected due to better recovery of the four pesticides and low background signal in the chromatograms. A factorial design 2 4 was used for selection of extraction conditions. The factors were contact time, acetone volume, treatment (with or without freeze-drying) and adsorbent (SiO 2 or SiO 2 -PVI). The best recoveries were obtained using 15 minutes of contact, 2 mL of solvent and sorbent without freeze-drying. The recoveries were between 60 and 83% for SiO 2 -PVI in spiked tomato with 0.2 and 0.8μg/g.

  16. Preparation and characterization of silane-modified SiO2 particles reinforced resin composites with fluorinated acrylate polymer.

    Science.gov (United States)

    Liu, Xue; Wang, Zengyao; Zhao, Chengji; Bu, Wenhuan; Na, Hui

    2018-04-01

    A series of fluorinated dental resin composites were prepared with two kinds of SiO 2 particles. Bis-GMA (bisphenol A-glycerolate dimethacrylate)/4-TF-PQEA (fluorinated acrylate monomer)/TEGDMA (triethylene glycol dimethacrylate) (40/30/30, wt/wt/wt) was introduced as resin matrix. SiO 2 nanopartices (30nm) and SiO 2 microparticles (0.3µm) were silanized with 3-methacryloxypropyl trimethoxysilane (γ-MPS) and used as fillers. After mixing the resin matrix with 0%, 10%, 20%, 30% SiO 2 nanopartices and 0%, 10%, 20%, 30%, 40%, 50% SiO 2 microparticles, respectively, the fluorinated resin composites were obtained. Properties including double bond conversion (DC), polymerization shrinkage (PS), water sorption (W p ), water solubility (W y ), mechanical properties and cytotoxicity were investigated in comparison with those of neat resin system. The results showed that, filler particles could improve the overall performance of resin composites, particularly in improving mechanical properties and reducing PS of composites along with the addition of filler loading. Compared to resin composites containing SiO 2 microparticles, SiO 2 nanoparticles resin composites had higher DC, higher mechanical properties, lower PS and lower W p under the same filler content. Especially, 50% SiO 2 microparticles reinforced resins exhibited the best flexural strength (104.04 ± 7.40MPa), flexural modulus (5.62 ± 0.16GPa), vickers microhardness (37.34 ± 1.13 HV), compressive strength (301.54 ± 5.66MPa) and the lowest polymerization (3.42 ± 0.22%). Copyright © 2018 Elsevier Ltd. All rights reserved.

  17. Investigation of terbium scandate as an alternative gate dielectric in fully depleted transistors

    Science.gov (United States)

    Roeckerath, M.; Lopes, J. M. J.; Özben, E. Durǧun; Urban, C.; Schubert, J.; Mantl, S.; Jia, Y.; Schlom, D. G.

    2010-01-01

    Terbium scandate thin films were deposited by e-gun evaporation on (100) silicon substrates. Rutherford backscattering spectrometry and x-ray diffraction studies revealed homogeneous chemical compositions of the films. A dielectric constant of 26 and CV-curves with small hystereses were measured as well as low leakage current densities of <1 nA/cm2. Fully depleted n-type field-effect transistors on thin silicon-on-insulator substrates with terbium scandate gate dielectrics were fabricated with a gate-last process. The devices show inverse subthreshold slopes of 80 mV/dec and a carrier mobility for electrons of 225 cm2/V•s was extracted.

  18. Structural characterization and optical properties of Eu"2"+ and Dy"2"+ doped Sr_2SiO_4 phosphor by solid state reaction method

    International Nuclear Information System (INIS)

    Verma, Durga; Verma, Mohan L.; Upma; Patel, R.P.

    2016-01-01

    Thermoluminescence, SEM, FTIR Divalent dysprosium and europium doped strontium silicate (Sr_2SiO_4) phosphors were synthesized with the high-temperature solid-state reaction technique. The obtained phosphor was well characterized by powder X-ray diffraction, scanning electron microscopy, FTIR, UV-visible spectroscopy and thermoluminescence. The crystal structure of the prepared phosphor has an orthorhombic structure with space group Pnma. From scanning electron microscopy (SEM), agglomerations of particles were observed due to the high temperature synthesis process. The chemical composition of the sintered Sr_2SiO_4:Dy"2"+ and Sr_2SiO_4: Eu"2"+ phosphor was confirmed by energy dispersive X-ray spectroscopy (EDX). The UV-VIS analysis can be thought as a good quality check for the optical behavior of materials. The Fourier transmission infrared spectroscopy (FTIR) confirms the present elements in phosphor. Thermoluminescence study was carried out for the phosphor with UV irradiation show one glow peak. The trapping parameters associated with the prominent glow peak of Sr_2SiO_4:Dy"2"+ and Sr_2SiO_4:Eu"2"+ are calculated using Chen's glow curve method. The release of holes/electrons from defect centers at the characteristic trap site initiates the luminescence process in this material. (author)

  19. Investigation of luminescence properties in SiO2: Tb, Yb upconversion inverse opal

    International Nuclear Information System (INIS)

    Yang Zhengwen; Yan Dong; Song Zhiguo; Zhou Dacheng; Yu Xue; Yang Yong; Yin Zhaoyi; Yan Lei; Wang Rongfei; Wu Hangjun; Qiu Jianbei

    2012-01-01

    The SiO 2 : Tb, Yb inverse opals with photonic band gap at 465 or 543 nm were prepared, and an effect of photonic band gap on upconversion spontaneous emission from Tb 3+ was investigated. The results show that the photonic band gap has a significant influence on the upconversion emission of the SiO 2 : Tb, Yb inverse opals. The upconversion luminescence of the Tb 3+ ions is suppressed in the inverse opal compared with the luminescence of that of the reference sample. - Highlights: ► Upconversion emission from Tb 3+ was observed in the SiO 2 : Tb, Yb inverse opal. ► UC emission of Tb 3+ was modulated by controlling the structure of inverse opal. ► UC emission of Tb 3+ was depressed in the inverse opal.

  20. A microbiological evaluation of SiO2-coated textiles in hospital interiors

    DEFF Research Database (Denmark)

    Mogensen, Jeppe; Jørgensen, Poul-Erik; Thomsen, Trine Rolighed

    2016-01-01

    . From this perspective, the purpose of this paper is therefore to address focus on alternative passive coatings that without actively killing the bacteria provide a hydrophobic and easy-to-clean textile surface. The paper relates to an in-situ study evaluating the effect and cleaning potential of SiO2...... contact plates through a three-week period. By determining the level of contamination on these surfaces, the study illustrates that the SiO2-coated textile is possible to clean to an acceptable level below the critical limit value of 2,5 Colony Forming Units (CFU) per cm2. In comparison, the traditional...

  1. Viscosity of SiO2-"FeO"-Al2O3 System in Equilibrium with Metallic Fe

    Science.gov (United States)

    Chen, Mao; Raghunath, Sreekanth; Zhao, Baojun

    2013-08-01

    The present study delivered the measurements of viscosities in SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe. The rotational spindle technique was used in the measurements at the temperature range of 1473 K to 1773 K (1200 °C to 1500 °C). Molybdenum crucibles and spindles were employed in all measurements. The Fe saturation condition was maintained by an iron plate placed at the bottom of the crucible. The equilibrium compositions of the slags were measured by EPMA after the viscosity measurements. The effect of up to 20 mol. pct Al2O3 on the viscosity of the SiO2-"FeO" slag was investigated. The "charge compensation effect" of the Al2O3 and FeO association has been discussed. The modified quasi-chemical viscosity model has been optimized in the SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe to describe the viscosity measurements of the present study.

  2. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  3. A new cataluminescence gas sensor based on SiO2 nanotubes fabricated using carbon nanotube templates.

    Science.gov (United States)

    Wang, Yali; Cao, Xiaoan; Li, Jinwen; Chen, Nan

    2011-05-15

    In the present work, two morphologies of SiO(2) nanomaterials (SiO(2) nanotubes and nanoparticles) have been successfully synthesized in supercritical fluids (SCFs). The cataluminescence (CTL) features of the two SiO(2) nanomaterials to some common harmful gases were compared, and the results showed that SiO(2) nanotubes had better CTL sensing characteristic to some common harmful gases. The SiO(2) nanotubes not only had uniform size and shape with a high specific surface area, but also exhibited superior sensitivity and selectivity to ethyl acetate vapor. Using the SiO(2) nanotubes as sensing material, a CTL sensor for ethyl acetate vapor was developed. The proposed sensor showed high sensitivity and specificity to ethyl acetate at optimal temperature of 293°C, a wavelength of 425 nm and a flow rate of 345 mL/min. With a detection limit of 0.85 ppm, the linear range of CTL intensity versus concentrations of ethyl acetate vapor was 2.0-2000 ppm. None or only very low levels of interference were observed while the foreign substances such as acetone, acetaldehyde, acetic acid, formaldehyde, ammonia, ethanol, benzene and methanol were passing through the sensor. This method allows rapid determination of gaseous ethyl acetate at workshop. Copyright © 2011 Elsevier B.V. All rights reserved.

  4. Design Of A Bi-Functional α-Fe2O3/Zn2SiO4:Mn2+ By Layer-By-Layer Assembly Method

    Directory of Open Access Journals (Sweden)

    Yu Ri

    2015-06-01

    Full Text Available This work describes the design of bi-functional α-Fe2O3/Zn2SiO4:Mn2+ using a two-step coating process. We propose a combination of pigments (α-Fe2O3 and phosphor (Zn2SiO4:Mn2+ glaze which is assembled using a layer-by-layer method. A silica-coated α-Fe2O3 pigment was obtained by a sol-gel method and a Zn2+ precursor was then added to the silica-coated α-Fe2O3 to create a ZnO layer. Finally, the Zn2SiO4:Mn2+ layer was prepared with the addition of Mn2+ ions to serve as a phosphor precursor in the multi-coated α-Fe2O3, followed by annealing at a temperature above 1000°C. Details of the phase structure, color and optical properties of the multi-functional α-Fe2O3/Zn2SiO4:Mn2+ were characterized by transmission electron microscopy and X-ray diffraction analyses.

  5. Fabrication of graphene oxide decorated with Fe3O4@SiO2 for immobilization of cellulase

    International Nuclear Information System (INIS)

    Li, Yue; Wang, Xiang-Yu; Jiang, Xiao-Ping; Ye, Jing-Jing; Zhang, Ye-Wang; Zhang, Xiao-Yun

    2015-01-01

    Fe 3 O 4 @SiO 2 –graphene oxide (GO) composites were successfully fabricated by chemical binding of functional Fe 3 O 4 @SiO 2 and GO and applied to immobilization of cellulase via covalent attachment. The prepared composites were further characterized by transmission electron microscopy and Fourier transform infrared spectroscopy. Fe 3 O 4 nanoparticles (NPs) were monodisperse spheres with a mean diameter of 17 ± 0.2 nm. The thickness of SiO 2 layer was calculated as being 6.5 ± 0.2 nm. The size of Fe 3 O 4 @SiO 2 NPs was 24 ± 0.3 nm, similar to that of Fe 3 O 4 @SiO 2 –NH 2 . Fe 3 O 4 @SiO 2 –GO composites were synthesized by linking of Fe 3 O 4 @SiO 2 –NH 2 NPs to GO with the catalysis of EDC and NHS. The prepared composites were used for immobilization of cellulase. A high immobilization yield and efficiency of above 90 % were obtained after the optimization. The half-life of immobilized cellulase (722 min) was 3.34-fold higher than that of free enzyme (216 min) at 50 °C. Compared with the free cellulase, the optimal temperature of the immobilized enzyme was not changed; but the optimal pH was shifted from 5.0 to 4.0, and the thermal stability was enhanced. The immobilized cellulase could be easily separated and reused under magnetic field. These results strongly indicate that the cellulase immobilized onto the Fe 3 O 4 @SiO 2 –GO composite has potential applications in the production of bioethanol

  6. Excimer laser crystallization of InGaZnO4 on SiO2 substrate

    NARCIS (Netherlands)

    Chen, T.; Wu, M.Y.; Ishihara, R.; Nomura, K.; Kamiya, T.; Hosono, H.; Beenakker, C.I.M.

    2011-01-01

    In this paper, we were able to crystallize InGaZnO4 (IGZO) by excimer laser on SiO2 substrate. It was observed that uniform [0001] textured polycrystalline IGZO film has been obtained without any grain boundaries and oxygen vacancies on SiO2 substrate. This process is very promising in fabricating

  7. 16 CFR 460.2 - What is home insulation.

    Science.gov (United States)

    2010-01-01

    ... 16 Commercial Practices 1 2010-01-01 2010-01-01 false What is home insulation. 460.2 Section 460.2 Commercial Practices FEDERAL TRADE COMMISSION TRADE REGULATION RULES LABELING AND ADVERTISING OF HOME..., semirigid, flexible, or loose-fill form. Home insulation is for use in old or new homes, condominiums...

  8. The application of Cu/SiO2 catalytic system in chemical mechanical planarization based on the stability of SiO2 sol

    International Nuclear Information System (INIS)

    Li Yan; Liu Yuling; Wang Aochen; Yang Zhixin; Sun Mingbin; Cheng Chuan; Zhang Yufeng; Zhang Nannan

    2014-01-01

    There is a lot of hydroxyl on the surface of nano SiO 2 sol used as an abrasive in the chemical mechanical planarization (CMP) process, and the chemical reaction activity of the hydroxyl is very strong due to the nano effect. In addition to providing a mechanical polishing effect, SiO 2 sol is also directly involved in the chemical reaction. The stability of SiO 2 sol was characterized through particle size distribution, zeta potential, viscosity, surface charge and other parameters in order to ensure that the chemical reaction rate in the CMP process, and the surface state of the copper film after CMP was not affected by the SiO 2 sol. Polarization curves and corrosion potential of different concentrations of SiO 2 sol showed that trace SiO 2 sol can effectively weaken the passivation film thickness. In other words, SiO 2 sol accelerated the decomposition rate of passive film. It was confirmed that the SiO 2 sol as reactant had been involved in the CMP process of copper film as reactant by the effect of trace SiO 2 sol on the removal rate of copper film in the CMP process under different conditions. In the CMP process, a small amount of SiO 2 sol can drastically alter the chemical reaction rate of the copper film, therefore, the possibility that Cu/SiO 2 as a catalytic system catalytically accelerated the chemical reaction in the CMP process was proposed. According to the van't Hoff isotherm formula and the characteristics of a catalyst which only changes the chemical reaction rate with out changing the total reaction standard Gibbs free energy, factors affecting the Cu/SiO 2 catalytic reaction were derived from the decomposition rate of Cu (OH) 2 and the pH value of the system, and then it was concluded that the CuSiO 3 as intermediates of Cu/SiO 2 catalytic reaction accelerated the chemical reaction rate in the CMP process. It was confirmed that the Cu/SiO 2 catalytic system generated the intermediate of the catalytic reaction (CuSiO 3 ) in the CMP process

  9. Poole Frenkel current and Schottky emission in SiN gate dielectric in AlGaN/GaN metal insulator semiconductor heterostructure field effect transistors

    Science.gov (United States)

    Hanna, Mina J.; Zhao, Han; Lee, Jack C.

    2012-10-01

    We analyze the anomalous I-V behavior in SiN prepared by plasma enhanced chemical vapor deposition for use as a gate insulator in AlGaN/GaN metal insulator semiconductor heterostructure filed effect transistors (HFETs). We observe leakage current across the dielectric with opposite polarity with respect to the applied electric field once the voltage sweep reaches a level below a determined threshold. This is observed as the absolute minimum of the leakage current does not occur at minimum voltage level (0 V) but occurs earlier in the sweep interval. Curve-fitting analysis suggests that the charge-transport mechanism in this region is Poole-Frenkel current, followed by Schottky emission due to band bending. Despite the current anomaly, the sample devices have shown a notable reduction of leakage current of over 2 to 6 order of magnitudes compared to the standard Schottky HFET. We show that higher pressures and higher silane concentrations produce better films manifesting less trapping. This conforms to our results that we reported in earlier publications. We found that higher chamber pressure achieves higher sheet carrier concentration that was found to be strongly dependent on the trapped space charge at the SiN/GaN interface. This would suggest that a lower chamber pressure induces more trap states into the SiN/GaN interface.

  10. Thickness measurement of SiO2 films thinner than 1 nm by X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Joong Kim, Kyung; Park, Ki Tae; Lee, Jong Wan

    2006-01-01

    The thickness measurement of ultra-thin SiO 2 films thinner than 1 nm was studied by X-ray photoelectron spectroscopy (XPS). Amorphous SiO 2 thin films were grown on amorphous Si films to avoid the thickness difference due to the crystalline structure of a substrate. SiO 2 thin films were grown by ion beam sputter deposition under oxygen gas flow and the thickness was measured by in situ XPS. The attenuation length was determined experimentally by a SiO 2 film with a known thickness. The straight line fit between the measured thickness using XPS and the nominal thickness showed a good linear relation with a gradient of 0.969 and a small offset of 0.126 nm. The gradient measured at the range of 3.4-0.28 nm was very close to that measured at sub-nanometer range of 1.13-0.28 nm. This result means that the reliable measurement of SiO 2 film thickness below 1 nm is possible by XPS

  11. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    Directory of Open Access Journals (Sweden)

    Jung-Hoon Yu

    2016-07-01

    Full Text Available This paper presents the preparation of high-quality vanadium dioxide (VO2 thermochromic thin films with enhanced visible transmittance (Tvis via radio frequency (RF sputtering and plasma enhanced chemical vapor deposition (PECVD. VO2 thin films with high Tvis and excellent optical switching efficiency (Eos were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58% compared with the pristine samples (λ 650 nm, 43%. This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications.

  12. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo; Yoo, Tae Jin; Kim, Jin Tae; Pak, Yusin; Kumaresan, Yogeenth; Kim, Hyeonghun; Kim, Woochul; Lee, Byoung Hun; Jung, Gun Young

    2018-01-01

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates

  13. The memory effect of a pentacene field-effect transistor with a polarizable gate dielectric

    Science.gov (United States)

    Unni, K. N. N.; de Bettignies, Remi; Dabos-Seignon, Sylvie; Nunzi, Jean-Michel

    2004-06-01

    The nonvolatile transistor memory element is an interesting topic in organic electronics. In this case a memory cell consists of only one device where the stored information is written as a gate insulator polarization by a gate voltage pulse and read by the channel conductance control with channel voltage pulse without destruction of the stored information. Therefore such transistor could be the base of non-volatile non-destructively readable computer memory of extremely high density. Also devices with polarizable gate dielectrics can function more effectively in certain circuits. The effective threshold voltage Vt can be brought very close to zero, for applications where the available gate voltage is limited. Resonant and adaptive circuits can be tuned insitu by polarizing the gates. Poly(vinylidene fluoride), PVDF and its copolymer with trifluoroethylene P(VDF-TrFE) are among the best known and most widely used ferroelectric polymers. In this manuscript, we report new results of an organic FET, fabricated with pentacene as the active material and P(VDF-TrFE) as the gate insulator. Application of a writing voltage of -50 V for short duration results in significant change in the threshold voltage and remarkable increase in the drain current. The memory effect is retained over a period of 20 hours.

  14. Sol-gel synthesis of TiO2-SiO2 photocatalyst for β-naphthol photodegradation

    International Nuclear Information System (INIS)

    Qourzal, S.; Barka, N.; Tamimi, M.; Assabbane, A.; Nounah, A.; Ihlal, A.; Ait-Ichou, Y.

    2009-01-01

    Silica gel supported titanium dioxide particles (TiO 2 -SiO 2 ) prepared by sol-gel method was as photocatalyst in the degradation of β-naphthol in water under UV-illumination. The prepared sample has been characterized by powder X-ray diffraction (XRD), infrared spectroscopy (IR) and scanning electron microscopy (SEM). The supported catalyst had large surface area and good sedimentation ability. The photodegradation rate of β-naphthol under UV-irradiation depended strongly on adsorption capacity of the catalyst, and the photoactivity of the supported catalyst was much higher than that of the pure titanium dioxides. The experiments were measured by high performance liquid chromatography (HPLC). The photodegradation rate of β-naphthol using 60% TiO 2 -SiO 2 particles was faster than that using TiO 2 'Degussa P-25', TiO 2 'PC-50' and TiO 2 'Aldrich' as photocatalyst by 2.7, 4 and 7.8 times, respectively. The kinetics of photocatalytic β-naphthol degradation was found to follow a pseudo-first-order rate law. The effect of the TiO 2 loading on the photoactivity of TiO 2 -SiO 2 particles was also discussed. With good photocatalytic activity under UV-irradiation and the ability to be readily separated from the reaction system, this novel kind of catalyst exhibited the potential effective in the treatment of organic pollutants in aqueous systems.

  15. High-Performance Ink-Synthesized Cu-Gate Thin-Film Transistor with Diffusion Barrier Formation

    Science.gov (United States)

    Woo, Whang Je; Nam, Taewook; Oh, Il-Kwon; Maeng, Wanjoo; Kim, Hyungjun

    2018-05-01

    The improved electrical properties of Cu-gate thin-film transistors (TFTs) using an ink-synthesizing process were studied; this technology enables a low-cost and large area process for the display industry. We investigated the film properties and the effects of the ink-synthesized Cu layer in detail with respect to device characteristics. The mobility and reliability of the devices were significantly improved by applying a diffusion barrier at the interface between the Cu gate and the gate insulator. By using a TaN diffusion barrier layer, considerably improved and stabilized ink-Cu gated TFTs could be realized, comparable to sputtered-Cu gated TFTs under positive bias temperature stress measurements.

  16. High-Performance Ink-Synthesized Cu-Gate Thin-Film Transistor with Diffusion Barrier Formation

    Science.gov (United States)

    Woo, Whang Je; Nam, Taewook; Oh, Il-Kwon; Maeng, Wanjoo; Kim, Hyungjun

    2018-02-01

    The improved electrical properties of Cu-gate thin-film transistors (TFTs) using an ink-synthesizing process were studied; this technology enables a low-cost and large area process for the display industry. We investigated the film properties and the effects of the ink-synthesized Cu layer in detail with respect to device characteristics. The mobility and reliability of the devices were significantly improved by applying a diffusion barrier at the interface between the Cu gate and the gate insulator. By using a TaN diffusion barrier layer, considerably improved and stabilized ink-Cu gated TFTs could be realized, comparable to sputtered-Cu gated TFTs under positive bias temperature stress measurements.

  17. [Effect of charge compensation on emission spectrum of Sr2SiO4 : Dy3+ phosphor].

    Science.gov (United States)

    Li, Pan-Lai; Wang, Zhi-Jun; Yang, Zhi-Ping; Guo, Qing-Lin

    2009-01-01

    The Sr2SiO4 : Dy3+ phosphor was synthesized by the high temperature solid-state reaction method in air. Dy2O3 (99.9%), SiO2 (99.9%), SrCO3 (99.9%), Li2CO3 (99.9%), Na2CO3 (99.9%) and K2CO3 (99.9%) were used as starting materials, and the Dy3+ doping concentration was 2 mol%. The emission spectrum was measured by a SPEX1404 spectrophotometer, and all the characterization of the phosphors was conducted at room temperature. The emission spectrum of Sr2 SiO4 : Dy3+ phosphor showed several bands centered at 486, 575 and 665 nm under the 365 nm excitation. The effect of Li+, Na+ and K+ on the emission spectra of Sr2SiO4 : Dy3+ phosphor was studied. The results show that the location of the emission spectrum of Sr2SiO4 : Dy3+ phosphor was not influenced by Li+, Na+ and K+. However, the emission spectrum intensity was greatly influenced by Li+, Na+ and K+, and the evolvement trend was monotone with different charge compensation, i. e. the emission spectrum intensity of Sr2SiO4 : Dy3+ phosphor firstly increased with increasing Li+ concentration, then decreased. However the charge compensation concentration corresponding to the maximum emission intensity was different with different charge compensation, and the concentration is 4, 3 and 3 mol% corresponding to Li+, Na+ and K+, respectively. And the theoretical reason for the above results was analyzed.

  18. Preparation and characterization of Sio2CaCl2 nanocomposite by the sol-gel method

    International Nuclear Information System (INIS)

    Tohidi, S. H.; Ziaie, F.; Abdolmaleki, A.

    2009-01-01

    The SiO 2 CaCl 2 hybrid porous materials were prepared by the sol-gel method. This process was conducted by the hydrolysis and condensation of Tetraethyl orthosilicate by replacement of ethanol from alcogel and drying at the ambient temperature to obtain xerogel structure. The alcogel samples were synthesized from Tetraethyl orthosilicate, EtOH, H 2 O, HCl, NH 4 OH and CaCl 2 , while the total molar ratio of the compounds was 1: 9: 4: 8 x 10 -4 , 8 x 10 -3 , respectively. Xerogel containing 30 wt % of CaCl 2 (dry matter) was prepared and characterized by Scanning Electron Microscopy, Transmission Electron Microscopy, Fourier Transmittance Infra Red spectrum, Energy Dispersive X-ray and Thermal Gravimetric Analysis systems. The results obtained from Scanning Electron Microscopy and Energy Dispersive X-ray showed the micrograph of CaCl 2 on the silica and chemical elemental analysis, respectively. On the other hand, The Transmission Electron Microscopy micrograph confirmed average particle size of SiO 2 -CaCl 2 about 50 nm and Fourier Transmittance Infra Red spectrum described the functional groups of the nano composite. The thermal analysis of SiO 2 -CaCl 2 nano composite was performed using Thermal Gravimetric Analysis system and the results showed that the suitable temperature for initial thermal treatment was about 200 d eg C .

  19. a Positron 2D-ACAR Study of the Silicon-Dioxide Interface and the Point Defects in the Semi-Insulating Gallium Arsenide

    Science.gov (United States)

    Peng, Jianping

    The SiO_2-Si system has been the subject of extensive study for several decades. Particular interest has been paid to the interface between Si single crystal and the amorphous SiO_2 which determines the properties and performances of devices. This is significant because of the importance of Si technology in the semiconductor industry. The development of the high-intensity slow positron beam at Brookhaven National Laboratory make it possible to study this system for the first time using the positron two-dimensional angular correlation of annihilation radiation (2D-ACAR) technique. 2D-ACAR is a well established and is a non-destructive microscopic probe for studying the electronic structure of materials, and for doing the depth-resolved measurements. Some unique information was obtained from the measurements performed on the SiO_2-Si system: Positronium (Ps) atoms formation and trapping in microvoids in both oxide and interface regions; and positron annihilation at vacancy-like defects in the interface region which can be attributed to the famous Pb centers. The discovery of the microvoids in the interface region may have some impact on the fabrication of the next generation electronic devices. Using the conventional 2D-ACAR setup with a ^{22}Na as positron source, we also studied the native arsenic (As) vacancy in the semi -insulating gallium-arsenide (SI-GaAs), coupled with in situ infrared light illumination. The defect spectrum was obtained by comparing the spectrum taken without photo -illumination to the spectrum taken with photo-illumination. The photo-illumination excited electrons from valence band to the defect level so that positrons can become localized in the defects. The two experiments may represent a new direction of the application of positron 2D-ACAR technique on the solid state physics and materials sciences.

  20. Experimental determination of nanofluid specific heat with SiO2 nanoparticles in different base fluids

    Science.gov (United States)

    Akilu, S.; Baheta, A. T.; Sharma, K. V.; Said, M. A.

    2017-09-01

    Nanostructured ceramic materials have recently attracted attention as promising heat transfer fluid additives owing to their outstanding heat storage capacities. In this paper, experimental measurements of the specific heats of SiO2-Glycerol, SiO2-Ethylene Glycol, and SiO2-Glycerol/Ethylene Glycol mixture 60:40 ratio (by mass) nanofluids with different volume concentrations of 1.0-4.0% have been carried out using differential scanning calorimeter at temperatures of 25 °C and 50 °C. Experimental results indicate lower specific heat capacities are found with SiO2 nanofluids compared to their respective base fluids. The specific heat was decreasing with the increase of concentration, and this decrement depends on upon the type of the base fluid. It is observed that temperature has a positive impact on the specific heat capacity. Furthermore, the experimental values were compared with the theoretical model predictions, and a satisfactory agreement was established.

  1. High ink absorption performance of inkjet printing based on SiO2@Al13 core-shell composites

    Science.gov (United States)

    Chen, YiFan; Jiang, Bo; Liu, Li; Du, Yunzhe; Zhang, Tong; Zhao, LiWei; Huang, YuDong

    2018-04-01

    The increasing growth of the inkjet market makes the inkjet printing more necessary. A composite material based on core-shell structure has been developed and applied to prepare inkjet printing layer. In this contribution, the ink printing record layers based on SiO2@Al13 core-shell composite was elaborated. The prepared core-shell composite materials were characterized by X-ray photoelectron spectroscopy (XPS), zeta potential, X-ray diffraction (XRD), scanning electron microscopy (SEM). The results proved the presence of electrostatic adsorption between SiO2 molecules and Al13 molecules with the formation of the well-dispersed system. In addition, based on the adsorption and the liquid permeability analysis, SiO2@Al13 ink printing record layer achieved a relatively high ink uptake (2.5 gmm-1) and permeability (87%), respectively. The smoothness and glossiness of SiO2@Al13 record layers were higher than SiO2 record layers. The core-shell structure facilitated the dispersion of the silica, thereby improved its ink absorption performance and made the clear printed image. Thus, the proposed procedure based on SiO2@Al13 core-shell structure of dye particles could be applied as a promising strategy for inkjet printing.

  2. Synthesis of ZnFe2O4/SiO2 composites derived from a diatomite template.

    Science.gov (United States)

    Liu, Zhaoting; Fan, Tongxiang; Zhou, Han; Zhang, Di; Gong, Xiaolu; Guo, Qixin; Ogawa, Hiroshi

    2007-03-01

    A novel porous ZnFe2O4/SiO2 composite product has been generated with a template-directed assembly method from porous diatomite under different synthesis conditions, such as precursor concentrations (metallic nitrates), calcination temperature and diatomite type. The phase composition and morphology of all the materials were examined by x-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) and transmission electron microscopy (TEM). The results indicated that an inherited hierarchical porous structure from the diatomite template can be obtained, and the synthesis conditions were found to have clear effects on the formation of the ZnFe2O4/SiO2 composite. The ideal composite of ZnFe2O4/SiO2 can be obtained through optimization of diatomite template type, precursor solution and calcination temperature. Furthermore, the adsorption abilities of two types of diatomites were analyzed in detail using FTIR spectra and nitrogen adsorption measurements etc, which proved that A-diatomite (Shengzhou-diatomite) is better than B-diatomite (Changbai-diatomite) on the aspect of adsorbing Zn and Fe ions, and of forming the ZnFe2O4.

  3. Silver nanoparticles decorated carboxylate functionalized SiO2, New nanocomposites for non-enzymatic detection of glucose and hydrogen peroxide

    International Nuclear Information System (INIS)

    Ensafi, Ali A.; Zandi-Atashbar, N.; Rezaei, B.; Ghiaci, M.; Taghizadeh, M.

    2016-01-01

    Highlights: • Silver nanoparticles decorated carboxylates functionalized SiO 2 were prepared. • Ligands are bonding to silica support as SiO 2 –pro–NH 2 and SiO 2 –pro–NH–cyanuric–NH 2 . • These nanomaterials were used as electrochemical sensors for H 2 O 2 and glucose detection. • H 2 O 2 and glucose could be detected as low as 0.094 and 0.33 μmol L −1 , respectively. - Abstract: Here, two different nanocomposites are prepared based on the decoration of silver nanoparticles (AgNPs) on carboxylated SiO 2 . SiO 2 was functionalized with two different carboxylate ligands to stabilize silver nanoparticles on them, using two different organic bondings. Then, AgNPs were decorated successfully on the surface of the functionalized SiO 2 . The functionalized SiO 2 and AgNPs-functionalized SiO 2 were characterized using Fourier transform infrared spectroscopy, elemental analyses, and Transmission electron microscopy (TEM). For further characterization, the generated nanoparticles were employed as modifiers in the preparation of carbon paste electrodes (CPEs). Then, cyclic voltammetry and electrochemical impedance spectroscopy were used to study their electrochemical behavior. The electrochemical data exhibited that the modifications of CPE led to easier electron transfers rather than the bare and unmodified SiO 2 /CPE due to the presence of functionalized SiO 2 in accompany with electrocatalytic effects of the decorated silver nanoparticles. Furthermore, the modified–CPEs were examined as non–enzymatic H 2 O 2 and glucose sensors using electrochemical techniques including cyclic voltammetry and hydrodynamic chronoamperometric. Electrochemical results represented that the modified-CPE is efficient and sensitive for H 2 O 2 and glucose detection as low as 0.094 and 0.33 μmol L −1 , respectively. At last, the practical applications of these sensors were also evaluated by analyzing H 2 O 2 and glucose in the presence of common interfering species

  4. Synthesis, structural characterization and dielectric properties of Nb doped BaTiO3/SiO2 core–shell heterostructure

    International Nuclear Information System (INIS)

    Cernea, M.; Vasile, B.S.; Boni, A.; Iuga, A.

    2014-01-01

    Highlights: • Optimal parameters for preparation by sol–gel of core–shell (BT-Nb 0.005 )/SiO 2 are presented in this paper. • Single crystalline BT-Nb 0.005 /SiO 2 core–shell composite with ∼34 nm shell thick was prepared. • The core–shell ceramic exhibits good dielectric properties and ferroelectric characteristics. -- Abstract: Perovskite complex ceramic oxides, BaTiO 3 doped with 0.5 mol%Nb 2 O 5 and then nanocoated with SiO 2 (abbreviated as BT-Nb 0.005 /SiO 2 ) was successful prepared using conventional sol–gel processing. Phase composition, particle morphology, structure, and electric properties of BT-Nb 0.005 core and BT-Nb 0.005 /SiO 2 core–shell were examined and compared, using X-ray diffraction, transmission electron microscopy and, dielectric and ferroelectric measurements. Core–shell composite with well-defined perovskite tetragonal phase of BaTiO 3 was achieved. Furthermore, single crystalline BT-Nb 0.005 /SiO 2 core–nanoshell heterostructure with ∼34 nm shell thick was prepared, which is a novelty in ferroelectrics field. The ferroelectric quality of BT-Nb 0.005 has suffered an alteration when the (BT-Nb 0.005 )/SiO 2 core–shell heterostructure was realized. One-dimensional BT-Nb 0.005 /SiO 2 core–shell heterostructure exhibits an improvement of dielectric losses and a decrease of dielectric constant, compared to uncoated BT-Nb 0.005 . The (BT-Nb 0.005 )/SiO 2 core–shell material could be interesting for application in the composite capacitors

  5. Fracto- mechanoluminescence and thermoluminescence properties of orange-red emitting Eu3+ doped Ca2Al2SiO7 phosphors

    International Nuclear Information System (INIS)

    Tiwari, Geetanjali; Brahme, Nameeta; Sharma, Ravi; Bisen, D.P.; Sao, Sanjay K.; Khare, Ayush

    2017-01-01

    The suitability of nano-structured Ca 2 Al 2 SiO 7 :Eu 3+ phosphors for thermoluminescence and mechanoluminescence dosimeter were investigated. Europium doped di-calcium di-aluminum silicate phosphor was synthesised by the combustion assisted method and annealed at 1100 °C for 4 h in reducing and oxidizing environments. The prepared Ca 2 Al 2 SiO 7 :Eu 3+ phosphor was characterized by X-ray diffractometer (XRD), transmission electron microscopy (TEM), scanning electron microscopy (SEM) with energy dispersive x-ray spectroscopy (EDX), photoluminescence (PL) and decay characteristics. The phase structure of sintered phosphor has akermanite type which belongs to the tetragonal crystallography; this structure is a member of the melilite group and forms a layered compound. The chemical composition of the sintered Ca 2 Al 2 SiO 7 :Eu 3+ phosphor was confirmed by EDX spectra. Mechanoluminescence (ML) and thermoluminescence (TL) studies revealed that the ML and TL intensity increases with activator concentration. Optimum ML was observed for the sample having 2 mol% of Eu ions. The TL intensity of Ca 2 Al 2 SiO 7 :Eu 3+ was recorded for different exposure times of γ -irradiation and it was observed that TL intensity is maximum for γ dose of 1770 Gy. The PL spectra indicated that Ca 2 Al 2 SiO 7 :Eu 3+ could be excited effectively by near ultraviolet (NUV) light and exhibited bright orange-red emission with excellent colour stability. CIE colour coordinates of the prepared Ca 2 Al 2 SiO 7 :Eu 3+ phosphor was found suitable as orange-red light emitting phosphor with a CIE value of (x=0.6142, y=0.3849) and correlated colour temperature (CCT) is 1250 K. Therefore, it is considered to be a new promising orange-red emitting phosphor for white light emitting diode (LED) application.

  6. Effect of water layer at the SiO2/graphene interface on pentacene morphology.

    Science.gov (United States)

    Chhikara, Manisha; Pavlica, Egon; Matković, Aleksandar; Gajić, Radoš; Bratina, Gvido

    2014-10-07

    Atomic force microscopy has been used to examine early stages of pentacene growth on exfoliated single-layer graphene transferred to SiO2 substrates. We have observed 2D growth with mean height of 1.5 ± 0.2 nm on as-transferred graphene. Three-dimensional islands of pentacene with an average height of 11 ± 2 nm were observed on graphene that was annealed at 350 °C prior to pentacene growth. Compellingly similar 3D morphology has been observed on graphene transferred onto SiO2 that was treated with hexamethyldisilazane prior to the transfer of graphene. On multilayer graphene we have observed 2D growth, regardless of the treatment of SiO2. We interpret this behavior of pentacene molecules in terms of the influence of the dipolar field that emerges from the water monolayer at the graphene/SiO2 interface on the surface energy of graphene.

  7. Nano-Ticl 4 .SiO 2 : a Versatile and Efficient Catalyst for Synthesis of ...

    African Journals Online (AJOL)

    Nano-TiCl4.SiO2 has been found to be an extremely efficient catalyst for the preparation of 3,4-dihydropyrimidinones/thiones via three-component reactions of an aldehyde, β-ketoester or β-diketone and urea or thiourea under mild conditions. Nano-TiCl4.SiO2 as a solid Lewis acid has been synthesized by reaction of ...

  8. Preparation and characterization of Fe3O4/SiO2/Bi2MoO6 composite as magnetically separable photocatalyst

    International Nuclear Information System (INIS)

    Hou, Xuemei; Tian, Yanlong; Zhang, Xiang; Dou, Shuliang; Pan, Lei; Wang, Wenjia; Li, Yao; Zhao, Jiupeng

    2015-01-01

    Highlights: • Fe 3 O 4 /SiO 2 /Bi 2 MoO 6 composite was prepared by a hydrothermal method. • The composite has an enhanced visible absorption compared with pure Bi 2 MoO 6 . • The magnetic photocatalyst displayed excellent stability and reusability. • O 2 ·− and · OH play a major role during the photocatalytic process. - Abstract: In this paper, Fe 3 O 4 /SiO 2 /Bi 2 MoO 6 microspheres were prepared by a facile hydrothermal method. The scanning electron microscope (SEM) results revealed that flower-like three dimensional (3D) Bi 2 MoO 6 microspheres were decorated with Fe 3 O 4 /SiO 2 magnetic nanoparticles. The UV–vis diffuse reflection spectra showed extended absorption within the visible light range compared with pure Bi 2 MoO 6 . We evaluated the photocatalytic activities of Fe 3 O 4 /SiO 2 /Bi 2 MoO 6 microspheres on the degradation of Rhodamine B (RhB) under visible light irradiation and found that the obtained composite exhibited higher photocatalytic activity than pure Bi 2 MoO 6 and P25. Moreover, the Fe 3 O 4 /SiO 2 /Bi 2 MoO 6 composite also displayed excellent stability and their photocatalytic activity decreased slightly after reusing 5 cycles. Meanwhile, the composite could be easily separated by applying an external magnetic field. The trapping experiment results suggest that superoxide radical species O 2 ·− and hydroxyl radicals · OH play a major role in Fe 3 O 4 /SiO 2 /Bi 2 MoO 6 system under visible light irradiation. The combination of flower-like three dimensional (3D) Bi 2 MoO 6 microspheres and Fe 3 O 4 /SiO 2 magnetic nanospheres provides a useful strategy for designing multifunctional nanostructure materials with enhanced photocatalytic activities in the potential applications of water purification

  9. Enhanced field emission properties of carbon nanotube bundles confined in SiO2 pits

    Science.gov (United States)

    Lim, Yu Dian; Grapov, Dmitry; Hu, Liangxing; Kong, Qinyu; Tay, Beng Kang; Labunov, Vladimir; Miao, Jianmin; Coquet, Philippe; Aditya, Sheel

    2018-02-01

    It has been widely reported that carbon nanotubes (CNTs) exhibit superior field emission (FE) properties due to their high aspect ratios and unique structural properties. Among the various types of CNTs, random growth CNTs exhibit promising FE properties due to their reduced inter-tube screening effect. However, growing random growth CNTs on individual catalyst islands often results in spread out CNT bundles, which reduces overall field enhancement. In this study, significant improvement in FE properties in CNT bundles is demonstrated by confining them in microfabricated SiO2 pits. Growing CNT bundles in narrow (0.5 μm diameter and 2 μm height) SiO2 pits achieves FE current density of 1-1.4 A cm-2, which is much higher than for freestanding CNT bundles (76.9 mA cm-2). From the Fowler Nordheim plots, confined CNT bundles show a higher field enhancement factor. This improvement can be attributed to the reduced bundle diameter by SiO2 pit confinement, which yields bundles with higher aspect ratios. Combining the obtained outcomes, it can be conclusively summarized that confining CNTs in SiO2 pits yields higher FE current density due to the higher field enhancement of confined CNTs.

  10. Thermal evolution of the morphology, structure, and optical properties of multilayer nanoperiodic systems produced by the vacuum evaporation of SiO and SiO2

    International Nuclear Information System (INIS)

    Ershov, A. V.; Chugrov, I. A.; Tetelbaum, D. I.; Mashin, A. I.; Pavlov, D. A.; Nezhdanov, A. V.; Bobrov, A. I.; Grachev, D. A.

    2013-01-01

    The alternate vacuum evaporation of SiO and SiO 2 from separate sources is used to produce amorphous a-SiO x /SiO 2 multilayer nanoperiodic structures with periods of 5–10 nm and a number of layers of up to 64. The effect of annealing at temperatures T a = 500–1100°C on the structural and optical properties of the nanostructures is studied. The results of transmission electron microscopy of the samples annealed at 1100°C indicate the annealing-induced formation of vertically ordered quasiperiodic arrays of Si nanocrystals, whose dimensions are comparable to the a-SiO x -layer thickness in the initial nanostructures. The nanostructures annealed at 1100°C exhibit size-dependent photoluminescence in the wavelength range 750–830 nm corresponding to Si nanocrystals. The data on infrared absorption and Raman scattering show that the thermal evolution of structural and phase state of the SiO x layers with increasing annealing temperature proceeds through the formation of amorphous Si nanoinclusions with the subsequent formation and growth of Si nanocrystals.

  11. Catalytic combustion of trichloroethylene over TiO2-SiO2 supported catalysts

    NARCIS (Netherlands)

    Kulazynski, M.; van Ommen, J.G.; Trawczynski, J.; Walendziewski, J.

    2002-01-01

    Combustion of trichloroethylene (TCE) on Cr2O3, V2O5, Pt or Pd catalysts supported on TiO2-SiO2 as a carrier has been investigated. It was found that oxide catalysts are very active but their activity quickly diminishes due to loss of the active component, especially at higher reaction temperatures

  12. Comparative study of neurologic effects of nano-TiO2 versus SiO2 after direct intracerebral exposure in mice

    International Nuclear Information System (INIS)

    Balvay, A; Bencsik, A; Thieriet, N; Lakhdar, L

    2013-01-01

    Titanium and silicon dioxide nanoparticles (TiO 2 and SiO 2 NPs) are now in daily use in many commercial products of which food, sunscreens, toothpastes or cosmetics. However, their effects on human body, especially on the central nervous system, are still unclear. The aim of this study was to determine whether direct exposition of the brain to TiO 2 and SiO 2 NPs results in alternations in nervous system function. C57Bl6 mice were exposed to 5 and 10 μg doses of TiO 2 and SiO 2 NPs through intracerebroventricular administration using a stereotaxic approach. Then the neurologic effects were investigated using motor performance parameters, measured on a rotarod at 20 rpm or at an accelerating rod (from 4 to 40 rpm). Before and after injection, motor activity is registered individually for each mouse exposed, once a week, for 8 weeks. Besides, a group of 3 mice is culled at 1, 2, 3, 4 and 8 weeks after exposure in order to study the time dependant effect on the histopathology of the brain (gliosis, inflammatory process...). Both rotarod tests (accelerating and at 20 rpm) showed that TiO 2 and SiO 2 NPs exposure could significantly impair the motor performances, even several weeks after initial acute exposure. The first examination of the brain histopathology revealed microglial activation. As it appeared to grow throughout the brain in a time dependant manner this suggests the induction of a long lasting neuroinflammation. These primary findings indicated that exposure to TiO 2 and SiO 2 NPs could possibly impair the locomotor ability and this deficit may be possibly attributed at least to an inflammatory process maintained till 8 weeks after exposure in the mouse brain. To fully investigate the neurotoxicological consequences of TiO 2 and SiO 2 NPs exposure, brain contents in these NPs will be also investigated as well as other alterations like neurotransmitter levels. These preliminary data already underline the necessity of more in vivo studies to better

  13. Comparative study of neurologic effects of nano-TiO2 versus SiO2 after direct intracerebral exposure in mice

    Science.gov (United States)

    Balvay, A.; Thieriet, N.; Lakhdar, L.; Bencsik, A.

    2013-04-01

    Titanium and silicon dioxide nanoparticles (TiO2 and SiO2 NPs) are now in daily use in many commercial products of which food, sunscreens, toothpastes or cosmetics. However, their effects on human body, especially on the central nervous system, are still unclear. The aim of this study was to determine whether direct exposition of the brain to TiO2 and SiO2 NPs results in alternations in nervous system function. C57Bl6 mice were exposed to 5 and 10 μg doses of TiO2 and SiO2 NPs through intracerebroventricular administration using a stereotaxic approach. Then the neurologic effects were investigated using motor performance parameters, measured on a rotarod at 20 rpm or at an accelerating rod (from 4 to 40 rpm). Before and after injection, motor activity is registered individually for each mouse exposed, once a week, for 8 weeks. Besides, a group of 3 mice is culled at 1, 2, 3, 4 and 8 weeks after exposure in order to study the time dependant effect on the histopathology of the brain (gliosis, inflammatory process...). Both rotarod tests (accelerating and at 20 rpm) showed that TiO2 and SiO2 NPs exposure could significantly impair the motor performances, even several weeks after initial acute exposure. The first examination of the brain histopathology revealed microglial activation. As it appeared to grow throughout the brain in a time dependant manner this suggests the induction of a long lasting neuroinflammation. These primary findings indicated that exposure to TiO2 and SiO2 NPs could possibly impair the locomotor ability and this deficit may be possibly attributed at least to an inflammatory process maintained till 8 weeks after exposure in the mouse brain. To fully investigate the neurotoxicological consequences of TiO2 and SiO2 NPs exposure, brain contents in these NPs will be also investigated as well as other alterations like neurotransmitter levels. These preliminary data already underline the necessity of more in vivo studies to better characterize TiO2

  14. Luminescence enhancement of (Sr1-x Mx )2 SiO4 :Eu2+ phosphors with M (Ca2+ /Zn2+ ) partial substitution for white light-emitting diodes.

    Science.gov (United States)

    Wang, Yulong; Zhang, Wentao; Gao, Yang; Long, Jianping; Li, Junfeng

    2017-02-01

    Eu 2 + -doped Sr 2 SiO 4 phosphor with Ca 2 + /Zn 2 + substitution, (Sr 1-x M x ) 2 SiO 4 :Eu 2 + (M = Ca, Zn), was prepared using a high-temperature solid-state reaction method. The structure and luminescence properties of Ca 2 + /Zn 2 + partially substituted Sr 2 SiO 4 :Eu 2 + phosphors were investigated in detail. With Ca 2 + or Zn 2 + added to the silicate host, the crystal phase could be transformed between the α-form and the β-form of the Sr 2 SiO 4 structure. Under UV excitation at 367 nm, all samples exhibit a broad band emission from 420 to 680 nm due to the 4f 6 5d 1  → 4f 7 transition of Eu 2 + ions. The broad emission band consists of two peaks at 482 and 547 nm, which correspond to Eu 2 + ions occupying the ten-fold oxygen-coordinated Sr.(I) site and the nine-fold oxygen-coordinated Sr.(II) site, respectively. The luminescence properties, including the intensity and lifetime of Sr 2 SiO 4 :Eu 2 + phosphors, improved remarkably on Ca 2 + /Zn 2 + addition, and promote its application in white light-emitting diodes. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  15. Laser conditioning effect on HfO2/SiO2 film

    International Nuclear Information System (INIS)

    Wei Yaowei; Zhang Zhe; Liu Hao; Ouyang Sheng; Zheng Yi; Tang Gengyu; Chen Songlin; Ma Ping

    2013-01-01

    Laser conditioning is one of the important methods to improve the laser damage threshold of film optics. Firstly, a large aperture laser was used to irradiate the HfO 2 /SiO 2 reflectors, which were evaporated from hafnia and silica by e-beam. Secondly, a laser calorimeter was used to test the film absorption before and after laser irradiation. Focused ion beam (FIB) was few reported using on laser film, it was used to study the damage morphology and explore the cause of damage. The shooting of the partial ejection on nodule was obtained for the first time, which provided the basis for study the damage process. The results show that film absorption was decreased obviously after the laser irradiation, laser conditioning can raise the laser damage threshold by the 'cleaning mechanism'. For the HfO 2 /SiO 2 reflectors, laser conditioning was effective to eject the nodules on substrate. It resulted from the nodule residue not to affect the subsequent laser. In addition, laser conditioning was not effective to the nodule in the film, which might be from the material spatter in coating process. In this case, other method could be used to get rid of the nodules. (authors)

  16. Preparation and encapsulation performance of Al_2O_3-SiO_2-B_2O_3 glass-ceramic for high temperature thermal storage

    International Nuclear Information System (INIS)

    Li, Ruguang; Zhu, Jiaoqun; Zhou, Weibing; Cheng, Xiaomin; Liu, Fengli

    2017-01-01

    Highlights: • Al_2O_3-B_2O_3-SiO_2 has good chemical durability, corrosion resistance and dense structure. • The material rarely used in high temperature thermal storage. • The material was prepared and characterized in the paper. - Abstract: In this paper, Al_2O_3-SiO_2-B_2O_3 glass-ceramic was prepared and characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM), further, the porosity was detected by Archimedes principle, thermo physical properties were investigated by differential scanning calorimeter (DSC), respectively. The phase composition was detected by XRD, and the morphology was observed by SEM. The results indicated that the thermal conductivities of the Al_2O_3-SiO_2-B_2O_3 glass-ceramic were between 1.3 and 1.5 W·(m·K)"−"1, and the material had good thermal stability in the range of 300–900 °C. The porosity and apparent density were increased with the temperature. The porosity of Al_2O_3-SiO_2-B_2O_3 glass-ceramic in ranging from 1.2 to 9.6%, the apparent density were between 2.12 and 2.67 g·cm"−"3, and heat capacities were between 0.64 and 0.79 kJ/(kg·K). All the results indicated that the Al_2O_3-SiO_2-B_2O_3 glass-ceramic can be applied as encapsulation material in high temperature latent thermal energy storage.

  17. Ethanol-to-Butadiene Conversion over SiO2-MgO Catalysts: Synthesis-Structure-Performance Relationships

    NARCIS (Netherlands)

    Angelici, C.

    2015-01-01

    The work presented in this PhD Thesis provides new insights into the underlying reasons that make SiO2-MgO materials excellent catalysts for the ethanol-to-butadiene Lebedev process. In particular, the preparation technique of choice affects the structural properties of the resulting SiO2-MgO

  18. Identification of conduction and hot electron property in ZnS, ZnO and SiO2

    International Nuclear Information System (INIS)

    Huang Jinzhao; Xu Zheng; Zhao Suling; Li Yuan; Yuan Guangcai; Wang Yongsheng; Xu Xurong

    2007-01-01

    The impact excitation and ionization is the most important process in layered optimization scheme and solid state cathodoluminescence. The conduction property (semiconductor property) of SiO 2 , ZnS and ZnO is studied based on organic/inorganic electroluminescence. The hot electron property (acceleration and multiplication property) of SiO 2 and ZnS is investigated based on the solid state cathodoluminescence. The results show that the SiO 2 has the fine hot electron property and the conduction property is not as good as ZnO and ZnS

  19. Efficient photocatalytic activity with carbon-doped SiO2 nanoparticles

    KAUST Repository

    Zhang, Dongen; Wu, Jinbo; Zhou, Bingpu; Hong, Yaying; Li, Shunbo; Wen, Weijia

    2013-01-01

    by thermogravimetric analysis, X-ray diffraction, standard and high resolution transmission electron microscopy and X-ray photoelectron spectroscopy. The C-doped SiO2 displayed outstanding photocatalytic properties, as evidenced by its catalysis of Rhodamine B

  20. SiO2 Nanopillars on Microscale Roughened Surface of GaN-Based Light-Emitting Diodes by SILAR-Based Method

    Directory of Open Access Journals (Sweden)

    X. F. Zeng

    2013-01-01

    Full Text Available We reported the SiO2 nanopillars on microscale roughened surface on GaN-based LED to enhance light-extraction efficiency. ZnO nanoparticles were deposited on SiO2 as an etching mask before ICP etching SiO2 by successive ionic layer adsorption and reaction method (SILAR, and the different heights of SiO2 nanopillars on microroughened ITO/GaN were obtained after etching. Compared to a regular (flat surface GaN-based LED, the light output power for a LED with microroughening was increased by 33%. Furthermore, the proposed LEDs with SiO2 nanopillars on microroughened surface show the enhancement in light output power by 42.7%–49.1% at 20 mA. The increase in light output power is mostly attributed to reduction in Fresnel reflection by rough surface. The height of SiO2 nanopillars was increasing cause resulting in more rough on the microscale surface of GaN-based LEDs.

  1. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  2. Ultrathin Microporous SiO2 Membranes Photodeposited on Hydrogen Evolving Catalysts Enabling Overall Water Splitting

    KAUST Repository

    Bau, Jeremy A.; Takanabe, Kazuhiro

    2017-01-01

    Semiconductor systems for photocatalytic overall water splitting into H2 and O2 gases typically require metal cocatalyst particles, such as Pt, to efficiently catalyze H2 evolution. However, such metal catalyst surfaces also serve as recombination sites for H2 and O2, forming H2O. We herein report the photon-induced fabrication of microporous SiO2 membranes that can selectively restrict passage of O2 and larger hydrated ions while allowing penetration of protons, water, and H2. The SiO2 layers were selectively photodeposited on Pt nanoparticles on SrTiO3 photocatalyst by using tetramethylammonium (TMA) as a structure-directing agent (SDA), resulting in the formation of core–shell Pt@SiO2 cocatalysts. The resulting photocatalyst exhibited both improved overall water splitting performance under irradiation and with no H2/O2 recombination in the dark. The function of the SiO2 layers was investigated electrochemically by fabricating the SiO2 layers on a Pt electrode via an analogous cathodic deposition protocol. The uniform, dense, yet amorphous layers possess microporosity originating from ring structures formed during the hydrolysis of the silicate precursor in the presence of TMA, suggesting a double-role for TMA in coordinating silicate to cathodic surfaces and in creating a microporous material. The resulting layers were able to function as a molecular sieve, allowing for exclusive H2 generation while excluding unwanted side reactions by O2 or ferricyanide. The SiO2 layer is stable for extended periods of time in photocatalytic conditions, demonstrating promise as a nontoxic material for selective H2 evolution.

  3. Ultrathin Microporous SiO2 Membranes Photodeposited on Hydrogen Evolving Catalysts Enabling Overall Water Splitting

    KAUST Repository

    Bau, Jeremy A.

    2017-10-17

    Semiconductor systems for photocatalytic overall water splitting into H2 and O2 gases typically require metal cocatalyst particles, such as Pt, to efficiently catalyze H2 evolution. However, such metal catalyst surfaces also serve as recombination sites for H2 and O2, forming H2O. We herein report the photon-induced fabrication of microporous SiO2 membranes that can selectively restrict passage of O2 and larger hydrated ions while allowing penetration of protons, water, and H2. The SiO2 layers were selectively photodeposited on Pt nanoparticles on SrTiO3 photocatalyst by using tetramethylammonium (TMA) as a structure-directing agent (SDA), resulting in the formation of core–shell Pt@SiO2 cocatalysts. The resulting photocatalyst exhibited both improved overall water splitting performance under irradiation and with no H2/O2 recombination in the dark. The function of the SiO2 layers was investigated electrochemically by fabricating the SiO2 layers on a Pt electrode via an analogous cathodic deposition protocol. The uniform, dense, yet amorphous layers possess microporosity originating from ring structures formed during the hydrolysis of the silicate precursor in the presence of TMA, suggesting a double-role for TMA in coordinating silicate to cathodic surfaces and in creating a microporous material. The resulting layers were able to function as a molecular sieve, allowing for exclusive H2 generation while excluding unwanted side reactions by O2 or ferricyanide. The SiO2 layer is stable for extended periods of time in photocatalytic conditions, demonstrating promise as a nontoxic material for selective H2 evolution.

  4. The Role of SiO2 Gas in the Operation of Anti-Corrosion Coating Produced by PVD

    Directory of Open Access Journals (Sweden)

    Meysam Zarchi

    2015-09-01

    Full Text Available This study examined theSiO2 gas present in the coatings used in corrosion industry.These layers have been created by physical vapor deposition (PVD, with an appropriate performance. Sublimation of SiO2is used to protect PVD aluminum flakes from water corrosionand to generate highly porous SiO2 flakes with holes in the nanometer range. SiOx/Al/SiOx sandwiches were made as well as Ag loaded porous SiO2 as antimicrobial filler.

  5. Theoretical prediction of Grüneisen parameter for SiO_2.TiO_2 bulk metallic glasses

    International Nuclear Information System (INIS)

    Singh, Chandra K.; Pandey, Brijesh K.; Pandey, Anjani K.

    2016-01-01

    The Grüneisen parameter (γ) is very important to decide the limitations for the prediction of thermoelastic properties of bulk metallic glasses. It can be defined in terms of microscopic and macroscopic parameters of the material in which former is based on vibrational frequencies of atoms in the material while later is closely related to its thermodynamic properties. Different formulation and equation of states are used by the pioneer researchers of this field to predict the true sense of Gruneisen parameter for BMG but for SiO_2.TiO_2 very few and insufficient information is available till now. In the present work we have tested the validity of two different isothermal EOS viz. Poirrior-Tarantola EOS and Usual-Tait EOS to predict the true value of Gruneisen parameter for SiO_2.TiO_2 as a function of compression. Using different thermodynamic limitations related to the material constraints and analyzing obtained result it is concluded that the Poirrior-Tarantola EOS gives better numeric values of Grüneisen parameter (γ) for SiO_2.TiO_2 BMG.

  6. Self assembly of SiO2-encapsulated carbon microsphere composites

    International Nuclear Information System (INIS)

    Yang Yongzhen; Song Jingjing; Han Yanxing; Guo Xingmei; Liu Xuguang; Xu Bingshe

    2011-01-01

    SiO 2 was firstly coated onto the surface of carbon microspheres (CMSs) using tetraethyl orthosilicate (TEOS) as precursor by Stoeber method. Then SiO 2 -encapsulated CMS (CMS-SiO 2 ) composites were self-assembled by vertical deposition, in which the effects of deposition temperature and suspension concentration on the quality of self-assembling film were investigated. Morphologies and structures of the samples were characterized by field emission scanning electron microscopy, Fourier transformation infrared spectrometry, X-ray diffraction and thermogravimetry. The results show that uniform CMS-SiO 2 composites with good mono-dispersion were prepared by Stober method with 0.5 g of CMSs, 2 mL of TEOS, 30 mL of ammonia and 12 h of reaction time, the CMSs-based films with ordered and denser structure were prepared by vertical deposition using CMS-SiO 2 composites as monodipersion spheres under suspension concentration of 1 wt% and deposition temperature of 50 deg. C. The ultraviolet-visible absorption measurement shows that the absorbance of CMS-SiO 2 composite films grew steadily with increasing suspension concentration.

  7. Suspended graphene devices with local gate control on an insulating substrate

    International Nuclear Information System (INIS)

    Ong, Florian R; Cui, Zheng; Vojvodin, Cameron; Papaj, Michał; Deng, Chunqing; Bal, Mustafa; Lupascu, Adrian; Yurtalan, Muhammet A; Orgiazzi, Jean-Luc F X

    2015-01-01

    We present a fabrication process for graphene-based devices where a graphene monolayer is suspended above a local metallic gate placed in a trench. As an example we detail the fabrication steps of a graphene field-effect transistor. The devices are built on a bare high-resistivity silicon substrate. At temperatures of 77 K and below, we observe the field-effect modulation of the graphene resistivity by a voltage applied to the gate. This fabrication approach enables new experiments involving graphene-based superconducting qubits and nano-electromechanical resonators. The method is applicable to other two-dimensional materials. (paper)

  8. Crystallization behaviour of nanostructured hybrid SiO2-TiO2 gel glasses to nanocomposites.

    Science.gov (United States)

    Tsvetelina, Gerganova; Yordanka, Ivanova; Yuliya, Vueva; Miranda, Salvado Isabel M; Helena, Fernandes Maria

    2010-04-01

    The crystallization behaviour of hybrid SiO2-TiO2 nanocomposites derived from titanosiloxanes by sol-gel method has been investigated depending on the type of siloxane precursor and the pirolysis temperature. The resulting hybrid titanosiloxanes, crosslinked with trimethylsilil isocyanate (nitrogen-modified) or methyltrietoxisilane (carbon-modified), were pirolyzed in an inert atmosphere in the temperature range between 600 to 1100 degrees C in order to form C-(N)-Si-O-TiO2 nanocomposites. By means of XRD, FTIR, 29Si NMR, SEM, TEM and AFM investigations have been established that the transformation of the nanostructured SiO2-TiO2 hybrid materials into nanocomposites as well as the crystalline size depend on the titanium content and the type of cross-linking agents used in the synthesizes.

  9. Thermal expansion of lanthanum silicate oxyapatite (La9.33+2x(SiO4)6O2+3x), lanthanum oxyorthosilicate (La2SiO5) and lanthanum sorosilicate (La2Si2O7)

    International Nuclear Information System (INIS)

    Fukuda, Koichiro; Asaka, Toru; Uchida, Tomohiro

    2012-01-01

    Four types of powder specimens of La 9.33 (SiO 4 ) 6 O 2 (space group P6 3 /m and Z=1), La 9.33+2x (SiO 4 ) 6 O 2+3x with 0.06≤x≤0.13 (P6 3 /m and Z=1), La 2 SiO 5 (P2 1 /c and Z=4) and La 2 Si 2 O 7 (P2 1 /c and Z=4) were examined by high-temperature X-ray powder diffractometry to determine the changes in unit-cell dimensions up to 1473 K. The anisotropy of thermal expansion was demonstrated for the former two crystals to clarify the thermal behaviors of the highly c-axis-oriented polycrystals. With La 9.33 (SiO 4 ) 6 O 2 , the linear expansion coefficient of the a-axis (α a ) was 4.8×10 −6 K −1 and that of the c-axis (α c ) was 1.8×10 −6 K −1 in the temperature range from 298 to 1473 K. The α a - and α c -values of La 9.33+2x (SiO 4 ) 6 O 2+3x (0.06≤x≤0.13) were, respectively, 5.9×10 −6 K −1 and 2.3×10 −6 K −1 . The coefficients of mean linear thermal expansion were 4.9×10 −6 K −1 for La 2 SiO 5 and 6.0×10 −6 K −1 for La 2 Si 2 O 7 , which describe the thermal expansion behaviors of the randomly grain-oriented polycrystalline materials. - Graphical abstarct: Temperature dependence of the coefficients of thermal expansion (CTE). The linear CTE along the a-axes for La 9.33 (SiO 4 ) 6 O 2 and La 9.33+2x (SiO 4 ) 6 O 2+3x with 0.06≤x≤0.13. The mean linear CTE for La 2 SiO 5 and La 2 Si 2 O 7 . Highlights: ► We examined the thermal expansion of La 9.33+2x (SiO 4 ) 6 O 2+3x (x=0 and 0.06≤x≤0.13), La 2 SiO 5 and La 2 Si 2 O 7 ► Unit-cell dimensions were determined up to 1473 K by high-temperature X-ray diffraction ► Anisotropic expansion was clarified for La 9.33+2x (SiO 4 ) 6 O 2+3x (x=0 and 0.06≤x≤0.13) ► Mean linear thermal expansion was determined for La 2 SiO 5 and La 2 Si 2 O 7.

  10. A new high-pressure phase of Fe2SiO4 and the relationship between spin and structural transitions

    Science.gov (United States)

    Yamanaka, T.; Kyono, A.; Nakamoto, Y.; Kharlamova, S. A.; Struzhkin, V. V.; Gramsch, S.; Mao, H.; Hemley, R. J.

    2013-12-01

    Structure transformation of Fe2SiO4 Angle-dispersive powder x-ray diffraction was carried out at beam line 16-BMD APS. Structure of a new high-pressure phase of I-Fe2SiO4 spinel was determined by Rietveld profile fitting of x-ray diffraction data up to 64GPa at ambient temperature. A structural transition from the cubic spinel to the new structure was observed at 34GPa. Diffraction patterns taken at 44.6GPa and 54.6GPa indicate a two-phase mixture of spinel and new high-pressure phase. Reversible transition from I-Fe2SiO4 to spinel was confirmed. Laser heating experiment at 1500K proved the decomposition of Fe2SiO4 spinel to two oxides of FeO and SiO2. Spin transition X-ray emission measurements of Fe2SiO4 were carried out up to 65GPa at ambient temperature at beam line 16-IDD APS. The spin transition exerts an influence to Fe2SiO4 spinel structure and triggers two distinct curves of the lattice constant in the spinel phase. Although the compression curve of the spinel is discontinuous at approximately 20 GPa, Fe Kβ emission measurements show that the transition from a high spin (HS) to an intermediate spin (IS) state begins at 17GPa in the spinel phase. The IS electronic state is gradually enhanced with pressure, which results in an isostructural phase transition. HS-to-LS transition of iron bearing spinels starts from 15.6GPa in Fe3O4 and 19.6GPa in Fe2TiO4. The transition is more capable due to Fe2+ in the octahedral site. The extremely shortened octahedral bonds result in a distortion of 6-fold cation site. New structure of Fe2SiO4 Monte Carlo method was applied to find candidates for the high-pressure phase using the diffraction intensities with fixed lattice constants determined by DICVOL. Rietveld profile fitting was then performed using the initial model. The new structure is a body centered orthorhombic phase (I-Fe2SiO4) with space group Imma and Z=4, with two crystallographically distinct FeO6 octahedra. Silicon exists in six-fold coordination in I-Fe2SiO

  11. Interface passivation and trap reduction via hydrogen fluoride for molybdenum disulfide on silicon oxide back-gate transistors

    Science.gov (United States)

    Hu, Yaoqiao; San Yip, Pak; Tang, Chak Wah; Lau, Kei May; Li, Qiang

    2018-04-01

    Layered semiconductor molybdenum disulfide (MoS2) has recently emerged as a promising material for flexible electronic and optoelectronic devices because of its finite bandgap and high degree of gate control. Here, we report a hydrogen fluoride (HF) passivation technique for improving the carrier mobility and interface quality of chemical vapor deposited monolayer MoS2 on a SiO2/Si substrate. After passivation, the fabricated MoS2 back-gate transistors demonstrate a more than double improvement in average electron mobility, a reduced gate hysteresis gap of 3 V, and a low interface trapped charge density of ˜5.8 × 1011 cm-2. The improvements are attributed to the satisfied interface dangling bonds, thus a reduction of interface trap states and trapped charges. Surface x-ray photoelectron spectroscopy analysis and first-principles simulation were performed to verify the HF passivation effect. The results here highlight the necessity of a MoS2/dielectric passivation strategy and provides a viable route for enhancing the performance of MoS2 nano-electronic devices.

  12. Study of epitaxial lateral overgrowth of semipolar (1 1 − 2 2) GaN by using different SiO2 pattern sizes

    International Nuclear Information System (INIS)

    Song, Ki-Ryong; Lee, Jae-Hwan; Han, Sang-Hyun; Yi, Hye-Rin; Lee, Sung-Nam

    2013-01-01

    Graphical abstract: - Highlights: • We examine comparative studies of semipolar ELO-GaN film. • Semipolar ELO-GaN film was grown by three step growth method. • The achievement of smooth surface morphology of semipolar ELO-GaN. • The crystal and optical properties was significantly improved by ELO process. - Abstract: We investigated the growth mode and the crystal properties of lateral epitaxial overgrowth (LEO) semipolar (1 1 − 2 2) GaN by using the various SiO 2 pattern sizes of 6, 8, 10 and 12 μm with the window width of 4.0 μm. By using three-step growth technique, we successfully obtained the fully-coalescenced semipolar (1 1 − 2 2) LEO-GaN films regardless of the SiO 2 pattern sizes. However, the coalescence thickness of LEO-GaN film was decreased with decreasing SiO 2 pattern size, indicating that the coalescence of semipolar (1 1 − 2 2) GaN was easily formed by decreasing the pattern size of SiO 2 mask. The full width at half maximums (FWHMs) of X-ray rocking curves (XRCs) of LEO-GaN films decreased with increasing SiO 2 pattern size. In the pattern size of 4 × 10 μm, we achieved the minimum XRCs FWHM of 537 and 368 arc s with two different X-ray incident beam directions of [1 1 − 2 − 3] and [1 − 1 0 0], respectively. Moreover, the photoluminescence bandedge emission of semipolar (1 1 − 2 2) GaN was 45 times increased by LEO process. Based on these results, we concluded that the LEO pattern size of 4 × 10 μm would effectively decrease crystal defects of semipolar (1 1 − 2 2) GaN epilayer, resulting in an improvement of the optical properties

  13. Fabrication of hierarchical structured SiO2/polyetherimide-polyurethane nanofibrous separators with high performance for lithium ion batteries

    International Nuclear Information System (INIS)

    Zhai, Yunyun; Xiao, Ke; Yu, Jianyong; Ding, Bin

    2015-01-01

    Highlights: • Electrospinning followed by dip-coating was used to fabricate SiO 2 /PEI-PU membranes. • Introducing PEI, PU and SiO 2 improved safety, tensile strength and ionic conductivity. • Coating SiO 2 also restrained the micro-shorting and migrated the self-discharge. • SiO 2 /PEI-PU membranes based cell exhibited prominent cycling and rate performance. - ABSTRACT: The performance of lithium ion battery based on electrospun nanofibrous membranes has gained a great deal of attention in the past decades, but the intrinsic low mechanical strength and large pore size of electrospun membranes limit their battery performance. To overcome this limitation, a powerful strategy for designing, fabricating and evaluating silica nanoparticles coated polyetherimide-polyurethane (SiO 2 /PEI-PU) nanofibrous composite membranes is easily developed via electrospinning followed by a dip-coating process. Benefiting from the high porosity, interpenetrating network structure and synergetic effect of PU, PEI and SiO 2 nanoparticles, the as-prepared composite membranes exhibit high ionic conductivity (2.33 mS cm −1 ), robust tensile strength (15.65 MPa) and improved safety (excellent thermal resistance and flame retardant property). Additionally, the as-prepared composite membranes possess relatively narrow pore size distribution with average pore size of 0.58 μm after coating SiO 2 nanoparticles, which plays an important role in hindering the micro-shorting and mitigating self-discharge. Significantly, the SiO 2 /PEI-PU membranes based Li/LiFePO 4 cell exhibits more excellent cycling stability with capacity retention of 98.7% after 50 cycles at 0.2 C rate and better rate capability compared with the Celgard membrane based cell. The results clearly demonstrate that this is a promising separator candidate for next-generation lithium ion batteries, which may represent a significant step toward separators with improved performance

  14. Magnetic SiO2 gel microspheres for arterial embolization hyperthermia

    International Nuclear Information System (INIS)

    Li Zhixia; Kawashita, Masakazu; Araki, Norio; Mitsumori, Michihide; Hiraoka, Masahiro; Doi, Masaaki

    2010-01-01

    We have prepared magnetic SiO 2 microspheres with a diameter of 20-30 μm as thermoseeds for hyperthermia of cancer. These were prepared by directly introducing preformed magnetic iron oxide nanoparticles (IONPs) into microspheres of a SiO 2 gel matrix derived from the hydrolysis of tetramethoxysilane (TMOS) in a water-in-oil (W/O) emulsion. Dimethylformamide (DMF) was used as a stabilizer, methanol (CH 3 OH) as a dispersant and ammonia (NH 4 OH) as the catalyst for the formation of the spherical particles in the aqueous phase of the W/O emulsion. The magnetic IONPs were synthesized hydrochemically in an aqueous system composed of ferrous chloride, sodium nitrate and sodium hydroxide. Mono-dispersed magnetic SiO 2 gel microspheres with a diameter of approximately 20 μm were successfully obtained by adding a determined amount of solution with a molar ratio of TMOS/DMF/CH 3 OH/H 2 O/NH 4 OH = 1:1.4:9:20:0.03 to kerosene with a surfactant (sorbitan monooleate/sorbitan monostearate = 3:1 by weight ratio) that was 30 wt% of the total amount of the oil phase. These were estimated to contain up to 60 wt% of IONPs that consisted mainly of Fe 3 O 4 and showed a higher specific absorption rate (SAR = 27.9-43.8 W g -1 ) than that of the starting IONPs (SAR = 25.3 W g -1 ) under an alternating current magnetic field of 300 Oe and 100 kHz.

  15. Strong piezoelectricity in single-layer graphene deposited on SiO2 grating substrates.

    Science.gov (United States)

    da Cunha Rodrigues, Gonçalo; Zelenovskiy, Pavel; Romanyuk, Konstantin; Luchkin, Sergey; Kopelevich, Yakov; Kholkin, Andrei

    2015-06-25

    Electromechanical response of materials is a key property for various applications ranging from actuators to sophisticated nanoelectromechanical systems. Here electromechanical properties of the single-layer graphene transferred onto SiO2 calibration grating substrates is studied via piezoresponse force microscopy and confocal Raman spectroscopy. The correlation of mechanical strains in graphene layer with the substrate morphology is established via Raman mapping. Apparent vertical piezoresponse from the single-layer graphene supported by underlying SiO2 structure is observed by piezoresponse force microscopy. The calculated vertical piezocoefficient is about 1.4 nm V(-1), that is, much higher than that of the conventional piezoelectric materials such as lead zirconate titanate and comparable to that of relaxor single crystals. The observed piezoresponse and achieved strain in graphene are associated with the chemical interaction of graphene's carbon atoms with the oxygen from underlying SiO2. The results provide a basis for future applications of graphene layers for sensing, actuating and energy harvesting.

  16. The hydrophobic and omnidirectional antireflection coating of SiO2 nanospheres with C18-TEOS

    Science.gov (United States)

    Hsu, Cheng-Chih; Lan, Wen-Lin; Chen, Nien-Po; Wu, Chyan-Chyi

    2014-06-01

    This paper demonstrates the antireflection coating of SiO2 nanospheres applied to cover glass by using the optimal spin-coating method. Because of the hydrolysis and condensation reactions between the SiO2 nanosphere antireflection (AR) coating and n-octadecyltriethoxysilane solution (C18-TEOS), the contact angle of the AR coating with hydrophobic treatment is improved approximately 38%, and the moisture-resistance remains unchanged, which preserved similar transmittance for six weeks. Furthermore, the AR coating with hydrophobic treatment exhibits approximately 3% and 7% improvement in the transmittance at normal and oblique incidence, respectively. The hydrophobic and omnidirectional AR coating with nanoscale SiO2 particles can be fabricated using the proposed simple and economical method.

  17. Effect on the insulation material of a MOSFET device submitted to a standard diagnostic radiation beam

    International Nuclear Information System (INIS)

    De Magalhaes, C M S; Dos Santos, L A P; Souza, D do N; Maia, A F

    2010-01-01

    MOSFET electronic devices have been used for dosimetry in radiology and radiotherapy. Several communications show that due to the radiation exposure defects appear on the semiconductor crystal lattice. Actually, the structure of a MOSFET consists of three materials: a semiconductor, a metal and an insulator between them. The MOSFET is a quadripolar device with a common terminal: gate-source is the input; drain-source is the output. The gate controls the electrical current passing through semiconductor medium by the field effect because the silicon oxide acts as insulating material. The proposal of this work is to show some radiation effects on the insulator of a MOSFET device. A 6430 Keithley sub-femtoamp SourceMeter was used to verify how the insulating material layer in the structure of the device varies with the radiation exposure. We have used the IEC 61267 standard radiation X-ray beams generated from a Pantak industrial unit in the radiation energy range of computed tomography. This range was chosen because we are using the MOSFET device as radiation detector for dosimetry in computed tomography. The results showed that the behaviour of the electrical current of the device is different in the insulator and semiconductor structures.

  18. Interactions of atomic hydrogen with amorphous SiO2

    Science.gov (United States)

    Yue, Yunliang; Wang, Jianwei; Zhang, Yuqi; Song, Yu; Zuo, Xu

    2018-03-01

    Dozens of models are investigated by the first-principles calculations to simulate the interactions of an atomic hydrogen with a defect-free random network of amorphous SiO2 (a-SiO2) and oxygen vacancies. A wide variety of stable configurations are discovered due to the disorder of a-SiO2, and their structures, charges, magnetic moments, spin densities, and density of states are calculated. The atomic hydrogen interacts with the defect-free a-SiO2 in positively or negatively charged state, and produces the structures absent in crystalline SiO2. It passivates the neutral oxygen vacancies and generates two neutral hydrogenated E‧ centers with different Si dangling bond projections. Electron spin resonance parameters, including Fermi contacts, and g-tensors, are calculated for these centers. The atomic hydrogen interacts with the positive oxygen vacancies in dimer configuration, and generate four different positive hydrogenated defects, two of which are puckered like the Eγ‧ centers. This research helps to understand the interactions between an atomic hydrogen, and defect-free a-SiO2 and oxygen vacancies, which may generate the hydrogen-complexed defects that play a key role in the degeneration of silicon/silica-based microelectronic devices.

  19. Fabrication of free standing LiNbO3 single crystal micro-platelets and their integration to Si-on-insulator platforms

    International Nuclear Information System (INIS)

    Lee, Yoo Seung; Lee, Sang-Shin; Lee, Wan-Gyu; Steier, William H.

    2011-01-01

    Free standing single crystal LiNbO 3 micro-platelets (mm long and 1 μm thick) have been obtained from a z-cut LiNbO 3 wafer by ion implantation and thermal treatment. X-ray diffraction measurement has proved that they have a single crystal structure. Their surface roughness and film quality have been investigated by atomic force microscope and field emission scanning electron microscope. These micro-platelets are transferable and bondable to other materials which require material properties of LiNbO 3 . They have been transferred, positioned and bonded to SiO 2 /LiNbO 3 , SiO 2 /Si, and Si-on-insulator (SOI: Si/SiO 2 /Si) by direct bonding method with optimum annealing conditions. For SiO 2 /Si and SOI substrates, there were large thermal mismatch between LiNbO 3 and Si. They were, however, bonded at high temperature since these ultra thin micro-platelets were flexible and stretchable. Finally, to realize multifunctional SOI applications, a hybrid structure of LiNbO 3 film and Si waveguide has been demonstrated.

  20. Top-gated field-effect LaAlO{sub 3}/SrTiO{sub 3} devices made by ion-irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Hurand, S.; Jouan, A.; Feuillet-Palma, C.; Singh, G.; Malnou, M.; Lesueur, J.; Bergeal, N. [Laboratoire de Physique et d' Etude des Matériaux-CNRS-ESPCI ParisTech-UPMC, PSL Research University, 10 Rue Vauquelin - 75005 Paris (France); Lesne, E.; Reyren, N.; Barthélémy, A.; Bibes, M.; Villegas, J. E. [Unité Mixte de Physique CNRS-Thales, 1 Av. A. Fresnel, 91767 Palaiseau (France); Ulysse, C. [Laboratoire de Photonique et de Nanostructures LPN-CNRS, Route de Nozay, 91460 Marcoussis and Universit Paris Sud, 91405 Orsay (France); Pannetier-Lecoeur, M. [DSM/IRAMIS/SPEC - CNRS UMR 3680, CEA Saclay, F-91191 Gif-sur-Yvette Cedex (France)

    2016-02-01

    We present a method to fabricate top-gated field-effect devices in a LaAlO{sub 3}/SrTiO{sub 3} two-dimensional electron gas (2-DEG). Prior to the gate deposition, the realisation of micron size conducting channels in the 2-DEG is achieved by an ion-irradiation with high-energy oxygen ions. After identifying the ion fluence as the key parameter that determines the electrical transport properties of the channels, we demonstrate the field-effect operation. At low temperature, the normal state resistance and the superconducting T{sub c} can be tuned over a wide range by a top-gate voltage without any leakage. A superconductor-to-insulator quantum phase transition is observed for a strong depletion of the 2-DEG.

  1. Electrical characteristics of AlO sub x N sub y prepared by oxidation of sub-10-nm-thick AlN films for MOS gate dielectric applications

    CERN Document Server

    Jeon, S H; Kim, H S; Noh, D Y; Hwang, H S

    2000-01-01

    In this research, the feasibility of ultrathin AlO sub x N sub y prepared by oxidation of sub 100-A-thick AlN thin films for metal-oxide-semiconductor (MOS) gate dielectric applications was investigated. Oxidation of 51-A-and 98-A-thick as-deposited AlN at 800 .deg. C was used to form 72-A-and 130-A-thick AlO sub x N sub y , respectively. Based on the capacitance-voltage (C-V) measurements of the MOS capacitor, the dielectric constants of 72 A-thick and 130 A-thick Al-oxynitride were 5.15 and 7, respectively. The leakage current of Al-oxynitride at low field was almost the same as that of thermal SiO sub 2. based on the CV data, the interface state density of Al-oxynitride was relatively higher than that of SiO sub 2. Although process optimization is still necessary, the Al-oxynitride exhibits some possibility for future MOS gate dielectric applications.

  2. A novel coating material that uses nano-sized SiO2 particles to intensify hydrophobicity and corrosion protection properties

    International Nuclear Information System (INIS)

    Ammar, Sh.; Ramesh, K.; Vengadaesvaran, B.; Ramesh, S.; Arof, A.K.

    2016-01-01

    Highlights: • Hybrid SiO 2 nanocomposite coatings were fabricated on mild steel. • Highest coating resistance were exhibited by coatings with 3 wt.% SiO 2 nanoparticles. • Long-term stability measurement, together with hydrophobic surface measurements, were obtained. - Abstract: The influence of SiO 2 nanoparticles on hydrophobicity and the corrosion protection capabilities of hybrid acrylic-silicone polymeric matrix have been investigated. Contact angle measurements (CA), atomic force microscopy (AFM), field emission scanning electron microscopy (FE-SEM), and energy dispersive X-ray spectroscopy (EDX) were used to study the hydrophobicity, morphology, and topography of the coatings. In addition, electrochemical impedance spectroscopy (EIS) and salt spray techniques were employed to evaluate the corrosion protection performance. A coating with 3 wt.% SiO 2 , AS 3, demonstrates significant improvement in corrosion resistance with the highest measured CA of 97.3°. Morphology and topography studies clarify the influence of nano-sized SiO 2 fillers on the surface topography and demonstrated the uniform and good distribution of the embedded SiO 2 nanoparticles within the polymeric matrix.

  3. Spontaneous dissociation of Co2(CO8 and autocatalytic growth of Co on SiO2: A combined experimental and theoretical investigation

    Directory of Open Access Journals (Sweden)

    Kaliappan Muthukumar

    2012-07-01

    Full Text Available We present experimental results and theoretical simulations of the adsorption behavior of the metal–organic precursor Co2(CO8 on SiO2 surfaces after application of two different pretreatment steps, namely by air plasma cleaning or a focused electron beam pre-irradiation. We observe a spontaneous dissociation of the precursor molecules as well as autodeposition of cobalt on the pretreated SiO2 surfaces. We also find that the differences in metal content and relative stability of these deposits depend on the pretreatment conditions of the substrate. Transport measurements of these deposits are also presented. We are led to assume that the degree of passivation of the SiO2 surface by hydroxyl groups is an important controlling factor in the dissociation process. Our calculations of various slab settings, using dispersion-corrected density functional theory, support this assumption. We observe physisorption of the precursor molecule on a fully hydroxylated SiO2 surface (untreated surface and chemisorption on a partially hydroxylated SiO2 surface (pretreated surface with a spontaneous dissociation of the precursor molecule. In view of these calculations, we discuss the origin of this dissociation and the subsequent autocatalysis.

  4. Gel electrolytes based on poly(acrylonitrile)/sulpholane with hybrid TiO2/SiO2 filler for advanced lithium polymer batteries

    International Nuclear Information System (INIS)

    Kurc, Beata

    2014-01-01

    Highlights: • Paper describes properties of gel electrolyte based on PAN with TMS and TiO 2 -SiO 2 . • The TiO 2 -SiO 2 oxide composite was precipitated in the emulsion system and used as the fillers. • The capacity of the graphite anode depends on the current rate and the amount of TiO 2 -SiO 2 . • For PE3 electrolyte was obtained practical capacity more than 90% of the theoretical capacity. - Abstract: This paper describes the synthesis and properties of a new type of ceramic fillers for composite polymer gel electrolytes. Hybrid TiO 2 -SiO 2 ceramic powders have been obtained by co-precipitation from titanium(IV) sulfate solution using sodium silicate as the precipitating agent. The resulting submicron-size powders have been applied as fillers for composite polymer gel electrolytes for Li-ion batteries based on polyacrylonitrile (PAN) membranes. The powders and gel electrolytes have been examined structurally and electrochemically, showing favorable properties in terms of electrolyte uptake and electrochemical characteristics in Li-ion cells

  5. Electronic and surface properties of pentacene films deposited on SiO2 prepared by the sol–gel and thermally grown methods

    International Nuclear Information System (INIS)

    Dai, Chi-Jie; Tsao, Hou-Yen; Lin, Yow-Jon; Liu, Day-Shan

    2014-01-01

    This study investigates the effect of different types of SiO 2 on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO 2 surfaces than sol–gel SiO 2 surfaces, suggesting that the thermally grown SiO 2 dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO 2 dielectrics is higher than that in pentacene on sol–gel SiO 2 dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO 2 was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films

  6. In-situ grown CNTs modified SiO2/C composites as anode with improved cycling stability and rate capability for lithium storage

    Science.gov (United States)

    Wang, Siqi; Zhao, Naiqin; Shi, Chunsheng; Liu, Enzuo; He, Chunnian; He, Fang; Ma, Liying

    2018-03-01

    Silica (SiO2) is regarded as one of the most promising anode materials for lithium ion batteries owing to its high theoretical specific capacity, relatively low operation potentials, abundance, environmental benignity and low cost. However, the low intrinsic electrical conductivity and large volume change of SiO2 during the discharge/charge cycles usually results in poor electrochemical performance. In this work, carbon nanotubes (CNTs) modified SiO2/C composites have been fabricated through an in-situ chemical vapor deposition method. The results show that the electrical conductivity of the SiO2/C/CNTs is visibly enhanced through a robust connection between the CNTs and SiO2/C particles. Compared with the pristine SiO2 and SiO2/C composites, the SiO2/C/CNTs composites display a high initial capacity of 1267.2 mA h g-1. Besides, an excellent cycling stability with the capacity of 315.7 mA h g-1 is achieved after 1000th cycles at a rate of 1 A g-1. The significantly improved electrochemical properties of the SiO2/C/CNTs composites are mainly attributed to the formation of three dimensional CNT networks in the SiO2/C substrate, which can not only shorten the Li-ion diffusion path but also relieve the volume change during the lithium-ion insertion/extraction processes.

  7. Stability of polarization in organic ferroelectric metal-insulator-semiconductor (MIS) structures

    Energy Technology Data Exchange (ETDEWEB)

    Kalbitz, Rene; Fruebing, Peter; Gerhard, Reimund [Department of Physics and Astronomy, University of Potsdam, Karl-Liebknecht-Strasse 24-25, 14476, Potsdam (Germany); Taylor, Martin [School of Electronic Engineering, Bangor University, Dean Street, Bangor Gwynedd, LL57 1UT (United Kingdom)

    2011-07-01

    Ferroelectric field effect transistors (FeFETs) offer the prospect of an organic-based memory device. Since the charge transport in such devices is confined to the interface between the insulator and the semiconductor, the focus of the present study was on the investigation of this region. Capacitance-voltage (C-V) measurements of all-organic MIS devices with poly(vinylidenefluoride- trifluoroethylene) (P(VDF-TrFE)) as gate insulator and poly(3-hexylthiophene)(P3HT) as semiconductor were carried out. When the structure was driven into depletion, a positive flat-band voltage shift was observed arising from the change in polarization state of the ferroelectric insulator. When driven into accumulation, the polarization was reversed. It is shown that both polarization states are stable. However, negative charge trapped at the interface during the depletion cycle masks the negative shift in flat-band voltage expected during the sweep to accumulation voltages. Measurements on P(VDF-TrFE)/P3HT based FeFETs yield further evidence for fixed charges at the interface. Output characteristics suggest the injection of negative charges into the interface region when a depletion voltage is applied between source and gate contact.

  8. Regulating effect of SiO2 interlayer on optical properties of ZnO thin films

    International Nuclear Information System (INIS)

    Xu, Linhua; Zheng, Gaige; Miao, Juhong; Su, Jing; Zhang, Chengyi; Shen, Hua; Zhao, Lilong

    2013-01-01

    ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. Regulating effect of SiO 2 interlayer with various thicknesses on the optical properties of ZnO/SiO 2 thin films was investigated deeply. The analyses of X-ray diffraction show that the ZnO layers in ZnO/SiO 2 nanocomposite films have a wurtzite structure and are preferentially oriented along the c-axis while the SiO 2 layers are amorphous. The scanning electron microscope images display that the ZnO layers are composed of columnar grains and the thicknesses of ZnO and SiO 2 layers are all very uniform. The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films, which is reflected in the following two aspects: (1) the transmittance of ZnO/SiO 2 nanocomposite films is increased; (2) the photoluminescence (PL) of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays. -- Highlights: ► ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. ► The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films. ► The photoluminescence of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. ► The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays

  9. Ultrashort hybrid metal-insulator plasmonic directional coupler.

    Science.gov (United States)

    Noghani, Mahmoud Talafi; Samiei, Mohammad Hashem Vadjed

    2013-11-01

    An ultrashort plasmonic directional coupler based on the hybrid metal-insulator slab waveguide is proposed and analyzed at the telecommunication wavelength of 1550 nm. It is first analyzed using the supermode theory based on mode analysis via the transfer matrix method in the interaction region. Then the 2D model of the coupler, including transition arms, is analyzed using a commercial finite-element method simulator. The hybrid slab waveguide is composed of a metallic layer of silver and two dielectric layers of silica (SiO2) and silicon (Si). The coupler is optimized to have a minimum coupling length and to transfer maximum power considering the layer thicknesses as optimization variables. The resulting coupling length in the submicrometer region along with a noticeable power transfer efficiency are advantages of the proposed coupler compared to previously reported plasmonic couplers.

  10. Surface Modification of SiO2 Microchannels with Biocompatible Polymer Using Supercritical Carbon Dioxide

    Science.gov (United States)

    Saito, Tatsuro; Momose, Takeshi; Hoshi, Toru; Takai, Madoka; Ishihara, Kazuhiko; Shimogaki, Yukihiro

    2010-11-01

    The surface of 500-mm-long microchannels in SiO2 microchips was modified using supercritical CO2 (scCO2) and a biocompatible polymer was coated on it to confer biocompatibility to the SiO2 surface. In this method, the SiO2 surface of a microchannel was coated with poly(ethylene glycol monomethacrylate) (PEGMA) as the biocompatible polymer using allyltriethoxysilane (ATES) as the anchor material in scCO2 as the reactive medium. Results were compared with those using the conventional wet method. The surface of a microchannel could not be modified by the wet method owing to the surface tension and viscosity of the liquid, but it was modified uniformly by the scCO2 method probably owing to the near-zero surface tension, low viscosity, and high diffusivity of scCO2. The effect of the surface modification by the scCO2 method to prevent the adsorption of protein was as high as that of the modification by the wet method. Modified microchips can be used in biochemical and medical analyses.

  11. Spin-dependent Peltier effect in 3D topological insulators

    Science.gov (United States)

    Sengupta, Parijat; Kubis, Tillmann; Povolotskyi, Michael; Klimeck, Gerhard

    2013-03-01

    The Peltier effect represents the heat carrying capacity of a certain material when current passes through it. When two materials with different Peltier coefficients are placed together, the Peltier effect causes heat to flow either towards or away from the interface between them. This work utilizes the spin-polarized property of 3D topological insulator (TI) surface states to describe the transport of heat through the spin-up and spin-down channels. It has been observed that the spin channels are able to carry heat independently of each other. Spin currents can therefore be employed to supply or extract heat from an interface between materials with spin-dependent Peltier coefficients. The device is composed of a thin film of Bi2Se3 sandwiched between two layers of Bi2Te3. The thin film of Bi2Se3serves both as a normal and topological insulator. It is a normal insulator when its surfaces overlap to produce a finite band-gap. Using an external gate, Bi2Se3 film can be again tuned in to a TI. Sufficiently thick Bi2Te3 always retain TI behavior. Spin-dependent Peltier coefficients are obtained and the spin Nernst effect in TIs is shown by controlling the temperature gradient to convert charge current to spin current.

  12. Characterization, integration and reliability of HfO2 and LaLuO3 high-κ/metal gate stacks for CMOS applications

    International Nuclear Information System (INIS)

    Nichau, Alexander

    2013-01-01

    The continued downscaling of MOSFET dimensions requires an equivalent oxide thickness (EOT) of the gate stack below 1 nm. An EOT below 1.4 nm is hereby enabled by the use of high-κ/metal gate stacks. LaLuO 3 and HfO 2 are investigated as two different high-κ oxides on silicon in conjunction with TiN as the metal electrode. LaLuO 3 and its temperature-dependent silicate formation are characterized by hard X-ray photoemission spectroscopy (HAXPES). The effective attenuation length of LaLuO 3 is determined between 7 and 13 keV to enable future interface and diffusion studies. In a first investigation of LaLuO 3 on germanium, germanate formation is shown. LaLuO 3 is further integrated in a high-temperature MOSFET process flow with varying thermal treatment. The devices feature drive currents up to 70μA/μm at 1μm gate length. Several optimization steps are presented. The effective device mobility is related to silicate formation and thermal budget. At high temperature the silicate formation leads to mobility degradation due to La-rich silicate formation. The integration of LaLuO 3 in high-T processes delicately connects with the optimization of the TiN metal electrode. Hereby, stoichiometric TiN yields the best results in terms of thermal stability with respect to Si-capping and high-κ oxide. Different approaches are presented for a further EOT reduction with LaLuO 3 and HfO 2 . Thereby the thermodynamic and kinetic predictions are employed to estimate the behavior on the nanoscale. Based on thermodynamics, excess oxygen in the gate stack, especially in oxidized metal electrodes, is identified to prevent EOT scaling below 1.2 nm. The equivalent oxide thickness of HfO 2 gate stacks is scalable below 1 nm by the use of thinned interfacial SiO 2 . The prevention of oxygen incorporation into the metal electrode by Si-capping maintains the EOT after high temperature annealing. Redox systems are employed within the gate electrode to decrease the EOT of HfO 2 gate stacks

  13. Cs2.5H0.5PWO40/SiO2 as addition self-humidifying composite membrane for proton exchange membrane fuel cells

    International Nuclear Information System (INIS)

    Wang, L.; Yi, B.L.; Zhang, H.M.; Xing, D.M.

    2007-01-01

    In this paper, we first reported a novel self-humidifying composite membrane for the proton exchange membrane fuel cell (PEMFC). Cs 2.5 H 0.5 PWO 40 /SiO 2 catalyst particles were dispersed uniformly into the Nafion (registered) resin, and then Cs 2.5 H 0.5 PWO 40 -SiO 2 /Nafion composite membrane was prepared using solution-cast method. Compared with the H 3 PWO 40 (PTA) , the Cs 2.5 H 0.5 PWO 40 /SiO 2 was steady due to the substitute of H + with Cs + and the interaction between the Cs 2.5 H 0.5 PWO 40 and SiO 2 . And compared with the performance of the fuel cell with commercial Nafion (registered) NRE-212 membrane, the cell performance with the self-humidifying composite membrane was obviously improved under both humidified and dry conditions at 60 and 80 o C. The best performance under dry condition was obtained at 60 o C. The self-humidifying composite membrane could minimize membrane conductivity loss under dry conditions due to the presence of catalyst and hydrophilic Cs 2.5 H 0.5 PWO 40 /SiO 2 particles

  14. Formation of SiO2/polytetrafluoroethylene hybrid superhydrophobic coating

    International Nuclear Information System (INIS)

    Zheng Yansheng; He Yi; Qing Yongquan; Zhuo Zhihao; Mo Qian

    2012-01-01

    Highlights: ► The coating showed the water contact angle of 165° and the water sliding angle of 6°. ► The hierarchical structure with the low surface energy leads to surface superhydrophobicity. ► We demonstrated a simple yet efficient approach to preparing superhydrophobic surface. - Abstract: Superhydrophobic coating has been fabricated on the glass substrates with modified SiO 2 sol and polytetrafluoroethylene emulsion through a sol–gel process. SiO 2 sol was modified with γ-glycidoxypropyl trimethoxysilane. The coatings were characterized by water contact angle measurement, Scanning electron microscope, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy and thermal synthetic analysis. The experimental results show that coatings exhibited superhydrophobic and heat-resistant property with a water average contact angle of 156° and sliding angle of 6°, coating has a rough surface with both micro- and nanoscale structures, γ-glycidoxypropyl trimethoxysilane enhanced the hydrophobicity of the coatings. Low surface energy of polymer and special structure of the coatings were responsible for the hydrophobic of the surfaces.

  15. Non-isothermal crystallization kinetics and phase transformation of Bi2O3-SiO2 glass-ceramics

    Directory of Open Access Journals (Sweden)

    Guo H.W.

    2011-01-01

    Full Text Available The Bi2O3-SiO2 (BS glass-ceramics were prepared by melt-quench technique, and the crystallization kinetics and phase transformation behavior were investigated in accordance with Kissinger and Johson-Mehl-Avrami equation, DSC, XRD and SEM. The results show that in the heat treatment process (or termed as re-crystallizing process Bi2SiO5 and Bi4Si3O12 crystals were found consequently. Respectively, the crystallization activation energies of the two crystals are Ep1=14.8kJ/mol and Ep2=34.1kJ/mol. And the average crystallization index of n1=1.73 and n2=1.38 suggested volume nucleation, one-dimensional growth and surface nucleation, one-dimensional growth from surface to the inside respectively. The meta-stable needle-like Bi2SiO5 crystals are easily to be transformed into stable prismatic Bi4Si3O12 crystals. By quenching the melt and hold in 850°C for 1h, the homogenous single Bi4Si3O12 crystals were found in the polycrystalline phase of the BS glassceramics system.

  16. Superhydrophobic coatings fabricated with polytetrafluoroethylene and SiO2 nanoparticles by spraying process on carbon steel surfaces

    International Nuclear Information System (INIS)

    Wang, Haibin; Chen, Eryu; Jia, Xianbu; Liang, Lijun; Wang, Qi

    2015-01-01

    Graphical abstract: - Highlights: • The SiO 2 and PTFE NP-filled coatings exhibit excellent superhydrophobicity. • PTFE-filled coatings show denser structures and better liquid resistance than SiO 2 . • Air pocket of Wentzel model explains the difference in the superhydrophobicity. - Abstract: Superhydrophobicity is extensively investigated because of the numerous methods developed for water-repellant interface fabrication. Many suitable functional materials for the production of superhydrophobic surfaces on various substrates are still being explored. In this study, inorganic SiO 2 and organic polytetrafluoroethylene (PTFE) nanoparticles (NPs) are used for a comparative study on the performance of superhydrophobic coating on carbon steel surfaces. The NPs are added to PTFE coating emulsions by physical blending to form coating mixtures. Raw SiO 2 NPs are then hydrophobized using KH-570 and validated by Fourier transform-infrared spectroscopy (FT-IR) and Dynamic Laser Scattering (DLS) grain size analyses. The microstructures of the surfaces are characterized by contact angle (CA) measurements and field emission-scanning electron microscope (FE-SEM) images. The prepared surfaces are subjected to adhesion, hardness, water resistance, and acid/alkali erosion tests. Hydrophobized SiO 2 -filled coating surfaces are found to have better uniformity than raw SiO 2 regardless of their similar maximum static contact angles (SCAs) about 150°. A SCA of 163.1° is obtained on the PTFE NP-filled coating surfaces that have a considerably denser structure than SiO 2 . Thermogravimetric (TG) and differential scanning calorimetry (DSC) analyses reveal that all fabricated surfaces have good thermal stability and tolerate temperatures up to 550 °C. The PTFE NP-filled coating surfaces also exhibit excellent water and acid resistance. A possible mechanism concerning the amount of trapped air is proposed in relation to practical superhydrophobic surface fabrication

  17. Synergetic scattering of SiO2 and Ag nanoparticles for light-trapping enhancement in organic bulk heterojunction

    Science.gov (United States)

    Yang, Huan; Ding, Qiuyu; Li, Ben Q.; Jiang, Xinbing; Zhang, Manman

    2018-02-01

    Though noble metal nanoparticles have been explored to enhance the performance of the organic solar cell, effect of dielectric nanoparticles, and coupled effect of dielectric and metal nanoparticles, have rarely been reported, if at all, on organic solar cell. This work reports an experimental study on synergetic scattering of SiO2 and Ag nanoparticles in a bulk organic heterojunction for the broadband light absorption enhancement. The wavelength scale SiO2 particles were arranged as a monolayer on the surface of the solar cell to guide incident light into the active layer and prolong the effective optical length of the entered energy. This is achieved by the excitation of whispering gallery modes in SiO2 nanoparticles and by leaky mode radiation. When small size Ag particles were incorporated into the transport layer of the solar cell, synergetic scattering of SiO2 and Ag nanoparticles is formed by coupling of the whispering gallery mode of closely arranged SiO2 particles atop and collaborative localized surface plasma resonance scattering of Ag nanoparticles dispersed in the transport layer. As a result, the performance of the organic solar cell is greatly enhanced and the short-circuit current density has an improvement of 42.47%. Therefore, the organic solar cell incorporated with SiO2 and Ag particles presents a meaningful strategy to achieve high energy-harvesting performance. [Figure not available: see fulltext.

  18. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    Science.gov (United States)

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  19. Realization of Colored Multicrystalline Silicon Solar Cells with SiO2/SiNx:H Double Layer Antireflection Coatings

    Directory of Open Access Journals (Sweden)

    Minghua Li

    2013-01-01

    Full Text Available We presented a method to use SiO2/SiNx:H double layer antireflection coatings (DARC on acid textures to fabricate colored multicrystalline silicon (mc-Si solar cells. Firstly, we modeled the perceived colors and short-circuit current density (Jsc as a function of SiNx:H thickness for single layer SiNx:H, and as a function of SiO2 thickness for the case of SiO2/SiNx:H (DARC with fixed SiNx:H (refractive index n=2.1 at 633 nm, and thickness = 80 nm. The simulation results show that it is possible to achieve various colors by adjusting the thickness of SiO2 to avoid significant optical losses. Therefore, we carried out the experiments by using electron beam (e-beam evaporation to deposit a layer of SiO2 over the standard SiNx:H for 156×156 mm2 mc-Si solar cells which were fabricated by a conventional process. Semisphere reflectivity over 300 nm to 1100 nm and I-V measurements were performed for grey yellow, purple, deep blue, and green cells. The efficiency of colored SiO2/SiNx:H DARC cells is comparable to that of standard SiNx:H light blue cells, which shows the potential of colored cells in industrial applications.

  20. Location of trapped charge in aluminum-implanted SiO2

    International Nuclear Information System (INIS)

    DiMaria, D.J.; Young, D.R.; Hunter, W.R.; Serrano, C.M.

    1978-01-01

    The position of the centroid of electrons trapped on sites resulting from aluminum implantation into SiO 2 is measured by using the photo I-V technique for energies from 15 to 40 keV, oxide thicknesses from 49 to 140 nm, and post-implant annealing temperature from 600 to 1050 0 C in N 2 for 30 min. The centroid of the trapped electrons is found to be identical to that of the implanted aluminum from SIMS measurements, regardless of annealing temperature from 600 to 1050 0 C, and located closer (by less than 9 nm) to the Al--SiO 2 interface than predicted from the Lindhard-Scharff-Schott (LSS) calculations of Gibbons, Johnson, and Mylroie. Comparison of centroids determined from photo I-V and SIMS measurements as a function of SiO 2 thickness also implies that the distributions of the ions and negative trapped charge are the same. The trapping behavior of these sites is discussed in the accompanying paper by Young et al

  1. Phase coherent transport in hybrid superconductor-topological insulator devices

    Science.gov (United States)

    Finck, Aaron

    2015-03-01

    Heterostructures of superconductors and topological insulators are predicted to host unusual zero energy bound states known as Majorana fermions, which can robustly store and process quantum information. Here, I will discuss our studies of such heterostructures through phase-coherent transport, which can act as a unique probe of Majorana fermions. We have extensively explored topological insulator Josephson junctions through SQUID and single-junction diffraction patterns, whose unusual behavior give evidence for low-energy Andreev bound states. In topological insulator devices with closely spaced normal and superconducting leads, we observe prominent Fabry-Perot oscillations, signifying gate-tunable, quasi-ballistic transport that can elegantly interact with Andreev reflection. Superconducting disks deposited on the surface of a topological insulator generate Aharonov-Bohm-like oscillations, giving evidence for unusual states lying near the interface between the superconductor and topological insulator surface. Our results point the way towards sophisticated interferometers that can detect and read out the state of Majorana fermions in topological systems. This work was done in collaboration with Cihan Kurter, Yew San Hor, and Dale Van Harlingen. We acknowledge funding from Microsoft Project Q.

  2. Osteoblast interaction with laser cladded HA and SiO2-HA coatings on Ti-6Al-4V

    International Nuclear Information System (INIS)

    Yang Yuling; Serpersu, Kaan; He Wei; Paital, Sameer R.; Dahotre, Narendra B.

    2011-01-01

    In order to improve the bioactivity and biocompatibility of titanium endosseous implants, the morphology and composition of the surfaces were modified. Polished Ti-6Al-4V substrates were coated by a laser cladding process with different precursors: 100 wt.% HA and 25 wt.% SiO 2 -HA. X-ray diffraction of the laser processed samples showed the presence of CaTiO 3 , Ca 3 (PO 4 ) 2 , and Ca 2 SiO 4 phases within the coatings. From in vitro studies, it was observed that compared to the unmodified substrate all laser cladded samples presented improved cellular interactions and bioactivity. The samples processed with 25 wt.% SiO 2 -HA precursor showed a significantly higher HA precipitation after immersion in simulated body fluid than 100 wt.% HA precursor and titanium substrates. The in vitro biocompatibility of the laser cladded coatings and titanium substrate was investigated by culturing of mouse MC3T3-E1 pre-osteoblast cell line and analyzing the cell viability, cell proliferation, and cell morphology. A significantly higher cell attachment and proliferation rate were observed for both laser cladded 100 wt.% HA and 25 wt.% SiO 2 -HA samples. Compared to 100 wt.% HA sample, 25 wt.% SiO 2 -HA samples presented a slightly improved cellular interaction due to the addition of SiO 2 . The staining of the actin filaments showed that the laser cladded samples induced a normal cytoskeleton and well-developed focal adhesion contacts. Scanning electron microscopic image of the cell cultured samples revealed better cell attachment and spreading for 25 wt.% SiO 2 -HA and 100 wt.% HA coatings than titanium substrate. These results suggest that the laser cladding process improves the bioactivity and biocompatibility of titanium. The observed biological improvements are mainly due to the coating induced changes in surface chemistry and surface morphology. Highlights: → Laser cladding of Ti alloys with bioceramics creates new phases. → Laser cladded samples with SiO 2 -doped

  3. Role of the interface region on the optoelectronic properties of silicon nanocrystals embedded in SiO2

    International Nuclear Information System (INIS)

    Daldosso, N.; Dalba, G.; Fornasini, P.; Grisenti, R.; Pavesi, L.; Luppi, M.; Magri, R.; Ossicini, S.; Degoli, E.; Rocca, F.; Boninelli, S.; Priolo, F.; Spinella, C.; Iacona, F.

    2003-01-01

    Light-emitting silicon nanocrystals embedded in SiO 2 have been investigated by x-ray absorption measurements in total electron and photoluminescence yields, by energy filtered transmission electron microscopy and by ab initio total energy calculations. Both experimental and theoretical results show that the interface between the silicon nanocrystals and the surrounding SiO 2 is not sharp: an intermediate region of amorphous nature and variable composition links the crystalline Si with the amorphous stoichiometric SiO 2 . This region plays an active role in the light-emission process

  4. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    Science.gov (United States)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  5. SiO2-coated LiNi0.915Co0.075Al0.01O2 cathode material for rechargeable Li-ion batteries.

    Science.gov (United States)

    Zhou, Pengfei; Zhang, Zhen; Meng, Huanju; Lu, Yanying; Cao, Jun; Cheng, Fangyi; Tao, Zhanliang; Chen, Jun

    2016-11-24

    We reported a one-step dry coating of amorphous SiO 2 on spherical Ni-rich layered LiNi 0.915 Co 0.075 Al 0.01 O 2 (NCA) cathode materials. Combined characterization of XRD, EDS mapping, and TEM indicates that a SiO 2 layer with an average thickness of ∼50 nm was uniformly coated on the surface of NCA microspheres, without inducing any change of the phase structure and morphology. Electrochemical tests show that the 0.2 wt% SiO 2 -coated NCA material exhibits enhanced cyclability and rate properties, combining with better thermal stability compared with those of pristine NCA. For example, 0.2 wt% SiO 2 -coated NCA delivers a high specific capacity of 181.3 mA h g -1 with a capacity retention of 90.7% after 50 cycles at 1 C rate and 25 °C. Moreover, the capacity retention of this composite at 60 °C is 12.5% higher than that of pristine NCA at 1 C rate after 50 cycles. The effects of SiO 2 coating on the electrochemical performance of NCA are investigated by EIS, CV, and DSC tests, the improved performance is attributed to the surface coating layer of amorphous SiO 2 , which effectively suppresses side reactions between NCA and electrolytes, decreases the SEI layer resistance, and retards the growth of charge-transfer resistance, thus enhancing structural and cycling stability of NCA.

  6. Synthesis of Y2O3-ZrO2-SiO2 composite coatings on carbon fiber reinforced resin matrix composite by an electro-plasma process

    Science.gov (United States)

    Zhang, Yuping; Lin, Xiang; Chen, Weiwei; Cheng, Huanwu; Wang, Lu

    2016-05-01

    In the present paper the Y2O3-ZrO2-SiO2 composite coating was successfully synthesized on carbon fiber reinforced resin matrix composite by an electro-plasma process. The deposition process, microstructures and oxidation resistance of the coatings with different SiO2 concentrations were systematically investigated. A relatively dense microstructure was observed for the Y2O3-ZrO2-SiO2 composite coating with the SiO2 concentration above 5 g/L. The coating exhibited very good oxidation resistance at 1273 K with the mass loss rate as low as ∼30 wt.%, compared to 100 wt.% of the substrate. The formation of the ceramic composites was discussed in detail based on the electrochemical mechanism and the deposition dynamics in order to explain the effect of the plasma discharge. We believe that the electro-plasma process will find wide applications in preparing ceramics and coatings in industries.

  7. Conductivity And Thermal Stability of Solid Acid Composites CsH2PO4 /NaH2PO4/ SiO2

    International Nuclear Information System (INIS)

    Norsyahida Mohammad; Abu Bakar Mohamad; Abu Bakar Mohamad; Abdul Amir Hassan Kadhum

    2016-01-01

    Solid acid composites CsH 2 PO 4 / NaH 2 PO 4 / SiO 2 with different mole ratios of CsH 2 PO 4 and NaH 2 PO 4 to SiO 2 were synthesized and characterized. Preliminary infrared measurements of CsH 2 PO 4 and its composites indicated that hydrogen bonds breaking and formation were detected between 1710 to 2710 cm -1 , while the rotation of phosphate tetrahedral anions occurred between 900 and 1200 cm -1 . The superprotonic transition of CsH 2 PO 4 / NaH 2 PO 4 / SiO 2 composite was identified at superprotonic temperatures between 230 and 260 degree Celcius, under atmospheric pressure. This study reveals higher conductivity values for composites with higher CsH 2 PO 4 (CDP) content. Solid acid composite CDP 613 appeared as the composite with the highest conductivity that is 7.2x10 -3 S cm -1 at 230 degree Celcius. Thermal stability of the solid acid composites such as temperature of dehydration, melting and decomposition were investigated. The addition of NaH 2 PO 4 lowers the dehydration temperature of the solid acid composites. (author)

  8. A low on-resistance SOI LDMOS using a trench gate and a recessed drain

    International Nuclear Information System (INIS)

    Ge Rui; Luo Xiaorong; Jiang Yongheng; Zhou Kun; Wang Pei; Wang Qi; Wang Yuangang; Zhang Bo; Li Zhaoji

    2012-01-01

    An integrable silicon-on-insulator (SOI) power lateral MOSFET with a trench gate and a recessed drain (TGRD MOSFET) is proposed to reduce the on-resistance. Both of the trench gate extended to the buried oxide (BOX) and the recessed drain reduce the specific on-resistance (R on,sp ) by widening the vertical conduction area and shortening the extra current path. The trench gate is extended as a field plate improves the electric field distribution. Breakdown voltage (BV) of 97 V and R on,sp of 0.985 mΩ·cm 2 (V GS = 5 V) are obtained for a TGRD MOSFET with 6.5 μm half-cell pitch. Compared with the trench gate SOI MOSFET (TG MOSFET) and the conventional MOSFET, R on,sp of the TGRD MOSFET decreases by 46% and 83% at the same BV, respectively. Compared with the SOI MOSFET with a trench gate and a trench drain (TGTD MOSFET), BV of the TGRD MOSFET increases by 37% at the same R on,sp . (semiconductor devices)

  9. Study on adsorption of rhodamine B onto Beta zeolites by tuning SiO2/Al2O3 ratio.

    Science.gov (United States)

    Cheng, Zhi-Lin; Li, Yan-Xiang; Liu, Zan

    2018-02-01

    The exploration of the relationship between zeolite composition and adsorption performance favored to facilitate its better application in removal of the hazardous substances from water. The adsorption capacity of rhodamine B (RB) onto Beta zeolite from aqueous solution was reported. The relationship between SiO 2 /Al 2 O 3 ratio and adsorption capacity of Beta zeolite for RB was explored. The structure and physical properties of Beta zeolites with various SiO 2 /Al 2 O 3 ratios were determined by XRD, FTIR, TEM, BET, UV-vis and so on characterizations. The adsorption behavior of rhodamine B onto Beta zeolite matched to Langmuir adsorption isotherm and more suitable description for the adsorption kinetics was a pseudo-second-order reaction model. The maximum adsorption capacity of the as-prepared Beta zeolite with SiO 2 /Al 2 O 3 = 18.4 was up to 27.97mg/g. Copyright © 2017 Elsevier Inc. All rights reserved.

  10. Synthesis of geopolymer from spent FCC: Effect of SiO2/Al2O<3 and Na2O/SiO2 molar ratios

    Directory of Open Access Journals (Sweden)

    Trochez, J. J.

    2015-03-01

    Full Text Available This paper assesses the feasibility of using a spent fluid catalytic cracking catalyst (SFCC as precursor for the production of geopolymers. The mechanical and structural characterization of alkali-activated SFCC binders formulated with different overall (activator + solid precursor SiO2/Al2O3 and Na2O/SiO2 molar ratios are reported. Formation of an aluminosilicate ‘geopolymer’ gel is observed under all conditions of activation used, along with formation of zeolites. Increased SiO2/Al2O3 induces the formation of geopolymers with reduced mechanical strength, for all the Na2O/SiO2 ratios assessed, which is associated with excess silicate species supplied by the activator. This is least significant at increased alkalinity conditions (higher Na2O/SiO2 ratios, as larger extents of reaction of the spent catalyst are achieved. SiO2/Al2O3 and Na2O/SiO2 ratios of 2.4 and 0.25, respectively, promote the highest compressive strength (67 MPa. This study elucidates the great potential of using SFCC as precursor to produce sustainable ceramic-like materials via alkali-activation.Este artículo estudia la factibilidad de usar un catalizador gastado del proceso de craqueo (SFCC para la producción de geopolímeros. Se evalúan las características mecánicas y estructurales de los geopolímeros producidos con diferentes relaciones molares (activador + precursor solido de SiO2/Al2O3 y Na2O/SiO2. La formación de un gel geopolimérico de tipo aluminosilicato se observa a las diferentes condiciones evaluadas, así como la formación de zeolitas. Un incremento en la relación SiO2/Al2O3 genera geopolímeros de baja resistencia mecánica, a las diferentes relaciones molares Na2O/SiO2 evaluadas, como consecuencia del exceso de especies silicato provenientes del activador. Este efecto es menos significativo al incrementar las condiciones de alcalinidad (mayores relaciones Na2O/SiO2, ya que un mayor grado de reacción del catalizador gastado es alcanzado. Las

  11. Understanding Coulomb Scattering Mechanism in Monolayer MoS2 Channel in the Presence of h-BN Buffer Layer.

    Science.gov (United States)

    Joo, Min-Kyu; Moon, Byoung Hee; Ji, Hyunjin; Han, Gang Hee; Kim, Hyun; Lee, Gwanmu; Lim, Seong Chu; Suh, Dongseok; Lee, Young Hee

    2017-02-08

    As the thickness becomes thinner, the importance of Coulomb scattering in two-dimensional layered materials increases because of the close proximity between channel and interfacial layer and the reduced screening effects. The Coulomb scattering in the channel is usually obscured mainly by the Schottky barrier at the contact in the noise measurements. Here, we report low-temperature (T) noise measurements to understand the Coulomb scattering mechanism in the MoS 2 channel in the presence of h-BN buffer layer on the silicon dioxide (SiO 2 ) insulating layer. One essential measure in the noise analysis is the Coulomb scattering parameter (α SC ) which is different for channel materials and electron excess doping concentrations. This was extracted exclusively from a 4-probe method by eliminating the Schottky contact effect. We found that the presence of h-BN on SiO 2 provides the suppression of α SC twice, the reduction of interfacial traps density by 100 times, and the lowered Schottky barrier noise by 50 times compared to those on SiO 2 at T = 25 K. These improvements enable us to successfully identify the main noise source in the channel, which is the trapping-detrapping process at gate dielectrics rather than the charged impurities localized at the channel, as confirmed by fitting the noise features to the carrier number and correlated mobility fluctuation model. Further, the reduction in contact noise at low temperature in our system is attributed to inhomogeneous distributed Schottky barrier height distribution in the metal-MoS 2 contact region.

  12. Synthesis and characterization of erbium-doped SiO2 nanoparticles fabricated by using reverse micelle and sol-gel processing

    International Nuclear Information System (INIS)

    Park, Hoyyul; Bae, Dongsik

    2012-01-01

    Erbium-doped SiO 2 nanoparticles have been synthesized using a reverse micelle technique combined with metal-alkoxide hydrolysis and condensation. The sizes and the morphologies of the erbium-doped SiO 2 nanoparticles could be changed by varying the molar ratio of water to surfactant. The sizes and the morphologies of the erbium-doped SiO 2 nanoparticles were examined by using a transmission electron microscope. The average size of synthesized erbium-doped SiO 2 nanoparticles was approximately 20 - 25 nm and that of the erbium particles was 3 - 5 nm. The effects of the synthesis parameters, such as the molar ratio of water to surfactant, are discussed.

  13. VO x /SiO 2 Catalyst Prepared by Grafting VOCl 3 on Silica for Oxidative Dehydrogenation of Propane

    KAUST Repository

    Zhu, Haibo; Ould-Chikh, Samy; Dong, Hailin; Llorens, Isabelle; Saih, Youssef; Anjum, Dalaver H.; Hazemann, Jean Louis; Basset, Jean-Marie

    2015-01-01

    The VOx/SiO2 catalysts for oxidative dehydrogenation of propane were synthesized by a simple grafting method. The VOCl3 was first grafted at the surface of SiO2, which was dehydrated at different temperature (from 200 to 1000°C). The formed grafted complexes were then calcined in air, leading to the formation of VOx/SiO2 catalysts. The synthesized catalysts were characterized by nitrogen adsorption, SEM, Raman spectroscopy, temperature-programmed reduction, and extended X-ray absorption fine structure analysis. The SiO2 pretreatment temperature has an evident effect on the loading and dispersion of VOx on SiO2, which finally affects their catalytic performance. High SiO2 treatment temperature is beneficial to dispersing the vanadium oxide species at the SiO2 surface. These materials are efficient catalysts for the catalytic oxidative dehydrogenation of propane to propylene. The best selectivity to propylene is achieved on the VOx/SiO2-(1000) catalyst. The high selectivity and activity are well maintained for three days catalytic reaction. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Magnesium Removal from an Aluminum A-332 Molten Alloy Using Enriched Zeolite with Nanoparticles of SiO2

    Directory of Open Access Journals (Sweden)

    R. Muñoz-Arroyo

    2014-01-01

    Full Text Available In order to improve the Mg removal from an A-380 molten alloy, mixtures of zeolite and SiO2 nanoparticles (SiO2(NPs were tested. Zeolite was enriched with 2.5, 5, 7.5, 10, or 12.5 wt-% of amorphous SiO2(NPs. The SiO2(NPs and zeolite were mixed for 30 min in ethanol for each experiment and then dried in a furnace at 80°C for 12 h. The enriched zeolites were analyzed by scanning electron microscopy, transmission electron microscopy, and N2 gas adsorption analysis. The Mg removal was carried out injecting each mixture into the molten aluminum alloy at 750°C using argon. The Mg content of the molten alloy was measured after different periods of the injection time. Zeolites enriched with 2.5 and 5 wt-% of SiO2(NPs were demonstrated to be the better mixtures, removing Mg from an initial content of 1.6 to a final content of 0.0002 and 0.0101 wt-%, respectively, in 45 min of injection.

  15. VO x /SiO 2 Catalyst Prepared by Grafting VOCl 3 on Silica for Oxidative Dehydrogenation of Propane

    KAUST Repository

    Zhu, Haibo

    2015-09-07

    The VOx/SiO2 catalysts for oxidative dehydrogenation of propane were synthesized by a simple grafting method. The VOCl3 was first grafted at the surface of SiO2, which was dehydrated at different temperature (from 200 to 1000°C). The formed grafted complexes were then calcined in air, leading to the formation of VOx/SiO2 catalysts. The synthesized catalysts were characterized by nitrogen adsorption, SEM, Raman spectroscopy, temperature-programmed reduction, and extended X-ray absorption fine structure analysis. The SiO2 pretreatment temperature has an evident effect on the loading and dispersion of VOx on SiO2, which finally affects their catalytic performance. High SiO2 treatment temperature is beneficial to dispersing the vanadium oxide species at the SiO2 surface. These materials are efficient catalysts for the catalytic oxidative dehydrogenation of propane to propylene. The best selectivity to propylene is achieved on the VOx/SiO2-(1000) catalyst. The high selectivity and activity are well maintained for three days catalytic reaction. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  17. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  18. Self-aligned top-gate InGaZnO thin film transistors using SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Wong, Man; Kwok, Hoi Sing

    2013-12-02

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin film transistors (TFTs) utilizing SiO{sub 2}/Al{sub 2}O{sub 3} stack thin films as gate dielectric are developed in this paper. Due to high quality of the high-k Al{sub 2}O{sub 3} and good interface between active layer and gate dielectric, the resulting a-IGZO TFT exhibits good electrical performance including field-effect mobility of 9 cm{sup 2}/Vs, threshold voltage of 2.2 V, subthreshold swing of 0.2 V/decade, and on/off current ratio of 1 × 10{sup 7}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric is proposed. • The source/drain areas are hydrogen-doped by CHF{sub 3} plasma. • The devices show good electrical performance and scaling down behavior.

  19. Red, Green, and Blue Photoluminescence of Ba2SiO4:M (M = Eu3+, Eu2+, Sr2+ Nanophosphors

    Directory of Open Access Journals (Sweden)

    Claudia Wickleder

    2013-07-01

    Full Text Available Divalent europium doped barium orthosilicate is a very important phosphor for the production of light emitting diodes (LEDs, generally associated to the green emission color of micron-sized crystals synthesized by means of solid-state reactions. This work presents the combustion synthesis as an energy and time-saving preparation method for very small nano-sized Ba2SiO4 particles, flexibly doped to acquire different emission energies. The size of the resulting spherical nanoparticles (NPs of the green emitting Ba2SiO4:Eu2+ was estimated to about 35 nm applying the Scherrer equation and further characterized with aid of atomic force microscopy (AFM as well as scanning electron microscopy (SEM. This phosphor is able to build homogeneous luminescent suspensions and was successfully down-sized without changing the optical properties in comparison to the bulk phosphors. Besides the X-ray diffraction (XRD analysis and the different types of microscopy, the samples were characterized by luminescence spectroscopy. Undoped Ba2SiO4 NPs are not luminescent, but show characteristic red emission of the 5D0 → 7FJ (J = 0–4 electronic transitions when doped with Eu3+ ions. Moreover, these orthosilicate nanoparticles generate blue light at low temperatures due to impurity-trapped excitons, introduced by the partial substitution of the Ba2+ with Sr2+ ions in the Ba2SiO4 lattice causing a substantial distortion. A model for the temperature behavior of the defect luminescence as well as for their nature is provided, based on temperature-dependent luminescence spectra and lifetime measurements.

  20. Controlled synthesis and relationship between luminescent properties and shape/crystal structure of Zn2SiO4:MN2+ phosphor

    International Nuclear Information System (INIS)

    Wan Junxi; Wang Zhenghua; Chen Xiangying; Mu Li; Yu Weichao; Qian Yitai

    2006-01-01

    Mn-doped Zn 2 SiO 4 phosphors with different morphology and crystal structure, which show different luminescence and photoluminescence intensity, were synthesized via a low-temperature hydrothermal route without further calcining treatment. As-synthesized zinc silicate nanostructures show green or yellow luminescence depending on their different crystal structure obtained under different preparation conditions. The yellow peak occurring at 575 nm comes from the β-phase zinc silicate, while the green peak centering at 525 nm results from the usual α-phase zinc silicate. From photoluminescence spectra, it is found that Zn 2 SiO 4 nanorods have higher photoluminescence intensity than Zn 2 SiO 4 nanoparticles. It can be ascribed to reduced surface-damaged region and high crystallinity of nanorods