WorldWideScience

Sample records for sio2 films deposited

  1. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  2. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  3. Potentiodynamical deposition of nanostructured MnO2 film at the assist of electrodeposited SiO2 as template

    International Nuclear Information System (INIS)

    Wu, Lian-Kui; Xia, Jie; Hou, Guang-Ya; Cao, Hua-Zhen; Tang, Yi-Ping; Zheng, Guo-Qu

    2016-01-01

    Highlights: • MnO 2 -SiO 2 composite film is prepared by potentiodynamical deposition. • Hierarchical porous MnO 2 films is obtained after the etching of SiO 2 . • The obtained MnO 2 film electrode exhibit high specific capacitance. - Abstract: We report a novel silica co-electrodeposition route to prepare nanostructured MnO 2 films. Firstly, MnO 2 -SiO 2 composite film was fabricated on a stainless steel substrate by potentiodynamical deposition, i.e. cyclic deposition, and then the SiO 2 template was removed by simple immersion in concentrated alkaline solution, leading to the formation of a porous MnO 2 (po-MnO 2 ) matrix. The structure and morphology of the obtained films were characterized using Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The electrochemical properties of the po-MnO 2 film were evaluated by cyclic voltammetry (CV), galvanostatic charge–discharge (GCD) and electrochemical impedance spectroscopy (EIS). Results showed that this porous MnO 2 derived from the MnO 2 -SiO 2 composite film exhibits good electrochemical performance for potential use as a supercapacitor material.

  4. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  5. Atmospheric Plasma Deposition of SiO2 Films for Adhesion Promoting Layers on Titanium

    Directory of Open Access Journals (Sweden)

    Liliana Kotte

    2014-12-01

    Full Text Available This paper evaluates the deposition of silica layers at atmospheric pressure as a pretreatment for the structural bonding of titanium (Ti6Al4V, Ti15V3Cr3Sn3Al in comparison to an anodizing process (NaTESi process. The SiO2 film was deposited using the LARGE plasma source, a linearly extended DC arc plasma source and applying hexamethyldisiloxane (HMDSO as a precursor. The morphology of the surface was analyzed by means of SEM, while the characterization of the chemical composition of deposited plasma layers was done by XPS and FTIR. The long-term durability of bonded samples was evaluated by means of a wedge test in hot/wet condition. The almost stoichiometric SiO2 film features a good long-term stability and a high bonding strength compared to the films produced with the wet-chemical NaTESi process.

  6. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  7. Electromigration study of Al thin films deposited on low dielectric polyimide and SiO sub 2 ILD

    CERN Document Server

    Eun, B S

    1999-01-01

    The electromigration characteristics of Al-1 %Si-0.5 %Cu films deposited onto three kinds of polyimides (PI-2734, PI-2611, and BG-2480) and onto SiO sub 2 prepared by low pressure chemical vapor deposition have been investigated. The Al lines deposited onto SiO sub 2 showed about a one-order higher electromigration lifetime than those deposited onto polyimide interlayer dielectrics (ILDs). The electromigration characteristics degraded as the polyimide thickness increased. Joule heat which accumulated at the Al/polyimide interface was the main cause of the decrease in the electromigration reliability because the thermal conductivity of the polyimides was about one order lower than that of SiO sub 2.

  8. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  9. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  10. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  11. A comparative study on omnidirectional anti-reflection SiO2 nanostructure films coating by glancing angle deposition

    Science.gov (United States)

    Prachachet, R.; Samransuksamer, B.; Horprathum, M.; Eiamchai, P.; Limwichean, S.; Chananonnawathorn, C.; Lertvanithphol, T.; Muthitamongkol, P.; Boonruang, S.; Buranasiri, P.

    2018-02-01

    Fabricated omnidirectional anti-reflection nanostructure films as a one of the promising alternative solar cell applications have attracted enormous scientific and industrial research benefits to their broadband, effective over a wide range of incident angles, lithography-free and high-throughput process. Recently, the nanostructure SiO2 film was the most inclusive study on anti-reflection with omnidirectional and broadband characteristics. In this work, the three-dimensional silicon dioxide (SiO2) nanostructured thin film with different morphologies including vertical align, slant, spiral and thin films were fabricated by electron beam evaporation with glancing angle deposition (GLAD) on the glass slide and silicon wafer substrate. The morphological of the prepared samples were characterized by field-emission scanning electron microscope (FE-SEM) and high-resolution transmission electron microscope (HRTEM). The transmission, omnidirectional and birefringence property of the nanostructure SiO2 films were investigated by UV-Vis-NIR spectrophotometer and variable angle spectroscopic ellipsometer (VASE). The spectrophotometer measurement was performed at normal incident angle and a full spectral range of 200 - 2000 nm. The angle dependent transmission measurements were investigated by rotating the specimen, with incidence angle defined relative to the surface normal of the prepared samples. This study demonstrates that the obtained SiO2 nanostructure film coated on glass slide substrate exhibits a higher transmission was 93% at normal incident angle. In addition, transmission measurement in visible wavelength and wide incident angles -80 to 80 were increased in comparison with the SiO2 thin film and glass slide substrate due to the transition in the refractive index profile from air to the nanostructure layer that improve the antireflection characteristics. The results clearly showed the enhanced omnidirectional and broadband characteristic of the three dimensional SiO

  12. Compositional and optical properties of SiO x films and (SiO x /SiO y ) junctions deposited by HFCVD

    Science.gov (United States)

    2014-01-01

    In this work, non-stoichiometric silicon oxide (SiO x ) films and (SiO x /SiO y ) junctions, as-grown and after further annealing, are characterized by different techniques. The SiO x films and (SiO x /SiO y ) junctions are obtained by hot filament chemical vapor deposition technique in the range of temperatures from 900°C to 1,150°C. Transmittance spectra of the SiO x films showed a wavelength shift of the absorption edge thus indicating an increase in the optical energy band gap, when the growth temperature decreases; a similar behavior is observed in the (SiO x /SiO y ) structures, which in turn indicates a decrease in the Si excess, as Fourier transform infrared spectroscopy (FTIR) reveals, so that, the film and junction composition changes with the growth temperature. The analysis of the photoluminescence (PL) results using the quantum confinement model suggests the presence of silicon nanocrystal (Si-nc) embedded in a SiO x matrix. For the case of the as-grown SiO x films, the absorption and emission properties are correlated with quantum effects in Si-nc and defects. For the case of the as-grown (SiO x /SiO y ) junctions, only the emission mechanism related to some kinds of defects was considered, but silicon nanocrystal embedded in a SiO x matrix is present. After thermal annealing, a phase separation into Si and SiO2 occurs, as the FTIR spectra illustrates, which has repercussions in the absorption and emission properties of the films and junctions, as shown by the change in the A and B band positions on the PL spectra. These results lead to good possibilities for proposed novel applications in optoelectronic devices. PACS 61.05.-a; 68.37.Og; 61.05.cp; 78.55.-m; 68.37.Ps; 81.15.Gh PMID:25342935

  13. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  14. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    Science.gov (United States)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  15. Highly efficient transparent Zn2SiO4:Mn2+ phosphor film on quartz glass

    International Nuclear Information System (INIS)

    Seo, K.I.; Park, J.H.; Kim, J.S.; Kim, G.C.; Yoo, J.H.

    2009-01-01

    Highly efficient transparent Zn 2 SiO 4 :Mn 2+ film phosphors on quartz substrates were deposited by the thermal diffusion of sputtered ZnO:Mn film. They show a textured structure with some preferred orientations. Our film phosphor shows, for the best photoluminescence (PL) brightness, a green PL brightness of about 20% of a commercial Zn 2 SiO 4 :Mn 2+ powder phosphor screen. The film shows a high transmittance of more than 10% at the red-color region. The excellence in PL brightness and transmittance can be explained in terms of the textured crystal growth with a continuous gradient of Zn 2 SiO 4 : Mn 2+ crystals.

  16. Electronic and surface properties of pentacene films deposited on SiO2 prepared by the sol–gel and thermally grown methods

    International Nuclear Information System (INIS)

    Dai, Chi-Jie; Tsao, Hou-Yen; Lin, Yow-Jon; Liu, Day-Shan

    2014-01-01

    This study investigates the effect of different types of SiO 2 on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO 2 surfaces than sol–gel SiO 2 surfaces, suggesting that the thermally grown SiO 2 dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO 2 dielectrics is higher than that in pentacene on sol–gel SiO 2 dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO 2 was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films

  17. Effect of a SiO2 buffer layer on the characteristics of In2O3-ZnO-SnO2 films deposited on PET substrates

    International Nuclear Information System (INIS)

    Woo, B.-J.; Hong, J.-S.; Kim, S.-T.; Kim, H.-M.; Park, S.-H.; Kim, J.-J.; Ahn, J.-S.

    2006-01-01

    Transparent and conducting In 2 O 3 -ZnO-SnO 2 (IZTO) thin films were prepared on flexible PET substrates at room temperature by using an ion-gun-assisted sputtering technique. We mainly investigated the effect of a SiO 2 buffer layer, deposited in-between the film and the PET substrate, on the electrical stability of the film under various external stresses caused by moist-heat or violent temperature variations. The insertion of the SiO 2 layer improves structural, optical and electrical properties of the films: The IZTO/SiO 2 /PET film with a buffer shows a change (∼4 %) in the sheet resistance much smaller than that of the IZTO/PET film without a buffer (∼22 %), against a severe thermal stress of the repeated processes between quenching at -25 .deg. C and annealing at 100 .deg. C for 5 min at each process. Under a moist-heat stress at 90 % relative humidity at 80 .deg. C, the IZTO/SiO 2 /PET film responds with only a slight change (∼8.5 %) in the sheet resistance from 30.2 to 33.0 Ω/□ after being exposed for 240 h. The enhanced stability is understood to be the result of the buffer layers acting as a blocking barrier to water vapor or organic solvents diffusing from the PET substrate during deposition or annealing.

  18. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    Energy Technology Data Exchange (ETDEWEB)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe [Université Grenoble-Alpes, CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France)

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ from which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a very

  19. Noise measurements on NbN thin films with a negative temperature resistance coefficient deposited on sapphire and on SiO2

    NARCIS (Netherlands)

    Leroy, G.; Gest, J.; Vandamme, L.K.J.; Bourgeois, O.

    2007-01-01

    We characterize granular NbNx thin cermet films deposited on either sapphire substrate or on SiO2 and compare the 1/f noise at 300 K and 80 K. The films were characterized with an impedance analyzer from 20 Hz to 1 MHz and analyzed as a resistor R in parallel with a capacitor C. The calculated noise

  20. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    Directory of Open Access Journals (Sweden)

    Jung-Hoon Yu

    2016-07-01

    Full Text Available This paper presents the preparation of high-quality vanadium dioxide (VO2 thermochromic thin films with enhanced visible transmittance (Tvis via radio frequency (RF sputtering and plasma enhanced chemical vapor deposition (PECVD. VO2 thin films with high Tvis and excellent optical switching efficiency (Eos were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58% compared with the pristine samples (λ 650 nm, 43%. This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications.

  1. Comparative study of the characteristics of Ni films deposited on SiO2/Si(100) by oblique-angle sputtering and conventional sputtering

    International Nuclear Information System (INIS)

    Yu Mingpeng; Qiu Hong; Chen Xiaobai; Wu Ping; Tian Yue

    2008-01-01

    Ni films were deposited on SiO 2 /Si(100) substrates at 300 K and 573 K by oblique-angle sputtering and conventional sputtering. The films deposited at 300 K mainly have a [110] crystalline orientation in the growing direction whereas those deposited at 573 K grow with a [111] crystalline orientation in the growing direction. The film prepared only at 300 K by oblique-angle sputtering grows with a weakly preferential orientation along the incidence direction of the sputtered Ni atoms. All the films grow with thin columnar grains perpendicular to the substrate surface. The grain size of the films sputter-deposited obliquely is larger than that of the films sputter-deposited conventionally. The grain size of the Ni film does not change markedly with the deposition temperature. The film deposited at 573 K by oblique-angle sputtering has the highest saturation magnetization. For the conventional sputtering, the coercivity of the Ni film deposited at 573 K is larger than that of the film deposited at 300 K. However, for the oblique-angle sputtering, the coercivity of the Ni film is independent of the deposition temperature. All the Ni films exhibit an isotropic magnetization characteristic in the film plane

  2. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  3. High-resolution ellipsometric study of an n-alkane film, dotriacontane, adsorbed on a SiO2 surface

    DEFF Research Database (Denmark)

    Volkmann, U.G.; Pino, M.; Altamirano, L.A.

    2002-01-01

    -crystal substrates. Our results suggest a model of a solid dotriacontane film that has a phase closest to the SiO2 surface in which the long-axis of the molecules is oriented parallel to the interface. Above this "parallel film" phase, a solid monolayer adsorbs in which the molecules are oriented perpendicular...... at higher coverages. In addition, we have performed high-resolution ellipsometry and stray-light measurements on dotriacontane films deposited from solution onto highly oriented pyrolytic graphite substrates. After film deposition, these substrates proved to be less stable in air than SiO2....

  4. Thickness measurement of SiO2 films thinner than 1 nm by X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Joong Kim, Kyung; Park, Ki Tae; Lee, Jong Wan

    2006-01-01

    The thickness measurement of ultra-thin SiO 2 films thinner than 1 nm was studied by X-ray photoelectron spectroscopy (XPS). Amorphous SiO 2 thin films were grown on amorphous Si films to avoid the thickness difference due to the crystalline structure of a substrate. SiO 2 thin films were grown by ion beam sputter deposition under oxygen gas flow and the thickness was measured by in situ XPS. The attenuation length was determined experimentally by a SiO 2 film with a known thickness. The straight line fit between the measured thickness using XPS and the nominal thickness showed a good linear relation with a gradient of 0.969 and a small offset of 0.126 nm. The gradient measured at the range of 3.4-0.28 nm was very close to that measured at sub-nanometer range of 1.13-0.28 nm. This result means that the reliable measurement of SiO 2 film thickness below 1 nm is possible by XPS

  5. Effect of SiO2 passivation overlayers on hillock formation in Al thin films

    International Nuclear Information System (INIS)

    Kim, Deok-kee

    2012-01-01

    Hillock formation in Al thin films with varying thicknesses of SiO 2 as a passivation layer was investigated during thermal cycling. Based on the stress measurements and the number of hillocks, 250 nm thick SiO 2 was thick enough to suppress the hillock formation and the suppression of hillock at 250 nm passivation and the lack of suppression at thinner passivation is related to the presence/absence of protection against the diffusive flow of atoms from the surrounding area to the surface due to the biaxial compressive stresses present in the film through the weak spots in the passivation layer. The stress state of Al films measured during annealing (the driving force for hillock formation) did not vary much with SiO 2 thickness. A small number of hillocks formed during the plasma enhanced chemical vapor deposition of SiO 2 overlayers at 300 °C. - Highlights: ► We examined the effect of SiO 2 overlayers on hillock formation in Al thin films. ► Thin overlayers were not effective in suppressing diffusive flow to the surface. ► A thick overlayer suppressed the diffusive flow from the interior to the surface. ► The stress state of Al films did not vary much with SiO 2 passivation thickness. ► High mechanical strength provided a large driving force for the large grain growth.

  6. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  7. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    Science.gov (United States)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  8. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  9. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  10. Effects of substrate temperature on structural and electrical properties of SiO2-matrix boron-doped silicon nanocrystal thin films

    International Nuclear Information System (INIS)

    Huang, Junjun; Zeng, Yuheng; Tan, Ruiqin; Wang, Weiyan; Yang, Ye; Dai, Ning; Song, Weijie

    2013-01-01

    In this work, silicon-rich SiO 2 (SRSO) thin films were deposited at different substrate temperatures (T s ) and then annealed by rapid thermal annealing to form SiO 2 -matrix boron-doped silicon-nanocrystals (Si-NCs). The effects of T s on the micro-structure and electrical properties of the SiO 2 -matrix boron-doped Si-NC thin films were investigated using Raman spectroscopy and Hall measurements. Results showed that the crystalline fraction and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films both increased significantly when the T s was increased from room temperature to 373 K. When the T s was further increased from 373 K to 676 K, the crystalline fraction of 1373 K-annealed thin films decreased from 52.2% to 38.1%, and the dark conductivity reduced from 8 × 10 −3 S/cm to 5.5 × 10 −5 S/cm. The changes in micro-structure and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films were most possibly due to the different amount of Si-O 4 bond in the as-deposited SRSO thin films. Our work indicated that there was an optimal T s , which could significantly increase the crystallization and conductivity of Si-NC thin films. Also, it was illumined that the low-resistivity SiO 2 -matrix boron-doped Si-NC thin films can be achieved under the optimal substrate temperatures, T s .

  11. Role of yttria-stabilized zirconia produced by ion-beam-assisted deposition on the properties of RuO2 on SiO2/Si

    International Nuclear Information System (INIS)

    Jia, Q.X.; Arendt, P.; Groves, J.R.; Fan, Y.; Roper, J.M.; Foltyn, S.R.

    1998-01-01

    Highly conductive biaxially textured RuO 2 thin films were deposited on technically important SiO 2 /Si substrates by pulsed laser deposition, where yttria-stabilized zirconia (YSZ) produced by ion-beam-assisted-deposition (IBAD) was used as a template to enhance the biaxial texture of RuO 2 on SiO 2 /Si. The biaxially oriented RuO 2 had a room-temperature resistivity of 37 μΩ-cm and residual resistivity ratio above 2. We then deposited Ba 0.5 Sr 0.5 TiO 3 thin films on RuO 2 /IBAD-YSZ/SiO 2 /Si. The Ba 0.5 Sr 0.5 TiO 3 had a pure (111) orientation normal to the substrate surface and a dielectric constant above 360 at 100 kHz. copyright 1998 Materials Research Society

  12. Target swapping in PLD: An efficient approach for CdS/SiO2 and CdS:Ag(1%)/SiO2 nanocomposite thin films with enhanced luminescent properties

    International Nuclear Information System (INIS)

    Saxena, Nupur; Kumar, Pragati; Gupta, Vinay

    2017-01-01

    A novel synthesis method for luminescent and by-products (like CdO) free CdS/SiO 2 and CdS:Ag(1%)/SiO 2 (i.e. 1%Ag doped CdS/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS, CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency ratio of 2:8 laser pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (i.e. 2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS/ CdS:Ag(1%) nanocrystals in nanocomposite systems after annealing at 500 °C. Highly intense and broad red emission is achieved from CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiencies of emission from pristine CdS:SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites are found to be enhanced by approximately two times as compared to sole nanocrystalline CdS and CdS:Ag(1%) thin films respectively and further enhanced upto 7 times on annealing the nanocomposite systems at 500 °C. - Graphical abstract: A modified synthesis method for luminescent and by-products (like CdO) free undoped &1% Ag doped CdS/SiO 2 (deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS or CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency of 2:8 pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS nanocrystals in nanocomposite systems after annealing at 500 °C. Intense and broad red emission is achieved from deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiency of

  13. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  14. Morphology of SiO2 films as a key factor in alignment of liquid crystals with negative dielectric anisotropy

    Directory of Open Access Journals (Sweden)

    Volodymyr Tkachenko

    2016-11-01

    Full Text Available Control of liquid crystal (LC orientation using a proper SiO2 alignment layer is essential for the optimization of vertically aligned nematic (VAN displays. With this aim, we studied the optical anisotropy of thin SiO2 films by generalized ellipsometry as a function of deposition angle. The columnar SiO2 structure orientation measured by a noninvasive ellipsometry technique is reported for the first time, and its morphology influence on the LC alignment is demonstrated for large deposition angles.

  15. Influence of ion bombardment on structural and electrical properties of SiO2 thin films deposited from O2/HMDSO inductively coupled plasmas under continuous wave and pulsed modes

    International Nuclear Information System (INIS)

    Bousquet, A.; Goullet, A.; Leteinturier, C.; Granier, A.; Coulon, N.

    2008-01-01

    Low pressure Plasma Enhanced Chemical Vapour Deposition is commonly used to deposit insulators on temperature sensitive substrates. In these processes, the ion bombardment experienced by films during its growth is known to have benefits but also some disadvantages on material properties. In the present paper, we investigate the influence of this bombardment on the structure and the electrical properties of SiO 2 -like film deposited from oxygen/hexa-methyl-di-siloxane radiofrequency plasma in continuous and pulsed modes. First, we studied the ion kinetics thanks to time-resolved measurements by Langmuir probe. After, we showed the ion bombardment in such plasma controls the OH bond content in deposited films. Finally, we highlight the impressive reduction of fixed charge and interface state densities in films obtained in pulsed mode due to a lower ion bombardment. (authors)

  16. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  17. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  18. Structural and optical properties of (Sr,Ba)2SiO4:Eu2+ thin films grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Li, Leliang; Zheng, Jun; Zuo, Yuhua; Cheng, Buwen; Wang, Qiming

    2014-01-01

    (Sr,Ba) 2 SiO 4 :Eu 2+ thin films were deposited on Si at different substrate temperatures by magnetron sputtering. The morphology and crystalline phases of the films were studied by scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, respectively. The silicate crystal phase was presented when films were annealed above 900 °C and the annealing temperature had great impact on the film morphology. The samples annealed at 1000 °C in a non-reducing atmosphere for 30 s show intense room temperature Eu 2+ emission. These findings may open a promising way to prepare efficient phosphor thin films for on-chip light emitting diodes application. - Highlights: • The (Sr, Ba) 2 SiO 4 :Eu 2+ films are fabricated by magnetron sputtering. • A very strong RT PL emission at 540 nm is achieved. • The morphology and optical properties dependent on temperature are studied

  19. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  20. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  1. Formation of thin DLC films on SiO2/Si substrate using FCVAD technique

    International Nuclear Information System (INIS)

    Bootkul, D.; Intarasiri, S.; Aramwit, C.; Tippawan, U.; Yu, L.D.

    2013-01-01

    Diamond-like carbon (DLC) films deposited on SiO 2 /Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO 2 /Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I D /I G ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I D /I G ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp 3 site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp 3 fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO 2 substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC film showed changes in the edge shape

  2. Compositional dependence of absorption coefficient and band-gap for Nb2O5-SiO2 mixture thin films

    International Nuclear Information System (INIS)

    Sancho-Parramon, Jordi; Janicki, Vesna; Zorc, Hrvoje

    2008-01-01

    The absorption coefficient of composite films consisting of niobia (Nb 2 O 5 ) and silica (SiO 2 ) mixtures is studied for photon energies around the band gap. The films were deposited by co-evaporation and their composition was varied by changing the ratio of deposition rates of the two materials. Both, as-deposited and thermally annealed films were characterized by different techniques: the absorption coefficient was determined by spectrophotometric measurements and the structural properties were investigated using infrared spectroscopy, transmission electron microscopy and X-ray diffraction. The correlation between the variations of absorption properties and film composition and structure is established. The absorption coefficients determined experimentally are compared with the results derived from effective medium theories in order to evaluate the suitability of these theories for the studied composites

  3. Characterisation of NdFeB thin films prepared on (100)Si substrates with SiO2 barrier layers

    International Nuclear Information System (INIS)

    Sood, D.K.; Muralidhar, G.K.

    1998-01-01

    This work presents a systematic study of the deposition and characterization of NdFeB films on substrates of Si(100) and of SiO2 layer thermally grown on Si(100) held at RT, 360 deg C or 440 deg C. The post-deposition annealing is performed at 600 or 800 deg C in vacuum. The films are characterised using the analytical techniques of RBS, SIMS, XRD, OM and SEM. Results indicate that SiO2 is, in deed, an excellent diffusion barrier layer till 600 deg C but becomes relatively less effective at 800 deg C. Without this barrier layer, interdiffusion at the Si-NdFeB film interface leads to formation of iron silicides, α-Fe and B exclusion from the diffusion zone, in competition with the formation of the magnetic NdFeB phase. (authors)

  4. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  5. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  6. Morphology and growth behavior of O_2-free chemical bath deposited ZnS thin films

    International Nuclear Information System (INIS)

    Jet Meitzner, K.; Tillotson, Brock M.; Siedschlag, Amanda T.; Moore, Frederick G.; Kevan, Stephen D.; Richmond, Geraldine L.

    2015-01-01

    We investigate the role of reagent concentrations and ambient O_2 on the morphology and growth behavior of ZnS thin films grown with the chemical bath deposition method. We investigate the role of substrate on film morphology, and find significant differences between films deposited on SiO_2 versus Si. The films are also sensitive to dissolved O_2 in the bath, as it causes a layer of SiO_2 to form at the ZnS/Si interface during deposition. Degassing of solutions and an N_2 atmosphere are effective to minimize this oxidation, allowing deposition of ZnS films directly onto Si. Under these conditions, we examine film properties as they relate to reagent bath concentrations. As the reagent concentrations are decreased, both the film roughness and growth rate decrease linearly. We also observe deformation and shifting of X-ray diffraction peaks that increases with decreasing reagent concentrations. The shifts are characteristic of lattice compression (caused by the substitution of oxygen for sulfur), and the deformation is characteristic of distortion of the lattice near crystal grain interfaces (caused by tensile stress from interatomic forces between neighboring crystal grains). At the weakest concentrations, the low roughness suggests a mixed growth mode in which both clusters and individual ZnS nanocrystallites contribute to film growth. With increasing reagent concentrations, the growth mode shifts and becomes dominated by deposition of clusters. - Highlights: • We deposit ZnS thin films by chemical bath deposition in an O_2-free environment. • The O_2-free environment is effective to minimize oxidation of the Si substrate. • The dominant growth mechanism changes with reagent concentrations. • Film morphology and composition change with reagent concentrations. • X-ray diffraction reveals tensile stress between ZnS crystal grains.

  7. Coupling between Ge-nanocrystals and defects in SiO2

    International Nuclear Information System (INIS)

    Skov Jensen, J.; Franzo, G.; Leervad Petersen, T.P.; Pereira, R.; Chevallier, J.; Christian Petersen, M.; Bech Nielsen, B.; Nylandsted Larsen, A.

    2006-01-01

    Room temperature photoluminescence (PL) at around 600 nm from magnetron-sputtered SiO 2 films co-doped with Ge is reported. The PL signal is observed in pure SiO 2 , however, its intensity increases significantly in the presence of Ge-nanocrystals (Ge-nc). The PL intensity has been optimized by varying the temperature of heat treatment, type of gas during heat treatment, concentration of Ge in the SiO 2 films, and gas pressure during deposition. Maximum intensity occurs when Ge-nc of around 3.5 nm are present in large concentration in SiO 2 layers deposited at fairly high gas pressure. Based on time resolved PL, and PL measurements after α-particle irradiation or H passivation, we attribute the origin of the PL to a defect in SiO 2 (probably an O deficiency) that is excited through an energy transfer from Ge-nc. There is no direct PL from the Ge-nc; however, there is a strong coupling between excitons created in the Ge-nc and the SiO 2 defect

  8. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  9. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  10. Magnetotransport properties of c-axis oriented La0.7Sr0.3MnO3 thin films on MgO-buffered SiO2/Si substrates

    International Nuclear Information System (INIS)

    Kang, Young-Min; Ulyanov, Alexander N.; Shin, Geo-Myung; Lee, Sung-Yun; Yoo, Dae-Gil; Yoo, Sang-Im

    2009-01-01

    c-axis oriented La 0.7 Sr 0.3 MnO 3 (LSMO) films on MgO-buffered SiO 2 /Si substrates were prepared, and their texture, microstructure, and magnetotransport properties were studied and compared to epitaxial LSMO/MgO (001) and polycrystalline LSMO/SiO 2 /Si films. c-axis oriented MgO buffer layers were obtained on amorphous SiO 2 layer through rf sputter deposition at low substrate temperature and consequent postannealing processes. In situ pulsed laser deposition-grown LSMO films, deposited on the MgO layer, show strong c-axis texture, but no in-plane texture. The c-axis oriented LSMO films which are magnetically softer than LSMO/SiO 2 /Si films exhibit relatively large low field magnetoresistance (LFMR) and sharper MR drop at lower field. The large LFMR is attributed to a spin-dependent scattering of transport current at the grain boundaries

  11. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  12. Fabrication of a Large-Area Superhydrophobic SiO2 Nanorod Structured Surface Using Glancing Angle Deposition

    Directory of Open Access Journals (Sweden)

    Xun Lu

    2017-01-01

    Full Text Available A glancing angle deposition (GLAD technique was used to generate SiO2 nanorods on a glass substrate to fabricate a low-cost superhydrophobic functional nanostructured surface. GLAD-deposited SiO2 nanorod structures were fabricated using various deposition rates, substrate rotating speeds, oblique angles, and deposition times to analyze the effects of processing conditions on the characteristics of the fabricated functional nanostructures. The wettability of the surface was measured after surface modification with a self-assembled monolayer (SAM. The measured water contact angles were primarily affected by substrate rotation speed and oblique angle because the surface fraction of the GLAD nanostructure was mainly affected by these parameters. A maximum contact angle of 157° was obtained from the GLAD sample fabricated at a rotation speed of 5 rpm and an oblique angle of 87°. Although the deposition thickness (height of the nanorods was not a dominant factor for determining the wettability, we selected a deposition thickness of 260 nm as the optimum processing condition based on the measured optical transmittance of the samples because optically transparent films can serve as superhydrophobic functional nanostructures for optical applications.

  13. Structural Evaluation of 5,5′-Bis(naphth-2-yl)-2,2′-bithiophene in Organic Field-Effect Transistors with n-Octadecyltrichlorosilane Coated SiO2 Gate Dielectric

    DEFF Research Database (Denmark)

    Lauritzen, Andreas E.; Torkkeli, Mika; Bikondoa, Oier

    2018-01-01

    We report on the structure and morphology of 5,5′-bis(naphth-2-yl)-2,2′-bithiophene (NaT2) films in bottom-contact organic field-effect transistors (OFETs) with octadecyltrichlorosilane (OTS) coated SiO2 gate dielectric, characterized by atomic force microscopy (AFM), grazing-incidence X......-ray diffraction (GIXRD), and electrical transport measurements. Three types of devices were investigated with the NaT2 thin-film deposited either on (1) pristine SiO2 (corresponding to higher surface energy, 47 mJ/m2) or on OTS deposited on SiO2 under (2) anhydrous or (3) humid conditions (corresponding to lower...... surface energies, 20–25 mJ/m2). NaT2 films grown on pristine SiO2 form nearly featureless three-dimensional islands. NaT2 films grown on OTS/SiO2 deposited under anhydrous conditions form staggered pyramid islands where the interlayer spacing corresponds to the size of the NaT2 unit cell. At the same time...

  14. Influence of plasma-generated negative oxygen ion impingement on magnetron sputtered amorphous SiO2 thin films during growth at low temperatures

    International Nuclear Information System (INIS)

    Macias-Montero, M.; Garcia-Garcia, F. J.; Alvarez, R.; Gil-Rostra, J.; Gonzalez, J. C.; Gonzalez-Elipe, A. R.; Palmero, A.; Cotrino, J.

    2012-01-01

    Growth of amorphous SiO 2 thin films deposited by reactive magnetron sputtering at low temperatures has been studied under different oxygen partial pressure conditions. Film microstructures varied from coalescent vertical column-like to homogeneous compact microstructures, possessing all similar refractive indexes. A discussion on the process responsible for the different microstructures is carried out focusing on the influence of (i) the surface shadowing mechanism, (ii) the positive ion impingement on the film, and (iii) the negative ion impingement. We conclude that only the trend followed by the latter and, in particular, the impingement of O - ions with kinetic energies between 20 and 200 eV, agrees with the resulting microstructural changes. Overall, it is also demonstrated that there are two main microstructuring regimes in the growth of amorphous SiO 2 thin films by magnetron sputtering at low temperatures, controlled by the amount of O 2 in the deposition reactor, which stem from the competition between surface shadowing and ion-induced adatom surface mobility.

  15. Self assembly of SiO2-encapsulated carbon microsphere composites

    International Nuclear Information System (INIS)

    Yang Yongzhen; Song Jingjing; Han Yanxing; Guo Xingmei; Liu Xuguang; Xu Bingshe

    2011-01-01

    SiO 2 was firstly coated onto the surface of carbon microspheres (CMSs) using tetraethyl orthosilicate (TEOS) as precursor by Stoeber method. Then SiO 2 -encapsulated CMS (CMS-SiO 2 ) composites were self-assembled by vertical deposition, in which the effects of deposition temperature and suspension concentration on the quality of self-assembling film were investigated. Morphologies and structures of the samples were characterized by field emission scanning electron microscopy, Fourier transformation infrared spectrometry, X-ray diffraction and thermogravimetry. The results show that uniform CMS-SiO 2 composites with good mono-dispersion were prepared by Stober method with 0.5 g of CMSs, 2 mL of TEOS, 30 mL of ammonia and 12 h of reaction time, the CMSs-based films with ordered and denser structure were prepared by vertical deposition using CMS-SiO 2 composites as monodipersion spheres under suspension concentration of 1 wt% and deposition temperature of 50 deg. C. The ultraviolet-visible absorption measurement shows that the absorbance of CMS-SiO 2 composite films grew steadily with increasing suspension concentration.

  16. Photoluminescence properties of powder and pulsed laser-deposited PbS nanoparticles in SiO2

    International Nuclear Information System (INIS)

    Dhlamini, M.S.; Terblans, J.J.; Ntwaeaborwa, O.M.; Ngaruiya, J.M.; Hillie, K.T.; Botha, J.R.; Swart, H.C.

    2008-01-01

    Thin films of lead sulfide (PbS) nanoparticles embedded in an amorphous silica (SiO 2 ) host were grown on Si(1 0 0) substrates at different temperatures by the pulsed laser deposition (PLD) technique. Surface morphology and photoluminescence (PL) properties of samples were analyzed with scanning electron microscopy (SEM) and a 458 nm Ar + laser, respectively. The PL data show a blue-shift from the normal emission at ∼3200 nm in PbS bulk to ∼560-700 nm in nanoparticulate PbS powders and thin films. Furthermore, the PL emission of the films was red-shifted from that of the powders at ∼560 to ∼660 nm. The blue-shifting of the emission wavelengths from 3200 to ∼560-700 nm is attributed to quantum confinement of charge carriers in the restricted volume of nanoparticles, while the red-shift between powders and thin-film PbS nanoparticles is speculated to be due to an increase in the defect concentration. The red-shift increased slightly with an increase in deposition temperature, which suggests that there has been a relative growth in particle sizes during the PLD of the films at higher temperatures. Generally, the PL emission of the powders was more intense than that of the films, although the intensity of some of the films was improved marginally by post-deposition annealing at 400 deg. C. This paper compares the PL properties of powder and pulsed laser-deposited thin films of PbS nanoparticles and the effects of deposition temperatures

  17. MAPLE deposition and characterization of SnO2 colloidal nanoparticle thin films

    International Nuclear Information System (INIS)

    Caricato, A P; Martino, M; Romano, F; Tunno, T; Valerini, D; Epifani, M; Rella, R; Taurino, A

    2009-01-01

    In this paper we report on the deposition and characterization of tin oxide (SnO 2 ) nanoparticle thin films. The films were deposited by the matrix-assisted pulsed laser evaporation (MAPLE) technique. SnO 2 colloidal nanoparticles with a trioctylphosphine capping layer were diluted in toluene with a concentration of 0.2 wt% and frozen at liquid nitrogen temperature. The frozen target was irradiated with a KrF (248 nm, τ = 20 ns) excimer laser (6000 pulses at 10 Hz). The nanoparticles were deposited on silica (SiO 2 ) and (1 0 0) Si substrates and submitted to morphological (high resolution scanning electron microscopy (SEM)), structural Fourier transform infrared spectroscopy (FTIR) and optical (UV-Vis transmission) characterizations. SEM and FTIR analyses showed that trioctylphosphine was the main component in the as-deposited films. The trioctylphosphine was removed after an annealing in vacuum at 400 0 C, thus allowing to get uniform SnO 2 nanoparticle films in which the starting nanoparticle dimensions were preserved. The energy gap value, determined by optical characterizations, was 4.2 eV, higher than the bulk SnO 2 energy gap (3.6 eV), due to quantum confinement effects.

  18. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  19. Liquid-phase-deposited SiO2 on AlGaAs and its application

    International Nuclear Information System (INIS)

    Lee, Kuan-Wei; Huang, Jung-Sheng; Lu, Yu-Lin; Lee, Fang-Ming; Lin, Hsien-Cheng; Huang, Jian-Jun; Wang, Yeong-Her

    2011-01-01

    The silicon dioxide (SiO 2 ) on AlGaAs prepared by liquid phase deposition (LPD) at 40 °C has been explored. The LPD-SiO 2 film deposition rate is about 67 nm h −1 for the first hour. The leakage current density is about 1.21 × 10 −6 A cm −2 at 1 MV cm −1 . The interface trap density (D it ) and the flat-band voltage shift (ΔV FB ) are 1.28 × 10 12 cm −2 eV −1 and 0.5 V, respectively. After rapid thermal annealing in the N 2 ambient at 300 °C for 1 min, the leakage current density, D it , and ΔV FB can be improved to 4.24 × 10 −7 A cm −2 at 1 MV cm −1 , 1.7 × 10 11 cm −2 eV −1 , and 0.2 V, respectively. Finally, this study demonstrates the application of the LPD-SiO 2 film to the AlGaAs/InGaAs pseudomorphic high-electron-mobility transistor

  20. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  1. Excellent Brightness with Shortening Lifetime of Textured Zn2SiO4:Mn2+ Phosphor Films on Quartz Glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Kim, Seongsin Margaret; Kung, Patrick

    2010-04-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor films were fabricated by the thermal diffusion of ZnO:Mn on quartz glass. The Zn2SiO4:Mn2+ phosphor films became textured along several hexagonal directions and their chemical composition was continuously graded at the interface. The decay time of Mn2+ was as short as 4.4 ms, and the optical transition probability of the films defined as the inverse of decay time showed a strong correlation with film texture degree as a function of annealing temperature. The brightest Zn2SiO4:Mn2+ film showed a photoluminescent brightness as high as 65% compared with a commercial Zn2SiO4:Mn2+ phosphor powder screen and a maximum absolute transparency of 70%. These excellent optical properties are explained by the combination of the unique textured structure and continuous grading of the Zn2SiO4:Mn2+ chemical composition at the interface.

  2. Synthesis and characterization of Al2O3 and SiO2 films with fluoropolymer content using rf-plasma magnetron sputtering technique

    International Nuclear Information System (INIS)

    Islam, Mohammad; Inal, Osman T.

    2008-01-01

    Pure and molecularly mixed inorganic films for protection against atomic oxygen in lower earth orbit were prepared using radio-frequency (rf) plasma magnetron sputtering technique. Alumina (Al 2 O 3 ) and silica (SiO 2 ) films with average grain size in the range of 30-80 nm and fully dense or dense columnar structure were synthesized under different conditions of pressure and power. Simultaneous oxide sputtering and plasma polymerization (PP) of hexafluoropropylene (HFP) led to the formation of molecularly mixed films with fluoropolymer content. The degree of plasma polymerization was strongly influenced by total chamber pressure and the argon to HFP molar ratio (n Ar /n M ). An order of magnitude increase in pressure due to argon during codeposition changed the plasma-polymerization mechanism from radical-chain- to radical-radical-type processes. Subsequently, a shift from linear CH 2 group based chain polymerization to highly disordered fluoropolymer content with branching and cross-linking was observed. Fourier transform infrared spectroscopy studies revealed chemical interaction between depositing SiO 2 and PP-HFP through appearance of absorption bands characteristic of Si-F stretching and expansion of SiO 2 network. The relative amount and composition of plasma-polymerized fluoropolymer in such films can be controlled by changing argon to HFP flow ratio, total chamber pressure, and applied power. These films offer great potential for use as protective coatings in aerospace applications

  3. Density, thickness and composition measurements of TiO2 -SiO2 thin films by coupling X-ray reflectometry, ellipsometry and electron probe microanalysis-X

    International Nuclear Information System (INIS)

    Hodroj, A.; Roussel, H.; Crisci, A.; Robaut, F.; Gottlieb, U.; Deschanvres, J.L.

    2006-01-01

    Mixed TiO 2 -SiO 2 thin films were deposited by aerosol atmospheric CVD method by using di-acetoxi di-butoxi silane (DADBS) and Ti tetra-butoxide as precursors. By varying the deposition temperatures between 470 and 600 deg. C and the ratios between the Si and Ti precursors (Si/Ti) from 2 up to 16, films with different compositions and thicknesses were deposited. The coupled analysis of the results of different characterisation methods was used in order to determine the variation of the composition, the thickness and the density of the films. First EPMA measurements were performed at different acceleration voltages with a Cameca SX50 system. By analysing, with specific software, the evolution of the intensity ratio I x /I std versus the voltage, the composition and the mass thickness (product of density by the thickness) were determined. In order to measure independently the density, X-ray reflectometry experiments were performed. By analysing the value of the critical angle and the Kiessig fringes, the density and the thickness of the layers were determined. The refractive index and the thickness of the films were also measured by ellipsometry. By assuming a linear interpolation between the index value of the pure SiO 2 and TiO 2 films, the film composition was deduced from the refractive index value. XPS measurements were also performed in order to obtain an independent value of the composition. A good agreement between the ways to measure the density is obtained

  4. Synthesis of TiO2-doped SiO2 composite films and its applications

    Indian Academy of Sciences (India)

    Wintec

    structure of the titanium oxide species in the TiO2-doped SiO2 composite films and the photocatalytic reactiv- ity in order to ... gaku D-max γA diffractometer with graphite mono- chromized ... FT–IR absorption spectra of TiO2-doped SiO2 com-.

  5. Laser conditioning effect on HfO2/SiO2 film

    International Nuclear Information System (INIS)

    Wei Yaowei; Zhang Zhe; Liu Hao; Ouyang Sheng; Zheng Yi; Tang Gengyu; Chen Songlin; Ma Ping

    2013-01-01

    Laser conditioning is one of the important methods to improve the laser damage threshold of film optics. Firstly, a large aperture laser was used to irradiate the HfO 2 /SiO 2 reflectors, which were evaporated from hafnia and silica by e-beam. Secondly, a laser calorimeter was used to test the film absorption before and after laser irradiation. Focused ion beam (FIB) was few reported using on laser film, it was used to study the damage morphology and explore the cause of damage. The shooting of the partial ejection on nodule was obtained for the first time, which provided the basis for study the damage process. The results show that film absorption was decreased obviously after the laser irradiation, laser conditioning can raise the laser damage threshold by the 'cleaning mechanism'. For the HfO 2 /SiO 2 reflectors, laser conditioning was effective to eject the nodules on substrate. It resulted from the nodule residue not to affect the subsequent laser. In addition, laser conditioning was not effective to the nodule in the film, which might be from the material spatter in coating process. In this case, other method could be used to get rid of the nodules. (authors)

  6. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    Science.gov (United States)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  7. Water droplet behavior on superhydrophobic SiO2 nanocomposite films during icing/deicing cycles

    NARCIS (Netherlands)

    Lazauskas, A.; Guobiene, A.; Prosycevas, I.; Baltrusaitis, V.; Grigaliunas, V.; Narmontas, P.; Baltrusaitis, Jonas

    2013-01-01

    This work investigates water droplet behavior on superhydrophobic (water contact angle value of 162 ± 1°) SiO2 nanocomposite films subjected to repetitive icing/deicing treatments, changes in SiO2 nanocomposite film surface morphology and their non-wetting characteristics. During the experiment,

  8. Regulating effect of SiO2 interlayer on optical properties of ZnO thin films

    International Nuclear Information System (INIS)

    Xu, Linhua; Zheng, Gaige; Miao, Juhong; Su, Jing; Zhang, Chengyi; Shen, Hua; Zhao, Lilong

    2013-01-01

    ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. Regulating effect of SiO 2 interlayer with various thicknesses on the optical properties of ZnO/SiO 2 thin films was investigated deeply. The analyses of X-ray diffraction show that the ZnO layers in ZnO/SiO 2 nanocomposite films have a wurtzite structure and are preferentially oriented along the c-axis while the SiO 2 layers are amorphous. The scanning electron microscope images display that the ZnO layers are composed of columnar grains and the thicknesses of ZnO and SiO 2 layers are all very uniform. The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films, which is reflected in the following two aspects: (1) the transmittance of ZnO/SiO 2 nanocomposite films is increased; (2) the photoluminescence (PL) of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays. -- Highlights: ► ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. ► The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films. ► The photoluminescence of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. ► The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays

  9. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  10. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  11. Silver nanoparticle deposition on inverse opal SiO2 films embedded in protective polypropylene micropits for SERS applications

    Science.gov (United States)

    Ammosova, Lena; Ankudze, Bright; Philip, Anish; Jiang, Yu; Pakkanen, Tuula T.; Pakkanen, Tapani A.

    2018-01-01

    Common methods to fabricate surface enhanced Raman scattering (SERS) substrates with controlled micro-nanohierarchy are often complex and expensive. In this study, we demonstrate a simple and cost effective method to fabricate SERS substrates with complex geometries. Microworking robot structuration is used to pattern a polypropylene (PP) substrate with micropits, facilitating protective microenvironment for brittle SiO2 inverse opal (IO) structure. Hierarchical SiO2 IO patterns were obtained using polystyrene (PS) spheres as a sacrificial template, and were selectively embedded into the hydrophilized PP micropits. The same microworking robot technique was subsequently used to deposit silver nanoparticle ink into the SiO2 IO cavities. The fabricated multi-level micro-nanohierarchy surface was studied to enhance Raman scattering of the 4-aminothiophenol (4-ATP) analyte molecule. The results show that the SERS performance of the micro-nanohierarchical substrate increases significantly the Raman scattering intensity compared to substrates with structured 2D surface geometries.

  12. Low temperature deposition: Properties of SiO{sub 2} films from TEOS and ozone by APCVD system

    Energy Technology Data Exchange (ETDEWEB)

    Juarez, H; Diaz, T; Rosendo, E; Garcia, G; Mora, F; Escalante, G [Centro de Investigacion en Dispositivos Semiconductores, Universidad Autonoma de Puebla, 14 Sur and Av. San Claudio, San Manuel 72000, Puebla (Mexico); Pacio, M; GarcIa, A, E-mail: hjuarez@cs.buap.m [Ingenieria Electrica, Secciaan Electranica del Estado Salido, Centro de Investigacian y de Estudios Avanzados del I. P. N., Av. Instituto Politecnico Nacional 2508, San Pedro Zacatenco, 07360 Mexico, D. F. (Mexico)

    2009-05-01

    An Atmospheric Pressure Chemical Vapor Deposition (APCVD) system was implemented for SiO{sub 2} nanometric films deposition on silicon substrates. Tetraethoxysilane (TEOS) and ozone (O{sub 3}) were used and they were mixed into the APCVD system. The deposition temperatures were very low, from 125 to 250 {sup 0}C and the deposition time ranged from 1 to 15 minutes. The measured thicknesses from the deposited SiO{sub 2} films were between 5 and 300 nm. From the by Fourier-Transform Infrared (FTIR) spectra the typical absorption bands of the Si-O bond were observed and it was also observed a dependence on the vibrational modes corresponding to hydroxyl groups with the deposition temperature where the intensity of these vibrations can be related with the grade porosity grade of the films. Furthermore an analytical model has been evoked to determine the activation energy of the reactions in the surface and the gas phase in the deposit films process.

  13. SiO2 sol-gel films after ammonia and heat two-step treatments

    International Nuclear Information System (INIS)

    Zhang Chunlai; Wang Biyi; Tian Dongbin; Yin Wei; Jiang Xiaodong; Yuan Xiaodong; Yan Lianghong; Zhang Hongliang; Zhao Songnan; Lv Haibing

    2008-01-01

    SiO 2 thin films were deposited using tetraethoxylsilane as precursor, ammonia as catalyst on K9 glass by sol-gel method. These films were post-treated by ammonia and heat. The properties of the coatings were characterized by ellipsometer, UV-vis spectrophotometry, FTIR-spectroscopy, scanning probe microscope and contact angle measurement apparatus. The resuits indicate that the thickness of the films with ammonia and heat treatment tend to decrease. Both the refractive index and water contact angle increase after ammonia treatment. However, they both decrease after heat treatment. The former increases by 0.236 for the first step, then decreases by 0.202 for the second. The latter increases to 58.92 degree, then decreases to 38.07 degree. The transmittance of the coatings turn to be better and continuously shift to short wave by UV-vis spectrophotometry. The surface becomes smoother by AFM after the two-step treatment. (authors)

  14. Super-bright and short-lived photoluminescence of textured Zn2SiO4:Mn2+ phosphor film on quartz glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Seo, Kwangil; Kwon, Kevin; Kung, Patrick; Kim, Seongsin M.

    2010-02-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor film was fabricated by a thermal diffusion of ZnO:Mn on quartz glass. The characterization has been performed in terms of Mn2+ ions concentration (Mn/Zn=1~9 mol %). As an increase of Mn2+ ions concentration in the Zn2SiO4:Mn2+ phosphor film, the emission peak was red shifted from 519 nm to 526 nm, and the decay time to 10% of the maximum intensity was shorter from 20 ms to 0.5 ms. All annealed Zn2SiO4:Mn2+ phosphor films became textured along some hexagonal directions on the amorphous quartz glass. The brightest Zn2SiO4:Mn2+ film at optimal Mn2+ concentration of 5 % showed the photoluminescence brightness of 65 % and the shortened decay time of 4.4 ms in comparison with a commercially Zn2SiO4: Mn2+ powder phosphor screen. The excellencies can be attributed to a unique textured structure.

  15. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  16. In situ study of interface reactions of ion beam sputter deposited (Ba0.5Sr0.5)TiO3 films on Si, SiO2, and Ir

    International Nuclear Information System (INIS)

    Gao, Y.; Mueller, A.H.; Irene, E.A.; Auciello, O.; Krauss, A.; Schultz, J.A.

    1999-01-01

    (Ba 0.5 ,Sr 0.5 )TiO 3 (BST) thin films were deposited on MgO, Si, SiO 2 and Ir surfaces by ion beam sputter deposition in oxygen at 700 degree C. In situ spectroscopic ellipsometry (SE) has been used to investigate the evolution of the BST films on different surfaces during both deposition and postannealing processes. First, the optical constants of the BST films in the photon energy range of 1.5 - 4.5 eV were determined by SE analysis on crystallized BST films deposited on MgO single crystal substrates. The interfaces in BST/Si and BST/SiO 2 /Si structure were examined by SE and Auger electron spectroscopy depth profiles. Subcutaneous oxidation in the BST/Ir structure was observed by in situ SE during both ion beam sputter deposition and postdeposition annealing in oxygen at 700 degree C. A study of the thermal stability of the Ir/TiN/SiO 2 /Si structure in oxygen at 700 degree C was carried out using in situ SE. The oxidation of Ir was confirmed by x-ray diffraction. The surface composition and morphology evolution after oxidation were investigated by time of flight mass spectroscopy of recoiled ions (TOF-MSRI) and atomic force microscopy. It has been found that Ti from the underlying TiN barrier layer diffused through the Ir layer onto the surface and thereupon became oxidized. It was also shown that the surface roughness increases with increasing oxidation time. The implications of the instability of Ir/TiN/SiO 2 /Si structure on the performance of capacitor devices based on this substrate are discussed. It has been shown that a combination of in situ SE and TOF-MSRI provides a powerful methodology for in situ monitoring of complex oxide film growth and postannealing processes. copyright 1999 American Vacuum Society

  17. Light emissions from LiNbO sub 3 /SiO sub 2 /Si structures

    CERN Document Server

    Wu, X L; Tang, N; Deng, S S; Bao, X M

    2003-01-01

    LiNbO sub 3 (LN) films with a high degree of (006) texture were deposited on Si-based dense SiO sub 2 layers by pulsed laser deposition. After annealing, the LN/SiO sub 2 /Si structures were revealed to have ultraviolet-, green-, and red-emitting properties related to self-trapped excitons and E' defect pairs in the SiO sub 2 surface, which are induced by the photorefractive effect of the LN films. The emission wavelength can be tuned by introducing different dopants into the LN films. Waveguiding properties of the structures were demonstrated. The results obtained indicate that the LN/SiO sub 2 /Si structures could be expected to have important applications in modern optoelectronic integration. (letter to the editor)

  18. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  19. Effects of deposition rates on laser damage threshold of TiO2/SiO2 high reflectors

    International Nuclear Information System (INIS)

    Yao Jianke; Xu Cheng; Ma Jianyong; Fang Ming; Fan Zhengxiu; Jin Yunxia; Zhao Yuanan; He Hongbo; Shao Jianda

    2009-01-01

    TiO 2 single layers and TiO 2 /SiO 2 high reflectors (HR) are prepared by electron beam evaporation at different TiO 2 deposition rates. It is found that the changes of properties of TiO 2 films with the increase of rate, such as the increase of refractive index and extinction coefficient and the decrease of physical thickness, lead to the spectrum shift and reflectivity bandwidth broadening of HR together with the increase of absorption and decrease of laser-induced damage threshold. The damages are found of different morphologies: a shallow pit to a seriously delaminated and deep crater, and the different amorphous-to-anatase-to-rutile phase transition processes detected by Raman study. The frequency shift of Raman vibration mode correlates with the strain in film. Energy dispersive X-ray analysis reveals that impurities and non-stoichiometric defects are two absorption initiations resulting to the laser-induced transformation.

  20. Non-switching to switching transferring mechanism investigation for Ag/SiO x /p-Si structure with SiO x deposited by HWCVD

    Science.gov (United States)

    Liu, Yanhong; Wang, Ruoying; Li, Zhongyue; Wang, Song; Huang, Yang; Peng, Wei

    2018-04-01

    We proposed and fabricated an Ag/SiO x /p-Si sandwich structure, in which amorphous SiO x films were deposited through hot wire chemical vapor deposition (HWCVD) using tetraethylorthosilicate (TEOS) as Si and O precursor. Experimental results indicate that the I–V properties of this structure transfer from non-switching to switching operation as the SiO x deposition temperature increased. The device with SiO x deposited at high deposition temperature exhibits typical bipolar switching properties, which can be potentially used in resistive switching random accessible memory (RRAM). The transferring mechanism from non-switching to switching can be ascribed to the change of structural and electronic properties of SiO x active layer deposited at different temperatures, as evidenced by analyzing FTIR spectrum and fitting its I–V characteristics curves. This work demonstrates a safe and practicable low-temperature device-grade SiO x film deposition technology by conducting HWCVD from TEOS.

  1. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    Science.gov (United States)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  2. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  3. Preparation and characterization of layer-by-layer self-assembled polyelectrolyte multilayer films doped with surface-capped SiO2 nanoparticles.

    Science.gov (United States)

    Yang, Guangbin; Ma, Hongxia; Yu, Laigui; Zhang, Pingyu

    2009-05-15

    SiO(2) nanoparticles capped with gamma-aminopropyltrimethoxysilane were doped into polyelectrolyte (poly(allylamine hydrochloride), PAH, and poly(acrylic acid), PAA) multilayer films via spin-assisted layer-by-layer self-assembly. The resulting as-prepared multilayer films were heated at a proper temperature to generate cross-linked composite films with increased adhesion to substrates. The tribological behavior of the multilayer films was evaluated on a microtribometer. It was found that SiO(2)-doped composite films had better wear resistance than pure polyelectrolyte multilayers, possibly because doped SiO(2) nanoparticles were capable of enhancing load-carrying capacity and had "miniature ball bearings" effect. Moreover, heat-treatment had significant effect on the morphology of the composite films. Namely, heat-treated (SiO(2)/PAA)(9) film had a larger roughness than the as-prepared one, due to heat-treatment-induced agglomeration of SiO(2) nanoparticles and initiation of defects. However, heat-treated (PAH/PAA)(3)/(SiO(2)/PAA)(3)(PAH/PAA)(3) film had greatly reduced roughness than the as-prepared one, and it showed considerably improved wear resistance as well. This could be closely related to the "sandwich-like" structure of the composite multilayer film. Namely, the outermost strata of composite multilayer film were able to eliminate defects associated with the middle strata, allowing nanoparticles therein to maintain strength and robustness while keeping soft and fluid-like exposed surface. And the inner strata were well anchored to substrate and acted as an initial "bed" for SiO(2) nanoparticles to be inhabited, resulting in good antiwear ability.

  4. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  5. Characterization of Ultra thin chromium layers deposited ou to SiO2 using the Le-PIXE and the RB S techniques

    International Nuclear Information System (INIS)

    Zahraman, K.; Nsouli, B.; Roumie, M.

    2007-01-01

    In this paper, we demonstrate the ability of the Le-PIXE (Low Energy PIXE) technique, using proton energies < 1 MeV, for the monitoring of the thickness and the thickness uniformity of ultra thin (0.5 nm < t < 20 nm) chromium layers deposited onto quartz substrates. Chromium is a good candidate for obtaining conductive ultra thin layers on insulator substrates such as quartz (SiO2). The resistivity of such layers is highly related to the quality of the deposited chromium film. In order to optimize the deposition process, there is a need for rapid and accurate monitoring of such films (film thickness, thickness uniformity over a big surface...). The acquisition time needed to obtain results with less than 3-4 % precision was 5 minutes for the thinnest layers. The validation for the use of the Le-PIXE technique was checked by means of conventional RB S technique.

  6. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Science.gov (United States)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  7. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  8. Photo-sensitive Ge nanocrystal based films controlled by substrate deposition temperature

    Science.gov (United States)

    Stavarache, Ionel; Maraloiu, Valentin Adrian; Negrila, Catalin; Prepelita, Petronela; Gruia, Ion; Iordache, Gheorghe

    2017-10-01

    Lowering the temperature of crystallization by deposition of thin films on a heated substrate represents the easiest way to find new means to develop and improve new working devices based on nanocrystals embedded in thin films. The improvements are strongly related with the increasing of operation speed, substantially decreasing the energy consumption and reducing unit fabrication costs of the respective semiconductor devices. This approach avoids major problems, such as those related to diffusion or difficulties in controlling nanocrystallites size, which appear during thermal treatments at high temperatures after deposition. This article reports on a significant progress given by structuring Ge nanocrystals (Ge-NCs) embedded in silicon dioxide (SiO2) thin films by heating the substrate at 400 °C during co-deposition of Ge and SiO2 by magnetron sputtering. As a proof-of-concept, a Si/Ge-NCs:SiO2 photo-sensitive structure was fabricated thereof and characterized. The structure shows superior performance on broad operation bandwidth from visible to near-infrared, as strong rectification properties in dark, significant current rise in the inversion mode when illuminated, high responsivity, high photo-detectivity of 1014 Jones, quick response and significant conversion efficiency with peak value reaching 850% at -1 V and about 1000 nm. This simple preparation approach brings an important contribution to the effort of structuring Ge nanocrystallites in SiO2 thin films at a lower temperature for the purpose of using these materials for devices in optoelectronics, solar cells and electronics on flexible substrates.

  9. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    Directory of Open Access Journals (Sweden)

    B. Pivac

    2018-01-01

    Full Text Available The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction and self-organization (in horizontal direction. The formed films produce intensive photoluminescence (PL with a peak at 500 nm. The explored dynamics of the PL decay show the existence of a very rapid process similar to the one found at Ge/SiO2 defected interface layers.

  10. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    Science.gov (United States)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  11. Resistive switching of organic–inorganic hybrid devices of conductive polymer and permeable ultra-thin SiO2 films

    Science.gov (United States)

    Yamamoto, Shunsuke; Kitanaka, Takahisa; Miyashita, Tokuji; Mitsuishi, Masaya

    2018-06-01

    We propose a resistive switching device composed of conductive polymer (PEDOT:PSS) and SiO2 ultra-thin films. The SiO2 film was fabricated from silsesquioxane polymer nanosheets as a resistive switching layer. Devices with metal (Ag or Au)∣SiO2∣PEDOT:PSS architecture show good resistive switching performance with set–reset voltages as low as several hundred millivolts. The device properties and the working mechanism were investigated by varying the electrode material, surrounding atmosphere, and SiO2 film thickness. Results show that resistive switching is based on water and ion migration at the PEDOT:PSS∣SiO2 interface.

  12. Reflection Enhancement Using TiO2/SiO2 Bilayer Films Prepared by Cost-Effective Sol-gel Method

    Directory of Open Access Journals (Sweden)

    R. Ajay Kumar

    2017-04-01

    Full Text Available Multilayer dielectric thin film structure has been demanded for its application in optoelectronic devices such as optical waveguides, vertical cavity surface-emitting devices, biosensors etc. In this paper, we present the fabrication and characterization of bilayer thin films of TiO2/SiO2 using sol-gel spin coating method. Ellipsometer measurement showed refractive index values 1.46, 2.1 corresponding to the SiO2 and TiO2 films respectively. The FTIR transmittance peaks observed at ~970 cm-1, ~1100 cm-1 and ~1400 cm-1 are attributed to the Ti-O-Si, Si-O-Si and Ti-O-Ti bonds respectively. Maximum reflectance is observed from two bilayer film structure which can be further optimized to get the high reflection to a broad wavelength range.

  13. Effect of SiO2, PVA and glycerol concentrations on chemical and mechanical properties of alginate-based films.

    Science.gov (United States)

    Yang, Manli; Shi, Jinsheng; Xia, Yanzhi

    2018-02-01

    Sodium alginate (SA)/polyvinyl alcohol (PVA)/SiO 2 nanocomposite films were prepared by in situ polymerization through solution casting and solvent evaporation. The effect of different SA/PVA ratios, SiO 2 , and glycerol content on the mechanical properties, water content, water solubility, and water vapor permeability were studied. The nanocomposite films were characterized by Fourier transform infrared, X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and thermal stability (thermogravimetric analysis/differential thermogravimetry) analyses. The nanocomposites showed the highest values of mechanical properties, such as SA/PVA ratio, SiO 2 , and glycerol content was 7:3, 6wt.%, and 0.25g/g SA, respectively. The tensile strength and elongation at break (E%) of the nanocomposites increased by 525.7% and 90.7%, respectively, compared with those of the pure alginate film. The Fourier transform infrared spectra showed a new SiOC band formed in the SA/PVA/SiO 2 nanocomposite film. The scanning electron microscopy image revealed good adhesion between SiO 2 and SA/PVA matrix. After the incorporation of PVA and SiO 2 , the water resistance of the SA/PVA/SiO 2 nanocomposite film was markedly improved. Transparency decreased with increasing PVA content but was enhanced by adding SiO 2 . Copyright © 2017. Published by Elsevier B.V.

  14. Permeation mechanisms of pulsed microwave plasma deposited silicon oxide films for food packaging applications

    International Nuclear Information System (INIS)

    Deilmann, Michael; Grabowski, Mirko; Theiss, Sebastian; Bibinov, Nikita; Awakowicz, Peter

    2008-01-01

    Silicon oxide barrier layers are deposited on polyethylene terephthalate as permeation barriers for food packaging applications by means of a low pressure microwave plasma. Hexamethyldisiloxane (HMDSO) and oxygen are used as process gases to deposit SiO x coatings via pulsed low pressure plasmas. The layer composition of the coating is investigated by Fourier transform infrared spectroscopy and energy dispersive x-ray spectroscopy to show correlations with barrier properties of the films. The oxygen permeation barrier is determined by the carrier gas method using an electrochemical detector. The transition from low to high barrier films is mapped by the transition from organic SiO x C y H z layers to quartz-like SiO 1.7 films containing silanol bound hydrogen. A residual permeation as low as J = 1 ± 0.3 cm 3 m -2 day -1 bar -1 is achieved, which is a good value for food packaging applications. Additionally, the activation energy E p of oxygen permeation is analysed and a strong increase from E p = 31.5 kJ mol -1 for SiO x C y H z -like coatings to E p = 53.7 kJ mol -1 for SiO 1.7 films is observed by increasing the oxygen dilution of HMDSO:O 2 plasma. The reason for the residual permeation of high barrier films is discussed and coating defects are visualized by capacitively coupled atomic oxygen plasma etching of coated substrates. A defect density of 3000 mm -2 is revealed

  15. Temperature stability of c-axis oriented LiNbO3/SiO2/Si thin film layered structures

    International Nuclear Information System (INIS)

    Tomar, Monika; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K.

    2001-01-01

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO 3 thin film layered structures on passivated silicon (SiO 2 /Si) substrate with and without a non-piezoelectric SiO 2 overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO 2 overlayer on LiNbO 3 film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K 2 =3.45% and a zero TCD can be obtained in the SiO 2 /LiNbO 3 /SiO 2 /Si structure with a 0.235λ thick LiNbO 3 layer sandwiched between 0.1λ thick SiO 2 layers. (author)

  16. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  17. Manufacturing and investigation of surface morphology and optical properties of composite thin films reinforced by TiO2, Bi2O3 and SiO2 nanoparticles

    Science.gov (United States)

    Jarka, Paweł; Tański, Tomasz; Matysiak, Wiktor; Krzemiński, Łukasz; Hajduk, Barbara; Bilewicz, Marcin

    2017-12-01

    The aim of submitted paper is to present influence of manufacturing parameters on optical properties and surface morphology of composite materials with a polymer matrix reinforced by TiO2 and SiO2 and Bi2O3 nanoparticles. The novelty proposed by the authors is the use of TiO2 and SiO2 and Bi2O3 nanoparticles simultaneously in polymeric matrix. This allows using the combined effect of nanoparticles to a result composite material. The thin films of composite material were prepared by using spin-coating method with various spinning rates from solutions of different concentration of nanoparticles. In order to prepare the spinning solution polymer, Poly(methyl methacrylate) (PMMA) was used as a matrix. The reinforcing phase was the mixture of the nanoparticles of SiO2, TiO2 and B2O3. In order to identify the surface morphology of using thin films and arrangement of the reinforcing phase Atomic Force Microscope (AFM) and Scanning Electron Microscope (SEM) were used. In order to study the optical properties of the obtained thin films, the thin films of composites was subjected to an ellipsometry analysis. The measurements of absorbance of the obtained materials, from which the value of the band gap width was specified, were carried out using the UV/VIS spectroscopy. The optical properties of obtain composite thin films depend not only on the individual components used, but also on the morphology and the interfacial characteristics. Controlling the participation of three kinds of nanoparticles of different sizes and optical parameters allows to obtaining the most optimal optical properties of nanocomposites and also controlling the deposition parameters allows to obtaining the most optimal surface morphology of nanocomposites.

  18. A preliminary study on the etching behavior of SiO sub 2 aerogel film with CHF sub 3 gas

    CERN Document Server

    Wang, S J; Yeom, G Y

    1998-01-01

    Etching behavior of SiO sub 2 aerogel film has been investigated in order to examine the feasibility of its application to an interlevel dielectric material. Low dielectric property of SiO sub 2 aerogel film is simply originated from its highly porous structure, but interconnected particles are covered with surface chemical bondings (-OH, -OC sub 2 H sub 5 , etc). Etching experiments have been performed with high density inductively coupled CHF sub 3 plasma. The effects of porous structure and surface chemical bondings on the etching of SiO sub 2 aerogel film have been analyzed. The changes of surface morphology were observed using scanning electron microscopy. X-ray photoelectron spectroscopic analyses revealed compositions and chemical bonding states of reaction layer. From the analyses, 3-dimensional etching was not feasible macroscopically in SiO sub 2 aerogel film even with its porous nature because network structure was maintained through the etching process. Internal surface chemicals seemed to act an ...

  19. Light-emitting Si films formed by neutral cluster deposition in a thin O2 gas

    International Nuclear Information System (INIS)

    Honda, Y.; Takei, M.; Ohno, H.; Shida, S.; Goda, K.

    2005-01-01

    We have fabricated the light-emitting Si-rich and oxygen-rich amorphous SiO 2 (a-SiO 2 ) films using the neutral cluster deposition (NCD) method without and with oxygen gas admitted, respectively, and demonstrate for the first time that these films show a photoluminescent feature. The Si thin films were observed by atomic force microscopy and high-resolution transmission electron microscopy, and analyzed by means of X-ray photoelectron spectroscopy, photoluminescence (PL) and FTIR-attenuated total reflection measurements. All of the PL spectra show mountainous distribution with a peak around 620 nm. It is found that the increase in the oxygen termination in the a-SiO 2 films evidently makes the PL intensity increase. It is demonstrated that NCD technique is one of the hopeful methods to fabricate light-emitting Si thin films

  20. The influence of post-deposition annealing on the structure, morphology and luminescence properties of pulsed laser deposited La0.5Gd1.5SiO5 doped Dy3+ thin films

    Science.gov (United States)

    Ogugua, Simon N.; Swart, Hendrik C.; Ntwaeaborwa, Odireleng M.

    2018-04-01

    The influence of post-deposition annealing on the structure, particle morphology and photoluminescence properties of dysprosium (Dy3+) doped La0.5Gd1.5SiO5 thin films grown on Si(111) substrates at different substrate temperatures using pulsed laser deposition (PLD) technique were studied. The X-ray diffractometer results showed an improved crystallinity after post-annealing. The topography and morphology of the post-annealed films were studied using atomic force microscopy and field emission scanning electron microscopy respectively. The elemental composition in the surface region of the films were analyzed using energy dispersive X-ray spectroscopy. The photoluminescence studies showed an improved luminescent after post-annealing. The cathodoluminescence properties of the films are also reported. The CIE colour coordinates calculated from the photoluminescence and cathodoluminescence data suggest that the films can have potential application in white light emitting diode (LED) and field emission display (FED) applications.

  1. RBS characterization of the deposition of very thin SiGe/SiO2 multilayers by LPCVD

    International Nuclear Information System (INIS)

    Munoz-Martin, A.; Climent-Font, A.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Multilayer structures consisting of several alternated layers of SiGe and SiO 2 with thickness ranging from 2 or Si as well as the deposition of SiO 2 on Si show negligible incubation times. The deposition of SiO 2 on SiGe, however, exhibits an incubation time of several minutes, which would be related to the oxidation of the surface necessary for the SiO 2 deposition to start. In all cases the film thickness increases linearly with deposition time, thus allowing the growth rates to be determined. These data allow the deposition process of these very thin layers to be accurately controlled

  2. Luminescence and origin of lead-related centers in single crystalline films of Y2SiO5 and Lu2SiO5

    International Nuclear Information System (INIS)

    Babin, V.; Gorbenko, V.; Krasnikov, A.; Mihokova, E.; Nikl, M.; Zazubovich, S.; Zorenko, Yu.

    2013-01-01

    In the temperature range 4.2–350 K, the steady-state and time-resolved emission and excitation spectra and luminescence decay kinetics are studied for the undoped Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films grown by liquid phase epitaxy method from the PbO-based flux and, owing to that, containing lead ions substituting for Y 3+ or Lu 3+ ions. Luminescence characteristics of Pb-related centers of different types are identified. On the basis of the results obtained, we suggest that the ultraviolet emission of Pb-related centers arises from the Pb 2+ ions substituting for Y 3+ or Lu 3+ ions in the Y1 and Lu1 lattice sites of the X 2 structure. Possible hypotheses on the origin of the intense complex lead-related blue emission are discussed. We propose phenomenological models describing the excited-state dynamics of the studied luminescence centers. We also determine characteristic parameters of the corresponding relaxed excited states, in particular, the energy separations between the excited states and the rates of the radiative and non-radiative transitions from these states. -- Highlights: •Emission of lead centers in Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films is studied. •The ultraviolet emission arises from Pb 2+ ions located in Y1 or Lu1 lattice sites. •Possible hypotheses on the origin of the blue emission are proposed and discussed. •The relaxed excited states parameters of various Pb-related centers are determined

  3. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  4. The structural studies of aluminosilicate gels and thin films synthesized by the sol-gel method using different Al2O3 and SiO2 precursors

    Directory of Open Access Journals (Sweden)

    Adamczyk Anna

    2015-12-01

    Full Text Available Aluminosilicate materials were obtained by sol-gel method, using different Al2O3 and SiO2 precursors in order to prepare sols based on water and organic solvents. As SiO2 precursors, Aerosil 200TM and tetraethoxysilane TEOS: Si(OC2H54 were applied, while DisperalTM and aluminium secondary butoxide ATSB: Al(OC4H93 were used for Al2O3 ones. Bulk samples were obtained by heating gels at 500 °C, 850 °C and at 1150 °C in air, while thin films were synthesized on carbon, steel and alundum (representing porous ceramics substrates by the dip coating method. Thin films were annealed in air (steel and alundum and in argon (carbon at different temperatures, depending on the substrate type. The samples were synthesized as gels and coatings of the composition corresponding the that of 3Al2O3·2SiO2 mullite because of the specific valuable properties of this material. The structure of the annealed bulk samples and coatings was studied by FT-IR spectroscopy and XRD method (in standard and GID configurations. Additionally, the electron microscopy (SEM together with EDS microanalysis were applied to describe the morphology and the chemical composition of thin films. The analysis of FT-IR spectra and X-ray diffraction patterns of bulk samples revealed the presence of γ-Al2O3 and δ-Al2O3 phases, together with the small amount of SiO2 in the particulate samples. This observation was confirmed by the bands due to vibrations of Al–O bonds occurring in γ-Al2O3 and δ-Al2O3 structures, in the range of 400 to 900 cm−1. The same phases (γ-Al2O3 and δ-Al2O were observed in the deposited coatings, but the presence of particulate ones strongly depended on the type of Al2O3 and SiO2 precursor and on the heat treatment temperature. All thin films contained considerable amounts of amorphous phase.

  5. Au nanoparticles decorated SiO2 nanowires by dewetting on curved surfaces: facile synthesis and nanoparticles–nanowires sizes correlation

    International Nuclear Information System (INIS)

    Ruffino, F.; Grimaldi, M. G.

    2013-01-01

    We report a solid-state synthesis for SiO 2 nanowires (NWs) (up to 20 microns in length and from about 40 to about 150 nm in diameter) coated by Au nanoparticles (NPs) (from about 20 to about 80 nm in diameter). This protocol is based on three steps: (1) large area production of very long SiO 2 NWs on a Si surface exploiting a simple Au/Si solid-state reaction at high temperature; (2) coating of the SiO 2 NWs by a Au film of desired thickness using sputtering depositions; and (3) a thermal process to induce a dewetting process of the Au-film coating the SiO 2 NWs to obtain Au NPs on the curved surface of the NWs. The morphology evolution of the SiO 2 NWs was followed, in each step, by scanning electron microscopy analyses. They allowed to correlate the evolution of the NPs size with the NWs sizes for different thicknesses of the starting Au-film coating the NWs and different annealing temperatures of the dewetting process. Some theoretical concepts, related to the dewetting process of a film on a curved surface were used to describe the experimental data. The main advantages of the proposed protocols include: (i) simplicity and low-cost (it is based only on sputtering depositions and thermal processes), and (ii) versatility based on the possibility of tuning Au-film thickness and annealing temperature to tune the NPs–NWs sizes ratio. These advantages can make this technique suitable for the mass production of Au NPs-coated SiO 2 NWs toward applications in electronic devices, biosensors, and nanoscale optical devices

  6. Suppression of dewetting phenomena during excimer laser melting of thin metal films on SiO2

    International Nuclear Information System (INIS)

    Kline, J.E.; Leonard, J.P.

    2005-01-01

    Pulsed excimer laser irradiation has been used to fully melt 200 nm films of elemental Au and Ni on SiO 2 substrates. With the use of a capping layer of SiO 2 and line irradiation via projection optics, the typical liquid-phase dewetting processes associated with these metals on SiO 2 has been suppressed. In a series of experiments varying line widths and fluence, a process region is revealed immediately above the complete melting threshold for which the films remain continuous and smooth after melting and resolidification. Simple energetic arguments for mechanisms leading to initiation of dewetting support these observations, and a gas-mediated model is proposed to describe the process conditions that are necessary for the suppression of dewetting

  7. The Effects of SiO2 Nanoparticles on Mechanical and Physicochemical Properties of Potato Starch Films

    Directory of Open Access Journals (Sweden)

    Z. Torabi

    2013-06-01

    Full Text Available In this paper effect of SiO2 nanoparticles was investigated on potato starch films. Potato starch films were prepared by casting method with addition of nano-silicon dioxide and a mixture of sorbitol/glycerol (weight ratio of 3 to 1 as plasticizers. SiO2 nanoparticles incorporated to the potato starch films at different concentrations 0, 1, 2, 3, and 5% of total solid, and the films were dried under controlled conditions.  Physicochemical properties such as water absorption capacity (WAC, water vapor permeability (WVP and mechanical properties of the films were measured. Results show that by increasing the concentration of silicon dioxide nanoparticles, mechanical properties of films can be improved. Also incorporation of silicon dioxide nanoparticles in the structure of biopolymer decrease permeability of the gaseous molecules such as water vapor. In summary, addition of silicon dioxide nanoparticles improves functional properties of potato starch films and these bio Nano composites can be used in food packaging.

  8. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    Science.gov (United States)

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  9. Microstructure and magnetic properties of FePt:Ag nanocomposite films on SiO2/Si(1 0 0)

    International Nuclear Information System (INIS)

    Wang Hao; Yang, F.J.; Wang, H.B.; Cao, X.; Xue, S.X.; Wang, J.A.; Gao, Y.; Huang, Z.B.; Yang, C.P.; Chiah, M.F.; Cheung, W.Y.; Wong, S.P.; Li, Q.; Li, Z.Y.

    2006-01-01

    FePt:Ag nanocomposite films were prepared by pulsed filtered vacuum arc deposition system and subsequent rapid thermal annealing on SiO 2 /Si(1 0 0) substrates. The microstructure and magnetic properties were investigated. A strong dependence of coercivity and ordering of the face-central tetragonal structure on both Ag concentration and annealing temperature was observed. With Ag concentration of 22% in atomic ratio, the coercivity got to 6.0 kOe with a grain size of 6.7 nm when annealing temperature was 400 deg. C

  10. Effect of oxygen pressure of SiOx buffer layer on the electrical properties of GZO film deposited on PET substrate

    International Nuclear Information System (INIS)

    Ahn, Byung Du; Ko, Young Gun; Oh, Sang Hoon; Song, Jean-Ho; Kim, Hyun Jae

    2009-01-01

    The present work was made to investigate the effect of oxygen pressure of SiO x layer on the electrical properties of Ga-doped ZnO (GZO) films deposited on poly-ethylene telephthalate (PET) substrate by utilizing the pulsed-laser deposition at ambient temperature. For this purpose, the SiO x buffer layers were deposited at various oxygen pressures ranging from 13.3 to 46.7 Pa. With increasing oxygen pressure during the deposition of SiO x layer as a buffer, the electrical resistivity of GZO/SiO x /PET films gradually decreased from 7.6 x 10 -3 to 6.8 x 10 -4 Ω.cm, due to the enhanced mobility of GZO films. It was mainly due to the grain size of GZO films related to the roughened surface of the SiO x buffer layers. In addition, the average optical transmittance of GZO/SiO x /PET films in a visible regime was estimated to be ∼ 90% comparable to that of GZO deposited onto a glass substrate.

  11. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  12. Highly textured fresnoite thin films synthesized in situ by pulsed laser deposition with CO2 laser direct heating

    International Nuclear Information System (INIS)

    Lorenz, Michael; Stölzel, Marko; Brachwitz, Kerstin; Hochmuth, Holger; Grundmann, Marius; De Pablos-Martin, Araceli; Patzig, Christian; Höche, Thomas

    2014-01-01

    Fresnoite Ba 2 TiSi 2 O 8 (BTS) thin films were grown and crystallized in situ using pulsed laser deposition (PLD) with CO 2 laser direct heating of the a-plane sapphire (1 1 0) substrates up to 1250 °C. Starting with 775 °C growth temperature, (0 0 1)- and (1 1 0)-textured BTS and BaTiO 3 phases, respectively, could be assigned in the films, and the typical fern-like BTS crystallization patterns appear. For higher process temperatures of 1100 to 1250 °C, atomically smooth, terraced surface of the films was found, accompanied by crystalline high-temperature phases of Ba–Ti–Si oxides. HAADF micrographs taken in both scanning transmission electron microscopy and energy-dispersive x-ray spectrometry mode show details of morphology and elemental distribution inside the films and at the interface. To balance the inherent Si deficiency of the BTS films, growth from glassy BTS × 2 SiO 2 and BTS × 2.5 SiO 2 targets was considered as well. The latter targets are ideal for PLD since the employed glasses possess 100% of the theoretical density and are homogeneous at the atomic scale. (paper)

  13. Preparation and Characterization of PbO-SrO-Na2O-Nb2O5-SiO2 Glass Ceramics Thin Film for High-Energy Storage Application

    Science.gov (United States)

    Tan, Feihu; Zhang, Qingmeng; Zhao, Hongbin; Wei, Feng; Du, Jun

    2018-03-01

    PbO-SrO-Na2O-Nb2O5-SiO2 (PSNNS) glass ceramic thin films were prepared by pulsed laser deposition technology on heavily doped silicon substrates. The influence of annealing temperatures on microstructures, dielectric properties and energy storage performances of the as-prepared films were investigated in detail. X-ray diffraction studies indicate that Pb2Nb2O7 crystallizes at 800°C and disappears at 900°C, while NaNbO3 and PbNb2O6 are formed at the higher temperature of 900°C. The dielectric properties of the glass ceramics thin films have a strong dependence on the phase assemblages that are developed during heat treatment. The maximum dielectric constant value of 171 was obtained for the film annealed at 800°C, owing to the high electric breakdown field strength, The energy storage densities of the PSNNS films annealed at 800°C were as large as 36.9 J/cm3, These results suggest that PSNNS thin films are promising for energy storage applications.

  14. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  15. Optical and structural properties of SiOxNyHz films deposited by electron cyclotron resonance and their correlation with composition

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bravo, D.; Lopez, F. J.; Bohne, W.; Roehrich, J.; Selle, B.; Martinez, F. L.

    2003-01-01

    SiO x N y H z films were deposited from O 2 , N 2 , and SiH 4 gas mixtures at room temperature using the electron cyclotron resonance plasma method. The absolute concentrations of all the species present in the films (Si, O, N, and H) were measured with high precision by heavy-ion elastic recoil detection analysis. The composition of the films was controlled over the whole composition range by adjusting the precursor gases flow ratio during deposition. The relative incorporation of O and N is determined by the ratio Q=φ(O 2 )/φ(SiH 4 ) and the relative content of Si is determined by R=[φ(O 2 )+φ(N 2 )]/φ(SiH 4 ) where φ(SiH 4 ), φ(O 2 ), and φ(N 2 ) are the SiH 4 , O 2 , and N 2 gas flows, respectively. The optical properties (infrared absorption and refractive index) and the density of paramagnetic defects were analyzed in dependence on the film composition. Single-phase homogeneous films were obtained at low SiH 4 partial pressure during deposition; while those samples deposited at high SiH 4 partial pressure show evidence of separation of two phases. The refractive index was controlled over the whole range between silicon nitride and silicon oxide, with values slightly lower than in stoichiometric films due to the incorporation of H, which results in a lower density of the films. The most important paramagnetic defects detected in the films were the K center and the E ' center. Defects related to N were also detected in some samples. The total density of defects in SiO x N y H z films was higher than in SiO 2 and lower than in silicon nitride films

  16. Nano-structure formation of Fe-Pt perpendicular magnetic recording media co-deposited with MgO, Al2O3 and SiO2 additives

    International Nuclear Information System (INIS)

    Safran, G.; Suzuki, T.; Ouchi, K.; Barna, P.B.; Radnoczi, G.

    2006-01-01

    Perpendicular magnetic recording media samples were prepared by sputter deposition on sapphire with a layer sequence of MgO seed-layer/Cr under-layer/FeSi soft magnetic under-layer/MgO intermediate layer/FePt-oxide recording layer. The effects of MgO, Al 2 O 3 and SiO 2 additives on the morphology and orientation of the FePt layer were investigated by transmission electron microscopy. The samples exhibited (001) orientation of the L1 FePt phase with the mutual orientations of sapphire substrate//MgO(100)[001]//Cr(100)[11-bar0]//FeSi(100)[11-bar0]//MgO(100) [001]//FePt(001)[100]. The morphology of the FePt films varied due to the co-deposited oxides: The FePt layers were continuous and segmented by stacking faults aligned at 54 o to the surface. Films with SiO 2 addition, beside the oriented columnar FePt grains, exhibited a fraction of misoriented crystallites due to random repeated nucleation. Al 2 O 3 addition resulted in a layered structure, i.e. an initial continuous epitaxial FePt layer covered by a secondary layer of FePt-Al 2 O 3 composite. Both components (FePt and MgO) of the MgO-added samples were grown epitaxially on the MgO intermediate layer, so that a nano-composite of intercalated (001) FePt and (001) MgO was formed. The revealed microstructures and formation mechanisms may facilitate the improvement of the structural and magnetic properties of the FePt-oxide composite perpendicular magnetic recording media

  17. New intelligent multifunctional SiO2/VO2 composite films with enhanced infrared light regulation performance, solar modulation capability, and superhydrophobicity.

    Science.gov (United States)

    Wang, Chao; Zhao, Li; Liang, Zihui; Dong, Binghai; Wan, Li; Wang, Shimin

    2017-01-01

    Highly transparent, energy-saving, and superhydrophobic nanostructured SiO 2 /VO 2 composite films have been fabricated using a sol-gel method. These composite films are composed of an underlying infrared (IR)-regulating VO 2 layer and a top protective layer that consists of SiO 2 nanoparticles. Experimental results showed that the composite structure could enhance the IR light regulation performance, solar modulation capability, and hydrophobicity of the pristine VO 2 layer. The transmittance of the composite films in visible region ( T lum ) was higher than 60%, which was sufficient to meet the requirements of glass lighting. Compared with pristine VO 2 films and tungsten-doped VO 2 film, the near IR control capability of the composite films was enhanced by 13.9% and 22.1%, respectively, whereas their solar modulation capability was enhanced by 10.9% and 22.9%, respectively. The water contact angles of the SiO 2 /VO 2 composite films were over 150°, indicating superhydrophobicity. The transparent superhydrophobic surface exhibited a high stability toward illumination as all the films retained their initial superhydrophobicity even after exposure to 365 nm light with an intensity of 160 mW . cm -2 for 10 h. In addition, the films possessed anti-oxidation and anti-acid properties. These characteristics are highly advantageous for intelligent windows or solar cell applications, given that they can provide surfaces with anti-fogging, rainproofing, and self-cleaning effects. Our technique offers a simple and low-cost solution to the development of stable and visible light transparent superhydrophobic surfaces for industrial applications.

  18. Dependences of deposition rate and OH content on concentration of added trichloroethylene in low-temperature silicon oxide films deposited using silicone oil and ozone gas

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2018-03-01

    We investigated the dependences of the deposition rate and residual OH content of SiO2 films on the concentration of trichloroethylene (TCE), which was added during deposition at low temperatures of 160-260 °C with the reactant gases of silicone oil (SO) and O3. The deposition rate depends on the TCE concentration and is minimum at a concentration of ˜0.4 mol/m3 at 200 °C. The result can be explained by surface and gas-phase reactions. Experimentally, we also revealed that the thickness profile is strongly affected by gas-phase reaction, in which the TCE vapor was blown directly onto the substrate surface, where it mixed with SO and O3. Furthermore, it was found that adding TCE vapor reduces residual OH content in the SiO2 film deposited at 200 °C because TCE enhances the dehydration reaction.

  19. Pulsed ion-beam induced nucleation and growth of Ge nanocrystals on SiO2

    International Nuclear Information System (INIS)

    Stepina, N. P.; Dvurechenskii, A. V.; Armbrister, V. A.; Kesler, V. G.; Novikov, P. L.; Gutakovskii, A. K.; Kirienko, V. V.; Smagina, Zh. V.; Groetzschel, R.

    2007-01-01

    Pulsed low-energy (200 eV) ion-beam induced nucleation during Ge deposition on thin SiO 2 film was used to form dense homogeneous arrays of Ge nanocrystals. The ion-beam action is shown to stimulate the nucleation of Ge nanocrystals when being applied after thin Ge layer deposition. Temperature and flux variation was used to optimize the nanocrystal size and array density required for memory device. Kinetic Monte Carlo simulation shows that ion impacts open an additional channel of atom displacement from a nanocrystal onto SiO 2 surface. This results both in a decrease in the average nanocrystal size and in an increase in nanocrystal density

  20. Optical properties of amorphous SiO2-TiO2 multi-nanolayered coatings for 1064-nm mirror technology

    Science.gov (United States)

    Magnozzi, M.; Terreni, S.; Anghinolfi, L.; Uttiya, S.; Carnasciali, M. M.; Gemme, G.; Neri, M.; Principe, M.; Pinto, I.; Kuo, L.-C.; Chao, S.; Canepa, M.

    2018-01-01

    The use of amorphous, SiO2-TiO2 nanolayered coatings has been proposed recently for the mirrors of 3rd-generation interferometric detectors of gravitational waves, to be operated at low temperature. Coatings with a high number of low-high index sub-units pairs with nanoscale thickness were found to preserve the amorphous structure for high annealing temperatures, a key factor to improve the mechanical quality of the mirrors. The optimization of mirror designs based on such coatings requires a detailed knowledge of the optical properties of sub-units at the nm-thick scale. To this aim we have performed a Spectroscopic Ellipsometry (SE) study of amorphous SiO2-TiO2 nanolayered films deposited on Si wafers by Ion Beam Sputtering (IBS). We have analyzed films that are composed of 5 and 19 nanolayers (NL5 and NL19 samples) and have total optical thickness nominally equivalent to a quarter of wavelength at 1064 nm. A set of reference optical properties for the constituent materials was obtained by the analysis of thicker SiO2 and TiO2 homogeneous films (∼ 120 nm) deposited by the same IBS facility. By flanking SE with ancillary techniques, such as TEM and AFM, we built optical models that allowed us to retrieve the broad-band (250-1700 nm) optical properties of the nanolayers in the NL5 and NL19 composite films. In the models which provided the best agreement between simulation and data, the thickness of each sub-unit was fitted within rather narrow bounds determined by the analysis of TEM measurements on witness samples. Regarding the NL5 sample, with thickness of 19.9 nm and 27.1 nm for SiO2 and TiO2 sub-units, respectively, the optical properties presented limited variations with respect to the thin film counterparts. For the NL19 sample, which is composed of ultrathin sub-units (4.4 nm and 8.4 nm for SiO2 and TiO2, respectively) we observed a significant decrease of the IR refraction index for both types of sub-units; this points to a lesser mass density with

  1. Comparison of stress in single and multiple layer depositions of plasma-deposited amorphous silicon dioxide

    International Nuclear Information System (INIS)

    Au, V; Charles, C; Boswell, R W

    2006-01-01

    The stress in a single-layer continuous deposition of amorphous silicon dioxide (SiO 2 ) film is compared with the stress within multiple-layer intermittent or 'stop-start' depositions. The films were deposited by helicon activated reactive evaporation (plasma assisted deposition with electron beam evaporation source) to a 1 μm total film thickness. The relationships for stress as a function of film thickness for single, two, four and eight layer depositions have been obtained by employing the substrate curvature technique on a post-deposition etch-back of the SiO 2 film. At film thicknesses of less than 300 nm, the stress-thickness relationships clearly show an increase in stress in the multiple-layer samples compared with the relationship for the single-layer film. By comparison, there is little variation in the film stress between the samples when it is measured at 1 μm film thickness. Localized variations in stress were not observed in the regions where the 'stop-start' depositions occurred. The experimental results are interpreted as a possible indication of the presence of unstable, strained Si-O-Si bonds in the amorphous SiO 2 film. It is proposed that the subsequent introduction of a 'stop-start' deposition process places additional strain on these bonds to affect the film structure. The experimental stress-thickness relationships were reproduced independently by assuming a linear relationship between the measured bow and film thickness. The constants of the linear model are interpreted as an indication of the density of the amorphous film structure

  2. Transfer-free, lithography-free, and micrometer-precision patterning of CVD graphene on SiO2 toward all-carbon electronics

    Science.gov (United States)

    Dong, Yibo; Xie, Yiyang; Xu, Chen; Li, Xuejian; Deng, Jun; Fan, Xing; Pan, Guanzhong; Wang, Qiuhua; Xiong, Fangzhu; Fu, Yafei; Sun, Jie

    2018-02-01

    A method of producing large area continuous graphene directly on SiO2 by chemical vapor deposition is systematically developed. Cu thin film catalysts are sputtered onto the SiO2 and pre-patterned. During graphene deposition, high temperature induces evaporation and balling of the Cu, and the graphene "lands onto" SiO2. Due to the high heating and growth rate, continuous graphene is largely completed before the Cu evaporation and balling. 60 nm is identified as the optimal thickness of the Cu for a successful graphene growth and μm-large feature size in the graphene. An all-carbon device is demonstrated based on this technique.

  3. Internal Friction and Young's Modulus Measurements on SiO2 and Ta2O5 Films Done with an Ultra-High Q Silicon-Wafer Suspension

    Directory of Open Access Journals (Sweden)

    Granata M.

    2015-04-01

    Full Text Available In order to study the internal friction of thin films a nodal suspension system called GeNS (Gentle Nodal Suspension has been developed. The key features of this system are: i the possibility to use substrates easily available like silicon wafers; ii extremely low excess losses coming from the suspension system which allows to measure Q factors in excess of 2×108 on 3” diameter wafers; iii reproducibility of measurements within few percent on mechanical losses and 0.01% on resonant frequencies; iv absence of clamping; v the capability to operate at cryogenic temperatures. Measurements at cryogenic temperatures on SiO2 and at room temperature only on Ta2O5 films deposited on silicon are presented.

  4. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Youroukov, S; Kitova, S; Danev, G [Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 113 Sofia (Bulgaria)], E-mail: skitova@clf.bas.bg

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO{sub 2} together with concurrent bombardment with low energy N{sub 2}{sup +} ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N{sub 2}{sup +} ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV)

  5. Low-temperature transport properties of chemical solution deposited polycrystalline La0.7Sr0.3MnO3 ferromagnetic films under a magnetic field

    International Nuclear Information System (INIS)

    Zhu, Junyu; Chen, Ying; Xu, Wenfei; Yang, Jing; Bai, Wei; Wang, Genshui; Duan, Chungang; Tang, Zheng; Tang, Xiaodong

    2011-01-01

    Polycrystalline La 0.7 Sr 0.3 MnO 3 (LSMO) films were prepared on SiO 2 /Si (001) substrates by chemical solution deposition technique. Electrical and magnetic properties of LSMO were investigated. A minimum phenomenon in resistivity is found at the low temperature ( 0.7 Sr 0.3 MnO 3 films were grown by a modified chemical solution deposition route. → High quality LSMO thin films were prepared directly onto SiO 2 /Si substrates. → Abnormality in resistivity of LSMO films at low temperatures was studied in detail. → The abnormality was mainly attributed to Kondo-like spin dependent scattering.

  6. Optical properties of ZrO2, SiO2 and TiO2-SiO2 xerogels and coatings doped with Eu3+ and Eu2+

    Directory of Open Access Journals (Sweden)

    Gonçalves Rogéria R.

    1999-01-01

    Full Text Available Eu3+ doped bulk monoliths and thin films were obtained by sol-gel methods in the ZrO2, SiO2 and SiO2-TiO2 systems. Eu3+ 5D0 ® 7FJ emission and decay time characteristics were measured during the entire experimental preparation route from the initial sol to the final xerogels. The crystalline phases identified were tetragonal ZrO2 and mixtures of rutile and anatase TiO2 at high temperature treatments in bulk samples. Good quality thin films were obtained for all systems by dip-coating optical glasses (Schott BK270. The same spectroscopic features were observed either for the bulk monoliths or the films. By appropriate heat treatments under H2 atmosphere Eu2+ containing samples could be obtained in the SiO2-TiO2 system.

  7. Large Area Deposition of MoS2 by Pulsed Laser Deposition with In-Situ Thickness Control

    KAUST Repository

    Serna, Martha I.

    2016-05-24

    A scalable and catalyst-free method to deposit stoichiometric Molybdenum Disulfide (MoS2) films over large areas is reported with the maximum area limited by the size of the substrate holder. The method allows deposition of MoS2 layers on a wide range of substrates without any additional surface preparation including single crystals (sapphire and quartz), polycrystalline (HfO2), and amorphous (SiO2). The films are deposited using carefully designed MoS2 targets fabricated with excess of sulfur (S) and variable MoS2 and S particle size. Uniform and layered MoS2 films as thin as two monolayers, with an electrical resistivity of 1.54 × 104 Ω cm-1 were achieved. The MoS2 stoichiometry was as confirmed by High Resolution Rutherford Backscattering Spectrometry (HRRBS). With the method reported here, in situ graded MoS2 films ranging from ~1 to 10 monolayers can also be deposited.

  8. Large Area Deposition of MoS2 by Pulsed Laser Deposition with In-Situ Thickness Control

    KAUST Repository

    Serna, Martha I.; Yoo, Seong H.; Moreno, Salvador; Xi, Yang; Oviedo, Juan Pablo; Choi, Hyunjoo; Alshareef, Husam N.; Kim, Moon J.; Minary-Jolandan, Majid; Quevedo-Lopez, Manuel A.

    2016-01-01

    A scalable and catalyst-free method to deposit stoichiometric Molybdenum Disulfide (MoS2) films over large areas is reported with the maximum area limited by the size of the substrate holder. The method allows deposition of MoS2 layers on a wide range of substrates without any additional surface preparation including single crystals (sapphire and quartz), polycrystalline (HfO2), and amorphous (SiO2). The films are deposited using carefully designed MoS2 targets fabricated with excess of sulfur (S) and variable MoS2 and S particle size. Uniform and layered MoS2 films as thin as two monolayers, with an electrical resistivity of 1.54 × 104 Ω cm-1 were achieved. The MoS2 stoichiometry was as confirmed by High Resolution Rutherford Backscattering Spectrometry (HRRBS). With the method reported here, in situ graded MoS2 films ranging from ~1 to 10 monolayers can also be deposited.

  9. PECVD SiO2 dielectric for niobium Josephson IC process

    International Nuclear Information System (INIS)

    Lee, S.Y.; Nandakumar, V.; Murdock, B.; Hebert, D.

    1991-01-01

    PECVD SiO 2 dielectric has been evaluated as an insulator for a Nb-based, all-refractory Josephson integrated circuit process. First, the properties of PECVD SiO 2 films were measured and compared with those of evaporated SiO films. Second, the PECVD SiO 2 dielectric film was used in our Nb-based Josephson integrated circuit process. The main problem was found to be the deterioration of the critical temperature of the superconducting niobium adjacent to the SiO 2 . The cause and a solution of the problem were investigated. Finally, a Josephson integrated sampler circuit was fabricated and tested. This paper shows acceptable junction I-V characteristics and a measured time resolution of a 4.9 ps pulse in liquid helium

  10. Optical transparency and mechanical properties of semi-refined iota carrageenan film reinforced with SiO2 as food packaging material

    Science.gov (United States)

    Aji, Afifah Iswara; Praseptiangga, Danar; Rochima, Emma; Joni, I. Made; Panatarani, Camellia

    2018-02-01

    Food packaging is important for protecting food from environmental influences such as heat, light, water vapor, oxygen, dirt, dust particles, gas emissions and so on, which leads to decrease the quality of food. The most widely used type of packaging in the food industry is plastic which is made from synthetic polymers and takes hundreds of years to biodegrade. Recently, food packaging with high bio-degradability is being developed using biopolymer combined with nanoparticles as reinforcing agent (filler) to improve its properties. In this study, semi-refined iota carrageenan films were prepared by incorporating SiO2 nanoparticles as filler at different concentrations (0%, 0.5%, 1.0% and 1.5% w/w carrageenan) using solution casting method. The optical transparency and mechanical properties (tensile strength and elongation at break) of the films were analyzed. The results showed that incorporation of SiO2 nanoparticles to carrageenan matrix on optical transparency of the films. For the mechanical properties, the highest tensile strength was found for incorporation of 0.5% SiO2, while the elongation at break of the films improved with increasing SiO2 concentration.

  11. Nucleation of C60 on ultrathin SiO2

    Science.gov (United States)

    Conrad, Brad; Groce, Michelle; Cullen, William; Pimpinelli, Alberto; Williams, Ellen; Einstein, Ted

    2012-02-01

    We utilize scanning tunneling microscopy to characterize the nucleation, growth, and morphology of C60 on ultrathin SiO2 grown at room temperature. C60 thin films are deposited in situ by physical vapor deposition with thicknesses varying from <0.05 to ˜1 ML. Island size and capture zone distributions are examined for a varied flux rate and substrate deposition temperature. The C60 critical nucleus size is observed to change between monomers and dimers non-monotonically from 300 K to 500 K. Results will be discussed in terms of recent capture zone studies and analysis methods. Relation to device fabrication will be discussed. doi:10.1016/j.susc.2011.08.020

  12. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  13. Transfer free graphene growth on SiO2 substrate at 250 °C

    Science.gov (United States)

    Vishwakarma, Riteshkumar; Rosmi, Mohamad Saufi; Takahashi, Kazunari; Wakamatsu, Yuji; Yaakob, Yazid; Araby, Mona Ibrahim; Kalita, Golap; Kitazawa, Masashi; Tanemura, Masaki

    2017-03-01

    Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

  14. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  15. Transfer-free, lithography-free, and micrometer-precision patterning of CVD graphene on SiO2 toward all-carbon electronics

    Directory of Open Access Journals (Sweden)

    Yibo Dong

    2018-02-01

    Full Text Available A method of producing large area continuous graphene directly on SiO2 by chemical vapor deposition is systematically developed. Cu thin film catalysts are sputtered onto the SiO2 and pre-patterned. During graphene deposition, high temperature induces evaporation and balling of the Cu, and the graphene “lands onto” SiO2. Due to the high heating and growth rate, continuous graphene is largely completed before the Cu evaporation and balling. 60 nm is identified as the optimal thickness of the Cu for a successful graphene growth and μm-large feature size in the graphene. An all-carbon device is demonstrated based on this technique.

  16. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    International Nuclear Information System (INIS)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao

    2010-01-01

    A series of SiO 2 films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO 2 films thicker than 60 nm are close to those of bulk SiO 2 . For the thin films deposited at the rate of ∼1.0 nm s -1 , the refractive indices increase with decreasing thickness from ∼60 to ∼10 nm and then drop sharply with decreasing thickness below ∼10 nm. However, for thin films deposited at the rates of ∼0.4 and ∼0.2 nm s -1 , the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  17. MgO thin films deposited by electrostatic spray pyrolysis for protecting layers in AC-plasma display panel

    CERN Document Server

    Kim, S G

    1999-01-01

    MgO thin films were deposited on SiO sub 2 (100) substrates by using electrostatic spray pyrolysis and Mg(tmhd) sub 2 as the precursor. The growth rates of the films varyed from 34 to 87 A/min and were measured for various substrate and guide temperatures. X-ray diffraction analysis provide evidence that the MgO films deposited at temperatures as low as 400 approx 500 .deg. C had preferred orientation to (100) plane perpendicular to the substrate surface. X-ray photoelectron spectroscopy and Auger electron spectroscopy data indicated that there were few organics incorporated in the films.

  18. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A; Borderon, C; Tacon, S Le; Averty, D; Gundel, H W

    2008-01-01

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi 3.25 La 0.75 Ti 3 O 12 (BLT 0,75 ), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi 3.25 La 0.75 Ti 3 O 12 . After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO 2 /SiO 2 /Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed

  19. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  20. Effects of Mev Si Ions and Thermal Annealing on Thermoelectric and Optical Properties of SiO2/SiO2+Ge Multi-nanolayer thin Films

    Science.gov (United States)

    Budak, S.; Alim, M. A.; Bhattacharjee, S.; Muntele, C.

    Thermoelectric generator devices have been prepared from 200 alternating layers of SiO2/SiO2+Ge superlattice films using DC/RF magnetron sputtering. The 5 MeV Si ionsbombardmenthasbeen performed using the AAMU Pelletron ion beam accelerator to formquantum dots and / or quantum clusters in the multi-layer superlattice thin films to decrease the cross-plane thermal conductivity, increase the cross-plane Seebeck coefficient and increase the cross-plane electrical conductivity to increase the figure of merit, ZT. The fabricated devices have been annealed at the different temperatures to tailor the thermoelectric and optical properties of the superlattice thin film systems. While the temperature increased, the Seebeck coefficient continued to increase and reached the maximum value of -25 μV/K at the fluenceof 5x1013 ions/cm2. The decrease in resistivity has been seen between the fluence of 1x1013 ions/cm2 and 5x1013 ions/cm2. Transport properties like Hall coefficient, density and mobility did not change at all fluences. Impedance spectroscopy has been used to characterize the multi-junction thermoelectric devices. The loci obtained in the C*-plane for these data indicate non-Debye type relaxation displaying the presence of the depression parameter.

  1. Composite films prepared by plasma ion-assisted deposition (IAD) for design and fabrication of antireflection coatings in visible and near-infrared spectral regions

    Science.gov (United States)

    Tsai, Rung-Ywan; Ho, Fang C.

    1994-11-01

    Ion-assisted deposition (IAD) processes configured with a well-controlled plasma source at the center base of a vacuum chamber, which accommodates two independent e-gun sources, is used to deposition TiO2MgF2 and TiO2-SiO2 composite films of selected component ratios. Films prepared by this technology are found durable, uniform, and nonabsorbing in visible and near-IR regions. Single- and multilayer antireflection coatings with refractive index from 1.38 to 2.36 at (lambda) equals 550 nm are presented. Methods of enhancement in optical performance of these coatings are studied. The advantages of AR coatings formed by TiO2-MgF2 composite films over those similar systems consisting of TiO2-SiO2 composite films in both visible and near-IR regions are also presented.

  2. Surface passivation by Al2O3 and a-SiNx: H films deposited on wet-chemically conditioned Si surfaces

    NARCIS (Netherlands)

    Bordihn, S.; Mertens, V.; Engelhart, P.; Kersten, K.; Mandoc, M.M.; Müller, J.W.; Kessels, W.M.M.

    2012-01-01

    The surface passivation of p- and n-type silicon by different chemically grown SiO2 films (prepared by HNO3, H2SO4/H2O2 and HCl/H2O2 treatments) was investigated after PECVD of a-SiNx:H and ALD of Al2O3 capping films. The wet chemically grown SiO2 films were compared to thermally grown SiO2 and the

  3. Surface properties of SiO2 with and without H2O2 treatment as gate dielectrics for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of H2O2 treatment on the surface properties of SiO2 is studied. H2O2 treatment leads to the formation of Si(sbnd OH)x at the SiO2 surface that serves to reduce the number of trap states, inducing the shift of the Fermi level toward the conduction band minimum. H2O2 treatment also leads to a noticeable reduction in the value of the SiO2 capacitance per unit area. The effect of SiO2 layers with H2O2 treatment on the behavior of carrier transports for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. Experimental identification confirms that the shift of the threshold voltage towards negative gate-source voltages is due to the reduced number of trap states in SiO2 near the pentacene/SiO2 interface. The existence of a hydrogenated layer between pentacene and SiO2 leads to a change in the pentacene-SiO2 interaction, increasing the value of the carrier mobility.

  4. Aluminosilicate glass thin films elaborated by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Carlier, Thibault [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Saitzek, Sébastien [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Méar, François O., E-mail: francois.mear@univ-lille1.fr [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Blach, Jean-François; Ferri, Anthony [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Huvé, Marielle; Montagne, Lionel [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France)

    2017-03-01

    Highlights: • Successfully deposition of a glassy thin film by PLD. • A good homogeneity and stoichiometry of the coating. • Influence of the deposition temperature on the glassy thin-film structure. - Abstract: In the present work, we report the elaboration of aluminosilicate glass thin films by Pulsed Laser Deposition at various temperatures deposition. The amorphous nature of glass thin films was highlighted by Grazing Incidence X-Ray Diffraction and no nanocristallites were observed in the glassy matrix. Chemical analysis, obtained with X-ray Photoelectron Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy, showed a good transfer and homogeneous elementary distribution with of chemical species from the target to the film a. Structural studies performed by Infrared Spectroscopy showed that the substrate temperature plays an important role on the bonding configuration of the layers. A slight shift of Si-O modes to larger wavenumber was observed with the synthesis temperature, assigned to a more strained sub-oxide network. Finally, optical properties of thins film measured by Spectroscopic Ellipsometry are similar to those of the bulk aluminosilicate glass, which indicate a good deposition of aluminosilicate bulk glass.

  5. Effect of deposition temperature & oxygen pressure on mechanical properties of (0.5) BZT-(0.5)BCT ceramic thin films

    Science.gov (United States)

    Sailaja, P.; Kumar, N. Pavan; Rajalakshmi, R.; Kumar, R. Arockia; Ponpandian, N.; Prabahar, K.; Srinivas, A.

    2018-05-01

    Lead free ferroelectric thin films of {(0.5) BZT-(0.5) BCT} (termed as BCZT) were deposited on Pt/TiO2/SiO2/Si substrates by pulsed laser deposition at four deposition temperatures 600, 650, 700, 750°C and at two oxygen pressures viz. 75mtorr and 100 mtorr using BCZT ceramic target (prepared by solid state sintering method). The effect of deposition temperature and oxygen pressure on the structure, microstructure and mechanical properties of BCZT films were studied. X-ray diffraction patterns of deposited films confirm tetragonal crystal symmetry and the crystallinity of the films increases with increasing deposition temperature. Variation in BCZT grain growth was observed when the films are deposited at different temperatures andoxygen pressures respectively. The mechanical properties viz. hardness and elastic modulus were also found to be high with increase in the deposition temperature and oxygen pressure. The results will be discussed.

  6. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  7. Band alignment of atomic layer deposited SiO2 and HfSiO4 with (\\bar{2}01) β-Ga2O3

    Science.gov (United States)

    Carey, Patrick H., IV; Ren, Fan; Hays, David C.; Gila, Brent P.; Pearton, Stephen J.; Jang, Soohwan; Kuramata, Akito

    2017-07-01

    The valence band offset at both SiO2/β-Ga2O3 and HfSiO4/β-Ga2O3 heterointerfaces was measured using X-ray photoelectron spectroscopy. Both dielectrics were deposited by atomic layer deposition (ALD) onto single-crystal β-Ga2O3. The bandgaps of the materials were determined by reflection electron energy loss spectroscopy as 4.6 eV for Ga2O3, 8.7 eV for Al2O3 and 7.0 eV for HfSiO4. The valence band offset was determined to be 1.23 ± 0.20 eV (straddling gap, type I alignment) for ALD SiO2 on β-Ga2O3 and 0.02 ± 0.003 eV (also type I alignment) for HfSiO4. The respective conduction band offsets were 2.87 ± 0.70 eV for ALD SiO2 and 2.38 ± 0.50 eV for HfSiO4, respectively.

  8. Comparison of lanthanum substituted bismuth titanate (BLT) thin films deposited by sputtering and pulsed laser deposition

    International Nuclear Information System (INIS)

    Besland, M.P.; Djani-ait Aissa, H.; Barroy, P.R.J.; Lafane, S.; Tessier, P.Y.; Angleraud, B.; Richard-Plouet, M.; Brohan, L.; Djouadi, M.A.

    2006-01-01

    Bi 4-x La x Ti 3 O 12 (BLT x ) (x = 0 to 1) thin films were grown on silicon (100) and platinized substrates Pt/TiO 2 /SiO 2 /Si using RF diode sputtering, magnetron sputtering and pulsed laser deposition (PLD). Stoichiometric home-synthesized targets were used. Reactive sputtering was investigated in argon/oxygen gas mixture, with a pressure ranging from 0.33 to 10 Pa without heating the substrate. PLD was investigated in pure oxygen, at a chamber pressure of 20 Pa for a substrate temperature of 400-440 deg. C. Comparative structural, chemical, optical and morphological characterizations of BLT thin films have been performed by X-ray diffraction (XRD), Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), X-Ray Photoelectron Spectroscopy (XPS), Spectro-ellipsometric measurements (SE) and Atomic Force Microscopy (AFM). Both sputtering techniques allow to obtain uniform films with thickness ranging from 200 to 1000 nm and chemical composition varying from (Bi,La) 2 Ti 3 O 12 to (Bi,La) 4.5 Ti 3 O 12 , depending on deposition pressure and RF power. In addition, BLT films deposited by magnetron sputtering, at a pressure deposition ranging from 1.1 to 5 Pa, were well-crystallized after a post-deposition annealing at 650 deg. C in oxygen. They exhibit a refractive index and optical band gap of 2.7 and 3.15 eV, respectively. Regarding PLD, single phase and well-crystallized, 100-200 nm thick BLT films with a stoichiometric (Bi,La) 4 Ti 3 O 12 chemical composition were obtained, exhibiting in addition a preferential orientation along (200). It is worth noting that BLT films deposited by magnetron sputtering are as well-crystallized than PLD ones

  9. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  10. Investigation of Al2O3 barrier film properties made by atomic layer deposition onto fluorescent tris-(8-hydroxyquinoline) aluminium molecular films

    International Nuclear Information System (INIS)

    Maindron, Tony; Aventurier, Bernard; Ghazouani, Ahlem; Jullien, Tony; Rochat, Névine; Simon, Jean-Yves; Viasnoff, Emilie

    2013-01-01

    Al 2 O 3 films have been deposited at 85 °C by atomic layer deposition onto single 100 nm thick tris-(8-hydroxyquinoline) aluminium (AlQ 3 ) films made onto silicon wafers. It has been found that a thick ALD-deposited Al 2 O 3 layer (> 11 nm) greatly prevents the photo-oxidation of AlQ 3 films when exposed to continuous UV irradiation (350 mW/cm 2 ). Thin Al 2 O 3 thicknesses (< 11 nm) on the contrary yield lower barrier performances. Defects in the Al 2 O 3 layer have been easily observed as non-fluorescent AlQ 3 singularities, or black spots, under UV light on the system Si/AlQ 3 /Al 2 O 3 stored into laboratory conditions (22 °C/50% Relative Humidity (RH)) for long time scale (∼ 2000 h). Accelerated aging conditions in a climatic chamber (85 °C/85% RH) also allow faster visualization of the same defects (168 h). The black spot density grows upon time and the black spot density occurrence rates have been calculated to be 0.024 h −1 ·cm −2 and 0.243 h −1 ·cm −2 respectively for the two testing conditions. A detailed investigation of these defects did show that they cannot be ascribed to the presence of a detectable particle. In that sense they are presumably the consequence of the existence of nanometre-scaled defects which cannot be detected onto fresh samples. Interestingly, an additional overcoating of ebeam-deposited SiO 2 onto the Si/AlQ 3 /Al 2 O 3 sample helps to decrease drastically the black spot density occurrence rates down to 0.004 h −1 ·cm −2 and 0.04 h −1 ·cm −2 respectively for 22 °C/50% RH and 85 °C/85% RH testing conditions. These observations highlight the moisture sensitivity of low temperature ALD-deposited Al 2 O 3 films and confirm the general idea that a single Al 2 O 3 ALD film performs as an ultra-high barrier but needs to be overprotected from water condensation by an additional moisture-stable layer. - Highlights: • Thin Al 2 O 3 films have been deposited by atomic layer deposition onto organic films.

  11. Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO2 nanogranular films

    International Nuclear Information System (INIS)

    Zhu, Li Qiang; Chao, Jin Yu; Xiao, Hui

    2014-01-01

    Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO 2 nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics

  12. Thin film circuits for future applications. Pt. 2. Evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Haug, G; Houska, K H; Schmidt, H J; Sprengel, H P; Wohak, K

    1976-06-01

    Investigations of thin film diffusion processes and reactions with encapsulation materials resulted in improved long term stability of evaporated NiCr resistors, SiO capacitors and NiCr/Au conductors for thin film circuits. Stable NiCr resistor networks can be formed on ceramic substrates, and SiO capacitors of good quality can be deposited on the new very smooth ceramic substrates. The knowledge of the influence of evaporation parameters make the production of SiO capacitors with definite properties and good reproducibility possible. The range of capacitance of tantalum thin film circuits can be extended by integration with evaporated SiO capacitors.

  13. Deposition of conductive TiN shells on SiO2 nanoparticles with a fluidized bed ALD reactor

    NARCIS (Netherlands)

    Didden, A.; Hillebrand, P.; Wollgarten, M.; Dam, B.; Van de Krol, R.

    2016-01-01

    Conductive TiN shells have been deposited on SiO2 nanoparticles (10–20 nm primary particle size) with fluidized bed atomic layer deposition using TDMAT and NH3 as precursors. Analysis of the powders confirms that shell growth saturates at approximately 0.4 nm/cycle at TDMAT doses of >1.2 mmol/g of

  14. Impact of SiO2 on Al–Al thermocompression wafer bonding

    International Nuclear Information System (INIS)

    Malik, Nishant; Finstad, Terje G; Schjølberg-Henriksen, Kari; Poppe, Erik U; Taklo, Maaike M V

    2015-01-01

    Al–Al thermocompression bonding suitable for wafer level sealing of MEMS devices has been investigated. This paper presents a comparison of thermocompression bonding of Al films deposited on Si with and without a thermal oxide (SiO 2 film). Laminates of diameter 150 mm containing device sealing frames of width 200 µm were realized. The wafers were bonded by applying a bond force of 36 or 60 kN at bonding temperatures ranging from 300–550 °C for bonding times of 15, 30 or 60 min. The effects of these process variations on the quality of the bonded laminates have been studied. The bond quality was estimated by measurements of dicing yield, tensile strength, amount of cohesive fracture in Si and interfacial characterization. The mean bond strength of the tested structures ranged from 18–61 MPa. The laminates with an SiO 2 film had higher dicing yield and bond strength than the laminates without SiO 2 for a 400 °C bonding temperature. The bond strength increased with increasing bonding temperature and bond force. The laminates bonded for 30 and 60 min at 400 °C and 60 kN had similar bond strength and amount of cohesive fracture in the bulk silicon, while the laminates bonded for 15 min had significantly lower bond strength and amount of cohesive fracture in the bulk silicon. (paper)

  15. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  16. Deposition of Au/TiO2 film by pulsed laser

    International Nuclear Information System (INIS)

    Zhao Chongjun; Zhao Quanzhong; Zhao Qitao; Qiu Jianrong; Zhu Congshan

    2006-01-01

    Au nanoparticles, which were photoreduced by a Nd:YAG laser in HAuCl 4 solution containing TiO 2 colloid and accompanied by the TiO 2 particles, were deposited on the substrate surface. The film consisting of Au/TiO 2 particles was characterized by the absorption spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis. The adhesion between the film and substrate was evaluated by using adhesive tape test. It was found that the presence of TiO 2 dramatically enhanced the adhesion strength between the film and the substrate, as well as the deposition rate of film. The mechanism for the deposition of Au/TiO 2 film was also discussed

  17. Ferroelectric properties of bilayer structured Pb(Zr0.52Ti0.48)O3/SrBi2Ta2O9 (PZT/SBT) thin films on Pt/TiO2/SiO2/Si substrates

    International Nuclear Information System (INIS)

    Zhang Wenqi; Li Aidong; Shao Qiyue; Xia Yidong; Wu Di; Liu Zhiguo; Ming Naiben

    2008-01-01

    Pb(Zr 0.52 Ti 0.48 )O 3 (PZT) thin films with large remanent polarization and SrBi 2 Ta 2 O 9 (SBT) thin films with excellent fatigue-resisting characteristic have been widely studied for non-volatile random access memories, respectively. To combine these two advantages , bilayered Pb(Zr 0.52 Ti 0.48 )O 3 /SrBi 2 Ta 2 O 9 (PZT/SBT) thin films were fabricated on Pt/TiO 2 /SiO 2 /Si substrates by chemical solution deposition method. X-ray diffraction patterns revealed that the diffraction peaks of PZT/SBT thin films were completely composed of PZT and SBT, and no other secondary phase was observed. The electrical properties of the bilayered structure PZT/SBT films have been investigated in comparison with pure PZT and SBT films. PZT/SBT bilayered thin films showed larger remanent polarization (2P r ) of 18.37 μC/cm 2 than pure SBT and less polarization fatigue up to 1 x 10 9 switching cycles than pure PZT. These results indicated that this bilayered structure of PZT/SBT is a promising material combination for ferroelectric memory applications

  18. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  19. Physical and Electrical Properties of SiO2 Layer Synthesized by Eco-Friendly Method

    Science.gov (United States)

    Kim, Jong-Woong; Kim, Young-Seok; Hong, Sung-Jei; Hong, Tae-Hwan; Han, Jeong-In

    2010-05-01

    SiO2 thin film has a wide range of applications, including insulation layers in microelectronic devices, such as semiconductors and flat panel displays, due to its advantageous characteristics. Herein, we developed a new eco-friendly method for manufacturing SiO2 nanoparticles and, thereby, SiO2 paste to be used in the digital printing process for the fabrication of SiO2 film. By excluding harmful Cl- and NO3- elements from the SiO2 nanoparticle synthetic process, we were able to lower the heat treatment temperature for the SiO2 precursor from 600 to 300 °C and the diameter of the final SiO2 nanoparticles to about 14 nm. The synthesized SiO2 nanoparticles were dispersed in an organic solvent with additives to make a SiO2 paste for feasibility testing. The SiO2 paste was printed onto a glass substrate to test the feasibility of using it for digital printing. The insulation resistance of the printed film was high enough for it to be used as an insulation layer for passivation.

  20. Mechanical properties of silicon oxynitride thin films prepared by low energy ion beam assisted deposition

    International Nuclear Information System (INIS)

    Shima, Yukari; Hasuyama, Hiroki; Kondoh, Toshiharu; Imaoka, Yasuo; Watari, Takanori; Baba, Koumei; Hatada, Ruriko

    1999-01-01

    Silicon oxynitride (SiO x N y ) films (0.1-0.7 μm) were produced on Si (1 0 0), glass and 316L stainless steel substrates by ion beam assisted deposition (IBAD) using Si evaporation and the concurrent bombardment with a mixture of 200 eV N 2 and Ar, or O 2 and Ar ions. Adhesion was evaluated by pull-off tests. Film hardness was measured by a nanoindentation system with AFM. The measurement of internal stress in the films was carried out by the Stoney method. The film structure was examined by GXRD. XPS was employed to measure the composition of films and to analyze the chemical bonds. The dependence of mechanical properties on the film thickness and the processing temperature during deposition was studied. Finally, the relations between the mechanical properties of the films and the correlation with corrosion-protection ability of films are discussed and summarized

  1. Microstructural modifications induced by rapid thermal annealing in plasma deposited SiOxNyHz films

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bravo, D.; Lopez, F.J.; Fernandez, M.; Martinez, F.L.

    2003-01-01

    The effect of rapid thermal annealing (RTA) processes on the structural properties of SiO x N y H z films was investigated. The samples were deposited by the electron cyclotron resonance plasma method, using SiH 4 , O 2 and N 2 as precursor gases. For SiO x N y H z films with composition close to that of SiO 2 , which have a very low H content, RTA induces thermal relaxation of the lattice and improvement of the structural order. For films of intermediate composition and of compositions close to SiN y H z , the main effect of RTA is the release of H at high temperatures (T>700 deg. C). This H release is more significant in films containing both Si-H and N-H bonds, due to cooperative reactions between both kinds of bonds. In these films the degradation of structural order associated to H release prevails over thermal relaxation, while in those films with only N-H bonds, thermal relaxation predominates. For annealing temperatures in the 500-700 deg. C range, the passivation of dangling bonds by the nonbonded H in the films and the transition from the paramagnetic state to the diamagnetic state of the K center result in a decrease of the density of paramagnetic defects. The H release observed at high annealing temperatures is accompanied by an increase of density of paramagnetic defects

  2. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO2 for non-volatile memory device

    International Nuclear Information System (INIS)

    Stepina, N.P.; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V.

    2008-01-01

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO 2 , have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO 2 /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots

  3. Geometric structure of thin SiO xN y films on Si(100)

    Science.gov (United States)

    Behrens, K.-M.; Klinkenberg, E.-D.; Finster, J.; Meiwes-Broer, K.-H.

    1998-05-01

    Thin films of amorphous stoichometric SiO xN y are deposited on radiation-heated Si(100) by rapid thermal low-pressure chemical vapour deposition. We studied the whole range of possible compositions. In order to determine the geometric structure, we used EXAFS and photoelectron spectroscopy. Tetrahedrons constitute the short-range units with a central Si atom connected to N and O. The distribution of the possible tetrahedrons can be described by a mixture of the Random Bonding Model and the Random Mixture Model. For low oxygen contents x/( x+ y)≤0.3, the geometric structure of the film is almost the structure of a-Si 3N 4, with the oxygen preferably on top of Si-N 3 triangles. Higher oxygen contents induce changes in the bond lengths, bond angles and coordination numbers.

  4. Photocatalytic properties of Au-deposited mesoporous SiO_2–TiO_2 photocatalyst under simultaneous irradiation of UV and visible light

    International Nuclear Information System (INIS)

    Okuno, T.; Kawamura, G.; Muto, H.; Matsuda, A.

    2016-01-01

    Mesoporous SiO_2 templates deposited TiO_2 nanocrystals are synthesized via a sol–gel route, and Au nanoparticles (NPs) are deposited in the tubular mesopores of the templates by a photodeposition method (Au/SiO_2–TiO_2). The photocatalytic characteristics of Au/SiO_2–TiO_2 are discussed with the action spectra of photoreactions of 2-propanol and methylene blue. Photocatalytic activities of SiO_2–TiO_2 under individual ultraviolet (UV) and visible (Vis) light illumination are enhanced by deposition of Au NPs. Furthermore, Au/SiO_2–TiO_2 shows higher photocatalytic activities under simultaneous irradiation of UV and Vis light compared to the activity under individual UV and Vis light irradiation. Since the photocatalytic activity under simultaneous irradiation is almost the same as the total activities under individual UV and Vis light irradiation, it is concluded that the electrons and the holes generated by lights of different wavelengths are efficiently used for photocatalysis without carrier recombination. - Graphical abstract: This graphic shows the possible charge behavior in Au/SiO_2–TiO_2 under independent light irradiation of ultraviolet and visible light irradiation. Both reactions under independent UV and Vis light irradiation occurred in parallel when Au/SiO_2–TiO_2 photocatalyst was illuminated UV and Vis light simultaneously, and then photocatalytic activity is improved by simultaneous irradiation. - Highlights: • Au nanoparticles were deposited in mesoporous SiO_2–TiO_2 by a photodeposition method. • Photocatalytic activity under UV and Vis light was enhanced by deposition of Au. • Photocatalytic activity of Au/SiO_2–TiO_2 was improved by simultaneous irradiation.

  5. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    Science.gov (United States)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  6. Formation of Pentacene wetting layer on the SiO2 surface and charge trap in the wetting layer

    International Nuclear Information System (INIS)

    Kim, Chaeho; Jeon, D.

    2008-01-01

    We studied the early-stage growth of vacuum-evaporated pentacene film on a native SiO 2 surface using atomic force microscopy and in-situ spectroscopic ellipsometry. Pentacene deposition prompted an immediate change in the ellipsometry spectra, but atomic force microscopy images of the early stage films did not show a pentacene-related morphology other than the decrease in the surface roughness. This suggested that a thin pentacene wetting layer was formed by pentacene molecules lying on the surface before the crystalline islands nucleated. Growth simulation based on the in situ spectroscopic ellipsometry spectra supported this conclusion. Scanning capacitance microscopy measurement indicated the existence of trapped charges in the SiO 2 and pentacene wetting layer

  7. Effect of the post-deposition processing ambient on the preparation of superconducting YBa2Cu3O/sub 7-//sub x/ coevaporated thin films using a BaF2 source

    International Nuclear Information System (INIS)

    Chan, S.; Bagley, B.G.; Greene, L.H.; Giroud, M.; Feldmann, W.L.; Jenkin, K.R. II; Wilkins, B.J.

    1988-01-01

    We have investigated the effect of the post-deposition processing ambient on the preparation of YBa 2 Cu 3 O/sub 7-//sub x/ thin films from a BaF 2 source. The role of H 2 O vapor during the high-temperature anneal is understood through a thermodynamic analysis of the fluorine removal reaction. The role of a HF getter (e.g., SiO 2 ) is understood through the same type of analysis. We have demonstrated that a zero resistance transition temperature at 77 K can be obtained for an annealing temperature as low as 690 0 C for films deposited on SrTiO 3 substrates by increasing the P/sub H>2/O$ and decreasing P/sub HF/ during the high-temperature soak cycle

  8. Li4SiO4-Based Artificial Passivation Thin Film for Improving Interfacial Stability of Li Metal Anodes.

    Science.gov (United States)

    Kim, Ji Young; Kim, A-Young; Liu, Guicheng; Woo, Jae-Young; Kim, Hansung; Lee, Joong Kee

    2018-03-14

    An amorphous SiO 2 (a-SiO 2 ) thin film was developed as an artificial passivation layer to stabilize Li metal anodes during electrochemical reactions. The thin film was prepared using an electron cyclotron resonance-chemical vapor deposition apparatus. The obtained passivation layer has a hierarchical structure, which is composed of lithium silicide, lithiated silicon oxide, and a-SiO 2 . The thickness of the a-SiO 2 passivation layer could be varied by changing the processing time, whereas that of the lithium silicide and lithiated silicon oxide layers was almost constant. During cycling, the surface of the a-SiO 2 passivation layer is converted into lithium silicate (Li 4 SiO 4 ), and the portion of Li 4 SiO 4 depends on the thickness of a-SiO 2 . A minimum overpotential of 21.7 mV was observed at the Li metal electrode at a current density of 3 mA cm -2 with flat voltage profiles, when an a-SiO 2 passivation layer of 92.5 nm was used. The Li metal with this optimized thin passivation layer also showed the lowest charge-transfer resistance (3.948 Ω cm) and the highest Li ion diffusivity (7.06 × 10 -14 cm 2 s -1 ) after cycling in a Li-S battery. The existence of the Li 4 SiO 4 artificial passivation layer prevents the corrosion of Li metal by suppressing Li dendritic growth and improving the ionic conductivity, which contribute to the low charge-transfer resistance and high Li ion diffusivity of the electrode.

  9. Growth and characterization of nitrogen-doped TiO2 thin films prepared by reactive pulsed laser deposition

    International Nuclear Information System (INIS)

    Sauthier, G.; Ferrer, F.J.; Figueras, A.; Gyoergy, E.

    2010-01-01

    Nitrogen-doped titanium dioxide (TiO 2 ) thin films were grown on (001) SiO 2 substrates by reactive pulsed laser deposition. A KrF* excimer laser source (λ = 248 nm, τ FWHM ≅ 10 ns, ν = 10 Hz) was used for the irradiations of pressed powder targets composed by both anatase and rutile phase TiO 2 . The experiments were performed in a controlled reactive atmosphere consisting of oxygen or mixtures of oxygen and nitrogen gases. The obtained thin film crystal structure was investigated by X-ray diffraction, while their chemical composition as well as chemical bonding states between the elements were studied by X-ray photoelectron spectroscopy. An interrelation was found between nitrogen concentration, crystalline structure, bonding states between the elements, and the formation of titanium oxinitride compounds. Moreover, as a result of the nitrogen incorporation in the films a continuous red-shift of the optical absorption edge accompanied by absorption in the visible spectral range between 400 and 500 nm wavelength was observed.

  10. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  11. A novel growth mode of alkane films on a SiO2 surface

    DEFF Research Database (Denmark)

    Mo, H.; Taub, H.; Volkmann, U.G.

    2003-01-01

    on the SiO2 surface with the long-axis of the C32 molecules oriented parallel to the interface followed by a C32 monolayer with the long-axis perpendicular to it. Finally, preferentially oriented bulk particles nucleate having two different crystal structures. This growth model differs from that found...... previously for shorter alkanes deposited from the vapor phase onto solid surfaces....

  12. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  13. Towards a uniform and large-scale deposition of MoS2 nanosheets via sulfurization of ultra-thin Mo-based solid films.

    Science.gov (United States)

    Vangelista, Silvia; Cinquanta, Eugenio; Martella, Christian; Alia, Mario; Longo, Massimo; Lamperti, Alessio; Mantovan, Roberto; Basset, Francesco Basso; Pezzoli, Fabio; Molle, Alessandro

    2016-04-29

    Large-scale integration of MoS2 in electronic devices requires the development of reliable and cost-effective deposition processes, leading to uniform MoS2 layers on a wafer scale. Here we report on the detailed study of the heterogeneous vapor-solid reaction between a pre-deposited molybdenum solid film and sulfur vapor, thus resulting in a controlled growth of MoS2 films onto SiO2/Si substrates with a tunable thickness and cm(2)-scale uniformity. Based on Raman spectroscopy and photoluminescence, we show that the degree of crystallinity in the MoS2 layers is dictated by the deposition temperature and thickness. In particular, the MoS2 structural disorder observed at low temperature (<750 °C) and low thickness (two layers) evolves to a more ordered crystalline structure at high temperature (1000 °C) and high thickness (four layers). From an atomic force microscopy investigation prior to and after sulfurization, this parametrical dependence is associated with the inherent granularity of the MoS2 nanosheet that is inherited by the pristine morphology of the pre-deposited Mo film. This work paves the way to a closer control of the synthesis of wafer-scale and atomically thin MoS2, potentially extendable to other transition metal dichalcogenides and hence targeting massive and high-volume production for electronic device manufacturing.

  14. Microstructure and surface morphology of YSZ thin films deposited by e-beam technique

    International Nuclear Information System (INIS)

    Laukaitis, G.; Dudonis, J.; Milcius, D.

    2008-01-01

    In present study yttrium-stabilized zirconia (YSZ) thin films were deposited on optical quartz (amorphous SiO 2 ), porous Ni-YSZ and crystalline Alloy 600 (Fe-Ni-Cr) substrates using e-beam deposition technique and controlling technological parameters: substrate temperature and electron gun power which influence thin-film deposition mechanism. X-ray diffraction, scanning electron microscopy (SEM), and atomic force microscopy (AFM) were used to investigate how thin-film structure and surface morphology depend on these parameters. It was found that the crystallite size, roughness and growth mechanism of YSZ thin films are influenced by electron gun power. To clarify the experimental results, YSZ thin-film formation as well evolution of surface roughness at its initial growing stages were analyzed. The evolution of surface roughness could be explained by the processes of surface mobility of adatoms and coalescence of islands. The analysis of these experimental results explain that surface roughness dependence on substrate temperature and electron gun power non-monotonous which could result from diffusivity of adatoms and the amount of atomic clusters in the gas stream of evaporated material

  15. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  16. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.22.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  17. Porous SiO2/HAp Coatings on Cp-Titanium Grade 1 Surfaces Produced by Electrophoretic Deposition

    Directory of Open Access Journals (Sweden)

    Moskalewicz T.

    2016-12-01

    Full Text Available Porous hydroxyapatite doped SiO2 coatings were electrophoretically deposited (EPD on commercially pure titanium. The influence of EPD parameters on coatings quality was investigated. Microstructural observation was done using transmission and scanning electron microscopy as well as X-ray diffractometry.

  18. Ti-catalyzed HfSiO4 formation in HfTiO4 films on SiO2 studied by Z-contrast scanning electron microscopy

    Directory of Open Access Journals (Sweden)

    Elizabeth Ellen Hoppe

    2013-08-01

    Full Text Available Hafnon (HfSiO4 as it is initially formed in a partially demixed film of hafnium titanate (HfTiO4 on fused SiO2 is studied by atomic number (Z contrast high resolution scanning electron microscopy, x-ray diffraction, and Raman spectroscopy and microscopy. The results show exsoluted Ti is the catalyst for hafnon formation by a two-step reaction. Ti first reacts with SiO2 to produce a glassy Ti-silicate. Ti is then replaced by Hf in the silicate to produce HfSiO4. The results suggest this behavior is prototypical of other Ti-bearing ternary or higher order oxide films on SiO2 when film thermal instability involves Ti exsolution.

  19. Damage performance of TiO2/SiO2 thin film components induced by a long-pulsed laser

    International Nuclear Information System (INIS)

    Wang Bin; Dai Gang; Zhang Hongchao; Ni Xiaowu; Shen Zhonghua; Lu Jian

    2011-01-01

    In order to study the long-pulsed laser induced damage performance of optical thin films, damage experiments of TiO 2 /SiO 2 films irradiated by a laser with 1 ms pulse duration and 1064 nm wavelength are performed. In the experiments, the damage threshold of the thin films is measured. The damages are observed to occur in isolated spots, which enlighten the inducement of the defects and impurities originated in the films. The threshold goes down when the laser spot size decreases. But there exists a minimum threshold, which cannot be further reduced by decreasing the laser spot size. Optical microscopy reveals a cone-shaped cavity in the film substrate. Changes of the damaged sizes in film components with laser fluence are also investigated. The results show that the damage efficiency increases with the laser fluence before the shielding effects start to act.

  20. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    Science.gov (United States)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  1. Characterization of anodic SiO2 films on P-type 4H-SiC

    International Nuclear Information System (INIS)

    Woon, W.S.; Hutagalung, S.D.; Cheong, K.Y.

    2009-01-01

    The physical and electronic properties of 100-120-nm thick anodic silicon dioxide film grown on p-type 4H-SiC wafer and annealed at different temperatures (500, 600, 700, and 800 deg. C ) have been investigated and reported. Chemical bonding of the films has been analyzed by Fourier transform infra red spectroscopy. Smooth and defect-free film surface has been revealed under field emission scanning electron microscope. Atomic force microscope has been used to study topography and surface roughness of the films. Electronic properties of the film have been investigated by high frequency capacitance-voltage and current-voltage measurements. As the annealing temperature increased, refractive index, dielectric constant, film density, SiC surface roughness, effective oxide charge, and leakage current density have been reduced until 700 deg. C . An increment of these parameters has been observed after this temperature. However, a reversed trend has been demonstrated in porosity of the film and barrier height between conduction band edge of SiO 2 and SiC

  2. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  3. SiO2 Antireflection Coatings Fabricated by Electron-Beam Evaporation for Black Monocrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Minghua Li

    2014-01-01

    Full Text Available In this work we prepared double-layer antireflection coatings (DARC by using the SiO2/SiNx:H heterostructure design. SiO2 thin films were deposited by electron-beam evaporation on the conventional solar cell with SiNx:H single-layer antireflection coatings (SARC, while to avoid the coverage of SiO2 on the front side busbars, a steel mask was utilized as the shelter. The thickness of the SiNx:H as bottom layer was fixed at 80 nm, and the varied thicknesses of the SiO2 as top layer were 105 nm and 122 nm. The results show that the SiO2/SiNx:H DARC have a much lower reflectance and higher external quantum efficiency (EQE in short wavelengths compared with the SiNx:H SARC. A higher energy conversion efficiency of 17.80% was obtained for solar cells with SiO2 (105 nm/SiNx:H (80 nm DARC, an absolute conversion efficiency increase of 0.32% compared with the conventional single SiNx:H-coated cells.

  4. Excimer laser recrystallization of nanocrystalline-Si films deposited by inductively coupled plasma chemical vapour deposition at 150 deg. C

    International Nuclear Information System (INIS)

    Park, Joong-Hyun; Han, Sang-Myeon; Park, Sang-Geun; Han, Min-Koo; Shin, Moon-Young

    2006-01-01

    Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated at low temperature (under 200 deg. C) have been widely investigated for flexible substrate applications such as a transparent plastic substrate. Unlike the conventional TFT process using glass substrate, the maximum process temperature should be kept less than 200 deg. C in order to avoid thermal damage on flexible substrates. We report the characteristics of nanocrystalline silicon (nc-Si) irradiated by an excimer laser. Nc-Si precursors were deposited on various buffer layers by inductively coupled plasma chemical vapour deposition (ICP-CVD) at 150 deg. C. We employed various buffer layers, such as silicon nitride (SiN X ) and silicon dioxide (SiO 2 ), in order to report recrystallization characteristics in connection with a buffer layer of a different thermal conductivity. The dehydrogenation and recrystallization was performed by step-by-step excimer laser annealing (ELA) (XeCl,λ=308 nm) in order to prevent the explosive release of hydrogen atoms. The grain size of the poly-Si film, which was recrystallized on the various buffer layers, was measured by scanning electron microscopy (SEM) at each laser energy density. The process margin of step-by-step ELA employing the SiN X buffer layer is wider than SiO 2 and the maximum grain size slightly increased

  5. Influence of the substrate on the morphological evolution of gold thin films during solid-state dewetting

    International Nuclear Information System (INIS)

    Nsimama, Patrick D.; Herz, Andreas; Wang, Dong; Schaaf, Peter

    2016-01-01

    Highlights: • Dewetting of thin gold films is faster on TiO_2 than on SiO_2. • Dewetting of thin gold films is faster on amorphous TiO_2 than on crystalline TiO_2. • The kinetics is attributed to the energy of adhesion. • The morphology of thin Au films deposited on TiO_2 substrates is different to those deposited on SiO_2 substrates. • The dewetting activation energy of Au films deposited on crystalline substrates was higher than the activation energy of Au nanofilms deposited on amorphous TiO_2 substrates. - Abstract: The evolution of electron-beam evaporated Au thin films deposited on crystalline TiO_2 (c-TiO_2) and amorphous TiO_2 (a-TiO_2) as well as amorphous SiO_2 substrates are investigated. The kinetic of dewetting is clearly dependent on the type of substrate and is faster on TiO_2 substrates than on SiO_2 substrates. This difference can result from the difference in adhesion energy. Furthermore, the kinetic of dewetting is faster on a-TiO_2 than on c-TiO_2, possibly due to the crystallization of TiO_2 during annealing induced dewetting process. The morphologies of dewetted Au films deposited on crystalline TiO_2 are characterized by branched holes. The XRD patterns of the Au films deposited on TiO_2 substrates constituted peaks from both metallic Au and anatase TiO_2. The activation energy of Au films deposited on crystalline TiO_2 substrates was higher than that that of the films deposited on amorphous TiO_2 substrates.

  6. Processing of SiO2 protective layer using HMDS precursor by combustion CVD.

    Science.gov (United States)

    Park, Kyoung-Soo; Kim, Youngman

    2011-08-01

    Hexamethyldisilazane (HMDS, [(CH3)3Si]2NH) was used as a precursor to form SiO2 protective coatings on IN738LC alloys by combustion chemical vapor deposition (CCVD). SEM and XPS showed that the processed coatings were composed mainly of SiO2. The amount of HMDS had the largest effect on the size of the SiO2 agglomerates and the thickness of the deposited coatings. The specimens coated with SiO2 using the 0.05 mol/l HMDS solution showed a significantly higher temperature oxidation resistance than those deposited under other conditions.

  7. Simultaneous ultra-long data retention and low power based on Ge10Sb90/SiO2 multilayer thin films

    Science.gov (United States)

    You, Haipeng; Hu, Yifeng; Zhu, Xiaoqin; Zou, Hua; Song, Sannian; Song, Zhitang

    2018-02-01

    In this article, Ge10Sb90/SiO2 multilayer thin films were prepared to improve thermal stability and data retention for phase change memory. Compared with Ge10Sb90 monolayer thin film, Ge10Sb90 (1 nm)/SiO2 (9 nm) multilayer thin film had higher crystallization temperature and resistance contrast between amorphous and crystalline states. Annealed Ge10Sb90 (1 nm)/SiO2 (9 nm) had uniform grain with the size of 15.71 nm. After annealing, the root-mean-square surface roughness for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film increased slightly from 0.45 to 0.53 nm. The amorphization time for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film (2.29 ns) is shorter than Ge2Sb2Te5 (3.56 ns). The threshold voltage of a cell based on Ge10Sb90 (1 nm)/SiO2 (9 nm) (3.57 V) was smaller than GST (4.18 V). The results indicated that Ge10Sb90/SiO2 was a promising phase change thin film with high thermal ability and low power consumption for phase change memory application.

  8. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    International Nuclear Information System (INIS)

    Le Paven, C.; Lu, Y.; Nguyen, H.V.; Benzerga, R.; Le Gendre, L.; Rioual, S.; Benzegoutta, D.; Tessier, F.; Cheviré, F.

    2014-01-01

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO 3 and Pt(111)/TiO 2 /SiO 2 /(001)Si substrates by RF magnetron sputtering, using a La 2 Ti 2 O 7 homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La 2 Ti 2 O 7 films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti 4+ ions, with no trace of Ti 3+ , and provides a La/Ti ratio of 1.02. The depositions being performed from a La 2 Ti 2 O 7 target under oxygen rich plasma, the same composition (La 2 Ti 2 O 7 ) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2 1 space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO 3 substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La 2 Ti 2 O 7 orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La 2 Ti 2 O 7 films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La 2 Ti 2 O 7 chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing

  9. Characterization of thin CeO{sub 2} films electrochemically deposited on HOPG

    Energy Technology Data Exchange (ETDEWEB)

    Faisal, Firas [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Toghan, Arafat, E-mail: arafat.toghan@yahoo.com [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Chemistry Department, Faculty of Science, South Valley University, 83523 Qena (Egypt); Khalakhan, Ivan; Vorokhta, Mykhailo; Matolin, Vladimír [Department of Surface and Plasma Science, Charles University in Prague, V Holešovičkách 747/2, 180 00 Prague 8 (Czech Republic); Libuda, Jörg [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Erlangen Catalysis Resource Center, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany)

    2015-09-30

    Graphical abstract: - Highlights: • Preparation of proton exchange membrane fuel cells catalyst using electrochemical thin film deposition. • Electrodeposition thin films of CeO{sub 2} on HOPG substrates. • The samples were characterized by in-situ AFM and ex-situ XPS. • XPS results reveal that the electrochemically deposited cerium oxide films are stoichiometric. • Exposing the films to ambient air, cracking structures are formed. - Abstract: Electrodeposition is widely used for industrial applications to deposit thin films, coatings, and adhesion layers. Herein, CeO{sub 2} thin films were deposited on a highly oriented pyrolytic graphite (HOPG) substrate by cathodic electrodeposition. The influence of the deposition parameters on the yield and on the film morphology is studied and discussed. Morphology and composition of the electrodeposited films were characterized by in-situ atomic force microscopy (AFM), scanning electron microscopy (SEM), Energy Dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). By AFM we show that the thickness of CeO{sub 2} films can be controlled via the Ce{sup 3+} concentration in solution and the deposition time. After exposing the films to ambient air, cracking structures are formed, which were analyzed by AFM in detail. The chemical composition of the deposits was analyzed by XPS indicating the formation of nearly stoichiometric CeO{sub 2}.

  10. Suppressing Structural Colors of Photocatalytic Optical Coatings on Glass: The Critical Role of SiO2.

    Science.gov (United States)

    Li, Ronghua; Boudot, Mickael; Boissière, Cédric; Grosso, David; Faustini, Marco

    2017-04-26

    The appearance of structural colors on coated-glass is a critical esthetical drawback toward industrialization of photocatalytic coatings on windows for architecture or automobile. Herein we describe a rational approach to suppress the structural color of mesoporous TiO 2 -based coatings preserving photoactivity and mechanical stiffness. Addition of SiO 2 as third component is discussed. Ti x Si (1-x) O 2 mesoporous coatings were fabricated by one-step liquid deposition process through the evaporation induced self-assembling and characterized by GI-SAXS, GI-WAXS, electron microscopies, and in situ Environmental Ellipsometry Porosimetry. Guided by optical simulation, we investigated the critical role of SiO 2 on the optical responses of the films but also on the structural, mechanical, and photocatalytic properties, important requirements to go toward real applications. We demonstrate that adding SiO 2 to porous TiO 2 allows tuning and suppression of structural colors through refractive index matching and up to 160% increase in mechanical stiffening of the films. This study leads us to demonstrate an example of "invisible" coating, in which the light reflection is angle- and thickness-independent, and exhibiting high porosity, mechanical stiffness, and photoactivity.

  11. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  12. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    Science.gov (United States)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  13. Orientation of pentacene molecules on SiO2: From a monolayer to the bulk

    International Nuclear Information System (INIS)

    Zheng, Fan; Park, Byoung-Nam; Seo, Soonjoo; Evans, Paul G.; Himpsel, F. J.

    2007-01-01

    Near edge x-ray absorption fine structure (NEXAFS) spectroscopy is used to study the orientation of pentacene molecules within thin films on SiO 2 for thicknesses ranging from monolayers to the bulk (150 nm). The spectra exhibit a strong polarization dependence of the π * orbitals for all films, which indicates that the pentacene molecules are highly oriented. At all film thicknesses the orientation varies with the rate at which pentacene molecules are deposited, with faster rates favoring a thin film phase with different tilt angles and slower rates leading to a more bulklike orientation. Our NEXAFS results extend previous structural observations to the monolayer regime and to lower deposition rates. The NEXAFS results match crystallographic data if a finite distribution of the molecular orientations is included. Damage to the molecules by hot electrons from soft x-ray irradiation eliminates the splitting between nonequivalent π * orbitals, indicating a breakup of the pentacene molecule

  14. Transparent conductive ITO/Cu/ITO films prepared on flexible substrates at room temperature

    International Nuclear Information System (INIS)

    Ding Xingwei; Yan Jinliang; Li Ting; Zhang Liying

    2012-01-01

    Transparent conductive ITO/Cu/ITO films were deposited on PET substrates by magnetron sputtering using three cathodes at room temperature. Effects of the SiO 2 buffer layer and thickness of Cu interlayer on the structural, electrical and optical properties of ITO/Cu/ITO films were investigated. The optical transmittance was affected slightly by SiO 2 buffer layer, but the electrical properties of ITO/Cu/ITO films were improved. The transmittance and resistivity of the SiO 2 /ITO/Cu/ITO films decrease as the Cu layer thickness increases. The ITO/Cu/ITO film with 5 nm Cu interlayer deposited on the 40 nm thick SiO 2 buffer layer exhibits the sheet resistance of 143 Ω/sq and transmittance of 65% at 550 nm wavelength. The optical and electrical properties of the ITO/Cu/ITO films were mainly dependent on the Cu layer.

  15. Transparent conductive ITO/Cu/ITO films prepared on flexible substrates at room temperature

    Science.gov (United States)

    Ding, Xingwei; Yan, Jinliang; Li, Ting; Zhang, Liying

    2012-01-01

    Transparent conductive ITO/Cu/ITO films were deposited on PET substrates by magnetron sputtering using three cathodes at room temperature. Effects of the SiO2 buffer layer and thickness of Cu interlayer on the structural, electrical and optical properties of ITO/Cu/ITO films were investigated. The optical transmittance was affected slightly by SiO2 buffer layer, but the electrical properties of ITO/Cu/ITO films were improved. The transmittance and resistivity of the SiO2/ITO/Cu/ITO films decrease as the Cu layer thickness increases. The ITO/Cu/ITO film with 5 nm Cu interlayer deposited on the 40 nm thick SiO2 buffer layer exhibits the sheet resistance of 143 Ω/sq and transmittance of 65% at 550 nm wavelength. The optical and electrical properties of the ITO/Cu/ITO films were mainly dependent on the Cu layer.

  16. Electronic states of SiO2-MxOy (MxOy=P205, TiO2 and ZrO2) glasses

    Energy Technology Data Exchange (ETDEWEB)

    Kowada, Y [Hyogo Univ. of Teacher Education, Hyogo (Japan); Adachi, H [Kyoto Univ. (Japan). Faculty of Engineering; Minami, T [Univ. of Osaka Prefecture, Osaka (Japan). Faculty of Engineering

    1993-12-01

    Using the sol-gel method the surface of metal and glass substrates can be modified. For example, stainless steel sheets coated with the SiO2-ZrO2 glass films have higher resistance to corrosion and oxidation. The coating films contain high concentration of alkali ions diffusing from the glass substrates. It suggests that the sodium ions are trapped strongly within the coating films and are blocked to further diffuse to the surface. This behavior must be associated with the chemical bonding around the sodium ions in the SiO2-TiO2 and SiO2-ZrO2 films. For better understanding of the chemical bonding in the glasses, the electronic states of the SiO2-MxOy glasses were calculated by means of the DV-Xa cluster method. In this paper, the calculation method is explained, the results are discussed and the conclusion is stated. 17 refs., 6 figs.

  17. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  18. Electric and Magnetic Properties of Sputter Deposited BiFeO3 Films

    Directory of Open Access Journals (Sweden)

    N. Siadou

    2013-01-01

    Full Text Available Polycrystalline BiFeO3 films have been magnetron sputter deposited at room temperature and subsequently heat-treated ex situ at temperatures between 400 and 700°C. The deposition was done in pure Ar atmosphere, as the use of oxygen-argon mixture was found to lead to nonstoichiometric films due to resputtering effects. At a target-to-substrate distance d=2′′ the BiFeO3 structure can be obtained in larger range process gas pressures (2–7 mTorr but the films do not show a specific texture. At d=6′′ codeposition from BiFeO3 and Bi2O3 has been used. Films sputtered at low rate tend to grow with the (001 texture of the pseudo-cubic BiFeO3 structure. As the film structure does not depend on epitaxy similar results are obtained on different substrates. A result of the volatility of Bi, Bi rich oxide phases occur after heat treatment at high temperatures. A Bi2SiO5 impurity phase forms on the substrate side, and does not affect the properties of the main phase. Despite the deposition on amorphous silicon oxide substrate weak ferromagnetism phenomena and displaced loops have been observed at low temperatures showing that their origin is not strain. Ba, La, Ca, and Sr doping suppress the formation of impurity phases and leakage currents.

  19. Sol-Gel SiO2-CaO-P2O5 biofilm with surface engineered for medical application

    Directory of Open Access Journals (Sweden)

    Sonia Regina Federman

    2007-06-01

    Full Text Available Sol-gel film in the SiO2-CaO-P2O5 system was prepared from TEOS, TEP, alcohol and hydrated calcium nitrate in an acidic medium. The coatings were deposited on stainless steel using the dip-coating technique. After deposition, the composite was submitted to heat treatment, at different temperatures and exposure times to investigate the influence of such parameters on the surface morphology of the composite. The coated surfaces were characterized by AFM, SEM and FTIR. The present study showed that the formation of different textures (an important parameter in implant fixation could be controlled by temperature and time of heat treatment.

  20. Structural and optical properties of titanium dioxide films deposited by reactive magnetron sputtering in pure oxygen plasma

    International Nuclear Information System (INIS)

    Asanuma, T.; Matsutani, T.; Liu, C.; Mihara, T.; Kiuchi, M.

    2004-01-01

    Titanium dioxide (TiO 2 ) thin films were deposited on unheated quartz (SiO 2 ) substrates in 'pure oxygen' plasma by reactive radio-frequency (rf) magnetron sputtering. The structural and optical properties of deposited films were systematically studied by changing the deposition parameters, and it was very recently found that crystalline TiO 2 films grew effectively in pure O 2 atmosphere. For TiO 2 films deposited at a rf power P rf of 200 W, x-ray diffraction patterns show the following features: (a) no diffraction peak was observed at a total sputtering pressure p tot of 1.3 Pa; (b) rutile (110) diffraction was observed at 4.0 Pa, (c) the dominant diffraction was from anatase (101) planes, with additional diffraction from (200), under p tot between 6.7 and 13 Pa. For the deposition at 140 W, however, crystalline films with mixed phases were observed only between 4.0 and 6.7 Pa. The peaks of both the deposition rate and the anatase weight ratio for the films produced at 140 W were found at p tot of approximately 6.7 Pa. This suggests that the nucleation and growth of TiO 2 films were affected by the composition, density, and kinetic energy of the particles impinging on the substrate surface. The optical absorption edge analysis showed that the optical band gap E g and the constant B could sensitively detect the film growth behavior, and determine the film structure and optical absorption. The change in the shape of the fundamental absorption edge is considered to reflect the variation of density and the short-range structural modifications

  1. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  2. Plume-induced stress in pulsed-laser deposited CeO2 films

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Budai, J.D.; Pennycook, S.J.; Prouteau, C.

    1999-01-01

    Residual compressive stress due to plume-induced energetic particle bombardment in CeO 2 films deposited by pulsed-laser deposition is reported. For laser ablation film growth in low pressures, stresses as high as 2 GPa were observed as determined by substrate curvature and four-circle x-ray diffraction. The amount of stress in the films could be manipulated by controlling the kinetic energies of the ablated species in the plume through gas-phase collisions with an inert background gas. The film stress decreased to near zero for argon background pressures greater than 50 mTorr. At these higher background pressures, the formation of nanoparticles in the deposited film was observed. copyright 1999 American Institute of Physics

  3. Stress in ion-beam assisted silicon dioxide and tantalum pentoxide thin films

    International Nuclear Information System (INIS)

    Sirotkina, Natalia

    2003-01-01

    Ta 2 O 5 and SiO 2 thin films, deposited at room temperature by ion-beam sputtering (IBS) and dual ion-beam sputtering (DIBS), and SiO 2 films, deposited by reactive e-beam evaporation and ion-assisted deposition, were studied. The energy (150-600 eV) and ion-to-atom arrival ratio (0.27-2.0) of assisting argon and oxygen ions were varied. Influence of deposition conditions (deposition system geometry, nature and amount of gas in the chamber, substrate cleaning and ion-assistance parameters) on films properties (stress, composition, refractive index n 500nm and extinction coefficient k 500nm ) was investigated. A scanning method, based on substrate curvature measurements by laser reflection and stress calculation using the Stoney equation, was employed. RBS showed that stoichiometric Ta 2 O 5 films contain impurities of Ar, Fe and Mo. Stoichiometric SiO 2 films also contain Ta impurity. Argon content increases with ion bombardment and, at maximum incorporation, argon bubbles are registered by TEM. XPS studies are complicated by surface contaminations and preferential sputtering. Evaporated SiO 2 films show +100 MPa stress (+ is tensile, - compressive). With 300 eV Ar + bombardment, stress changes to -200 MPa, n 500nm decreases (1.56-1.49) and k 500nm increases (1.4x10 -4 - 1.8x10 -3 ). Of all studied IBS conditions, stress in SiO 2 (-560 MPa) and Ta 2 O 5 (-350 MPa) films depends only on sputtering gas species and oxygen entry point into the chamber. With argon and oxygen bombardment stress in IBS SiO 2 films decreases to -380 MPa and below the stress measurement system resolution, respectively. While Ar + bombardment of Ta 2 O 5 films leads to increase in stress to -490 MPa, the effect of oxygen assistance depends on ion energy. The observed behaviour was related to the total recoil density. In DIBS SiO 2 and Ta 2 O 5 films n 500nm varies in the region of 1.5-1.59 and 2.13-2.20 and k 500nm is below 5.5x10 -3 and 8.5x10 -3 , respectively. The refractive index

  4. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  5. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    Science.gov (United States)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  6. Annealing temperature effect on structure and electrical properties of films formed of Ge nanoparticles in SiO2

    International Nuclear Information System (INIS)

    Stavarache, Ionel; Lepadatu, Ana-Maria; Stoica, Toma; Ciurea, Magdalena Lidia

    2013-01-01

    Ge–SiO 2 films with high Ge/Si atomic ratio of about 1.86 were obtained by co-sputtering of Ge and SiO 2 targets and subsequently annealed at different temperatures between 600 and 1000 °C in a conventional furnace in order to show how the annealing process influences the film morphology concerning the Ge nanocrystal and/or amorphous nanoparticle formation and to study their electrical behaviour. Atomic force microscopy (AFM) imaging, Raman spectroscopy and electrical conductance measurements were performed in order to find out the annealing effect on the film surface morphology, as well as the Ge nanoparticle formation in correlation with the hopping conductivity of the films. AFM images show that the films annealed at 600 and 700 °C present a granular surface with particle height of about 15 nm, while those annealed at higher temperatures have smoother surface. The Raman investigations evidence Ge nanocrystals (including small ones) coexisting with amorphous Ge in the films annealed at 600 °C and show that almost all Ge is crystallized in the films annealed at 700 °C. The annealing at 800 °C disadvantages the Ge nanocrystal formation due to the strong Ge diffusion. This transition in Ge nanocrystals formation process by annealing temperature increase from 700 to 800 °C revealed by AFM and Raman spectroscopy measurements corresponds to a change in the electrical transport mechanism. Thus, in the 700 °C annealed films, the current depends on temperature according to a T −1/2 law which is typical for a tunnelling mechanism between neighbour Ge nanocrystals. In the 800 °C annealed films, the current–temperature characteristic has a T −1/4 dependence showing a hopping mechanism within an electronic band of localized states related to diffused Ge in SiO 2 .

  7. Layer-by-layer deposition of zirconium oxide films from aqueous solutions for friction reduction in silicon-based microelectromechanical system devices

    International Nuclear Information System (INIS)

    Liu Junfu; Nistorica, Corina; Gory, Igor; Skidmore, George; Mantiziba, Fadziso M.; Gnade, Bruce E.

    2005-01-01

    This work reports layer-by-layer deposition of zirconium oxide on a Si surface from aqueous solutions using the successive ionic layer adsorption and reaction technique. The process consists of repeated cycles of adsorption of zirconium precursors, water rinse, and hydrolysis. The film composition was determined by X-ray photoelectron spectroscopy. The film thickness was determined by Rutherford backscattering spectrometry, by measuring the Zr atom concentration. The average deposition rate from a 0.1 M Zr(SO 4 ) 2 solution on a SiO 2 /Si surface is 0.62 nm per cycle. Increasing the acidity of the zirconium precursor solution inhibits the deposition of the zirconium oxide film. Atomic force microscopy shows that the zirconium oxide film consists of nanoparticles of 10-50 nm in the lateral dimension. The surface roughness increased with increasing number of deposition cycles. Friction measurements made with a microelectromechanical system device reveal a reduction of 45% in the friction coefficient of zirconium oxide-coated surfaces vs. uncoated surfaces in air

  8. Photoluminescence and excited state structure in Bi3+-doped Y2SiO5 single crystalline films

    International Nuclear Information System (INIS)

    Babin, V.; Gorbenko, V.; Krasnikov, A.; Mihokova, E.; Nikl, M.; Zazubovich, S.; Zorenko, Yu.

    2013-01-01

    Single crystalline films of Bi-doped Y 2 SiO 5 are studied at 4.2–350 K by the time-resolved luminescence methods under excitation in the 3.8–6.2 eV energy range. Ultraviolet luminescence of Y 2 SiO 5 :Bi (≈3.6 eV) is shown to arise from the radiative decay of the metastable and radiative minima of the triplet relaxed excited state (RES) of Bi 3+ centers which are related to the 3 P 0 and 3 P 1 levels of a free Bi 3+ ion, respectively. The lowest-energy excitation band of this emission, located at ≈4.5 eV, is assigned to the 1 S 0 → 3 P 1 transitions of a free Bi 3+ ion. The phenomenological model is proposed to describe the excited-state dynamics of Bi 3+ centers in Y 2 SiO 5 :Bi, and parameters of the triplet RES are determined. -- Highlights: •Luminescence of Y 2 SiO 5 :Bi is investigated for the first time. •Ultraviolet emission arises from Bi 3+ ions located in Y lattice sites. •The triplet relaxed excited states parameters of Bi 3+ centers are determined

  9. Effects of (NH4)2S x treatment on the surface properties of SiO2 as a gate dielectric for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of (NH4)2S x treatment on the surface properties of SiO2 is studied. (NH4)2S x treatment leads to the formation of S-Si bonds on the SiO2 surface that serves to reduce the number of donor-like trap states, inducing the shift of the Fermi level toward the conduction band minimum. A finding in this case is the noticeably reduced value of the SiO2 capacitance as the sulfurated layer is formed at the SiO2 surface. The effect of SiO2 layers with (NH4)2S x treatment on the carrier transport behaviors for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. The pentacene/as-cleaned SiO2-based OTFT shows depletion-mode behavior, whereas the pentacene/(NH4)2S x -treated SiO2-based OTFT exhibits enhancement-mode behavior. Experimental identification confirms that the depletion-/enhancement-mode conversion is due to the dominance competition between donor-like trap states in SiO2 near the pentacene/SiO2 interface and acceptor-like trap states in the pentacene channel. A sulfurated layer between pentacene and SiO2 is expected to give significant contributions to carrier transport for pentacene/SiO2-based OTFTs.

  10. Low-Temperature Deposition of Layered SnSe2 for Heterojunction Diodes

    KAUST Repository

    Serna, Martha I.

    2018-04-27

    Tin diselenide (SnSe) has been recently investigated as an alternative layered metal dichalcogenide due to its unique electrical and optoelectronics properties. Although there are several reports on the deposition of layered crystalline SnSe films by chemical and physical methods, synthesis methods like pulsed laser deposition (PLD) are not reported. An attractive feature of PLD is that it can be used to grow 2D films over large areas. In this report, a deposition process to grow stoichiometric SnSe on different substrates such as single crystals (Sapphire) and amorphous oxides (SiO and HfO) is reported. A detailed process flow for the growth of 2D SnSe at temperatures of 300 °C is presented, which is substantially lower than temperatures used in chemical vapor deposition and molecular beam epitaxy. The 2D SnSe films exhibit a mobility of ≈4.0 cm V s, and are successfully used to demonstrate SnSe/p-Si heterojunction diodes. The diodes show I /I ratios of 10-10 with a turn on voltage of <0.5 V, and ideality factors of 1.2-1.4, depending on the SnSe film growth conditions.

  11. Structural and electrical properties of room temperature pulsed laser deposited and post-annealed thin SrRuO3 films

    International Nuclear Information System (INIS)

    Gautreau, O.; Harnagea, C.; Normandin, F.; Veres, T.; Pignolet, A.

    2007-01-01

    Good quality strontium ruthenate (SrRuO 3 ) thin continuous films (15 to 125 nm thick) have been synthesized on silicon (100) substrates by room temperature pulsed laser deposition under vacuum followed by a post-deposition annealing, a route unexplored and yet not reported for SrRuO 3 film growth. The presence of an interfacial Sr 2 SiO 4 layer has been identified for films annealed at high temperature, and the properties of this interface layer as well as the properties of the SrRuO 3 film have been analyzed and characterized as a function of the annealing temperature. The room temperature resistivity of the SrRuO 3 films deposited by laser ablation at room temperature and post-annealed is 2000 μΩ.cm. A critical thickness of 120 nm has been determined above which the influence of the interface layer on the resistivity becomes negligible

  12. Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides

    Science.gov (United States)

    Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    2000-05-01

    We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.

  13. Photoemission Spectroscopy Characterization of Attempts to Deposit MoO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Irfan

    2011-01-01

    Full Text Available Attempts to deposit molybdenum dioxide (MoO2 thin films have been described. Electronic structure of films, deposited by thermal evaporation of MoO2 powder, had been investigated with ultraviolet photoemission and X-ray photoemission spectroscopy (UPS and XPS. The thermally evaporated films were found to be similar to the thermally evaporated MoO3 films at the early deposition stage. XPS analysis of MoO2 powder reveals presence of +5 and +6 oxidation states in Mo 3d core level along with +4 state. The residue of MoO2 powder indicates substantial reduction in higher oxidation states while keeping +4 oxidation state almost intact. Interface formation between chloroaluminum phthalocyanine (AlPc-Cl and the thermally evaporated film was also investigated.

  14. Composite SiOx/hydrocarbon plasma polymer films prepared by RF magnetron sputtering of SiO2 and polyimide

    Czech Academy of Sciences Publication Activity Database

    Drabik, M.; Kousal, J.; Pinosh, Y.; Choukourov, A.; Biederman, H.; Slavínská, D.; Macková, Anna; Boldyryeva, Hanna; Pešička, J.

    2007-01-01

    Roč. 81, č. 7 (2007), s. 920-927 ISSN 0042-207X Institutional research plan: CEZ:AV0Z10480505 Keywords : composite films * magnetron * sputtering * polyimide * SiO2 Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.881, year: 2007

  15. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  16. Enhancement of deposition rate at cryogenic temperature in synchrotron radiation excited deposition of silicon film

    International Nuclear Information System (INIS)

    Nara, Yasuo; Sugita, Yoshihiro; Ito, Takashi; Kato, Hiroo; Tanaka, Ken-ichiro

    1989-01-01

    The authors have investigated the synchrotron radiation excited deposition of silicon films on the SiO 2 substrate by using SiH 4 /He mixture gas at BL-12C at Photon Factory. They used VUV light from the multilayer mirror with the center photon energy from 97 to 123eV, which effectively excites L-core electrons of silicon. Substrate temperature was widely varied from -178 degree C to 500 degree C. At -178 degree C, the deposition rate was as high as 400nm/200mAHr (normalized at the storage ring current at 200mA). As increasing the substrate temperature, the deposition rate was drastically decreased. The number of deposited silicon atoms is estimated to be 4 to 50% of incident photons, while the number of photo generated species in the gas phase within the mean free path from the surface is calculated as few as about 10 -3 of incident photons. These experimental results show that the deposition reaction is governed by the dissociation of surface adsorbates by the synchrotron radiation

  17. Aerosol deposition of Ba0.8Sr0.2TiO3 thin films

    Directory of Open Access Journals (Sweden)

    Branković Zorica

    2009-01-01

    Full Text Available In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0 or Si covered by platinum (Pt (1 1 1 /Ti/SiO2/Si. Investigation showed that the best films were obtained at substrate temperature of 85ºC. After deposition films were slowly heated up to 650ºC, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.

  18. Magnetic and optical properties of MgAl2O4-(Ni0.5Zn0.5Fe2O4 thin films prepared by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Takeshi Misu, Naonori Sakamoto, Kazuo Shinozaki, Nobuyasu Adachi, Hisao Suzuki and Naoki Wakiya

    2011-01-01

    Full Text Available Thin films composed of MgAl2O4 and (Ni0.5Zn0.5Fe2O4 ([MA(100-x-NZFx] films were grown on fused SiO2 substrates by pulsed laser deposition. X-ray diffraction measurements revealed that the films were polycrystalline, and that their lattice constant varied linearly with composition, indicating the formation of a solid solution. The film with x=60 was paramagnetic and those with x ≥ 70 were ferromagnetic. The films had a transparency above 75% in the visible range, but the transparency decreased with the x value. The optical band gaps were 2.95, 2.55, 2.30 and 1.89 eV for x=20, 40, 60, 80 and 100, respectively. The Faraday rotation angle increased with x in the visible range, and the film with x=70 exhibited a value of 2000 degrees cm-1 at 570 nm, which is comparable to the rotation angle of Y3Fe5O12. Owing to their high transparency, which extends into the visible range, the [MA(100-x-NZFx] films can be used in novel magneto-optical devices.

  19. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    OpenAIRE

    B. Pivac; P. Dubček; J. Dasović; H. Zorc; S. Bernstorff; J. Zavašnik; B. Vlahovic

    2018-01-01

    The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C) in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm) spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction) and self-organization ...

  20. Ferroelectric properties of Bi3.25Ce0.75Ti3O12 thin films prepared by a liquid source misted chemical deposition

    International Nuclear Information System (INIS)

    Jeon, M.K.; Chung, H.J.; Kim, K.W.; Oh, K.S.; Woo, S.I.

    2005-01-01

    Cerium-substituted bismuth titanate (Bi 3.25 Ce 0.75 Ti 3 O 12 (BCT)) films were deposited on the Pt(111)/SiO 2 /Si(100) substrates by a liquid source misted chemical deposition technique. This film showed X-ray diffraction patterns that crystallization along the (006) direction was suppressed and did not contain any other oxides. The remnant polarization of this film increased with increase in annealing temperature. The 2P r and 2E c values of the BCT film annealed at 700 deg. C were 19.72 μC/cm 2 and 357 kV/cm, respectively. 2P r value of this film decreased by less than 5% of the initial value after 7 x 10 9 read/write switching cycles at a frequency of 1 MHz

  1. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    Science.gov (United States)

    Huan, Qing; Hu, Hao; Pan, Li-Da; Xiao, Jiang; Du, Shi-Xuan; Gao, Hong-Jun

    2010-08-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule-molecule interaction. Finally, a phenomenal “two-branch" model is proposed to simulate the growth process of the seahorse pattern.

  2. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    International Nuclear Information System (INIS)

    Qing, Huan; Hao, Hu; Li-Da, Pan; Jiang, Xiao; Shi-Xuan, Du; Hong-Jun, Gao

    2010-01-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO 2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule–molecule interaction. Finally, a phenomenal “two-branch” model is proposed to simulate the growth process of the seahorse pattern. (general)

  3. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  4. Growth model and structure evolution of Ag layers deposited on Ge films.

    Science.gov (United States)

    Ciesielski, Arkadiusz; Skowronski, Lukasz; Górecka, Ewa; Kierdaszuk, Jakub; Szoplik, Tomasz

    2018-01-01

    We investigated the crystallinity and optical parameters of silver layers of 10-35 nm thickness as a function 2-10 nm thick Ge wetting films deposited on SiO 2 substrates. X-ray reflectometry (XRR) and X-ray diffraction (XRD) measurements proved that segregation of germanium into the surface of the silver film is a result of the gradient growth of silver crystals. The free energy of Ge atoms is reduced by their migration from boundaries of larger grains at the Ag/SiO 2 interface to boundaries of smaller grains near the Ag surface. Annealing at different temperatures and various durations allowed for a controlled distribution of crystal dimensions, thus influencing the segregation rate. Furthermore, using ellipsometric and optical transmission measurements we determined the time-dependent evolution of the film structure. If stored under ambient conditions for the first week after deposition, the changes in the transmission spectra are smaller than the measurement accuracy. Over the course of the following three weeks, the segregation-induced effects result in considerably modified transmission spectra. Two months after deposition, the slope of the silver layer density profile derived from the XRR spectra was found to be inverted due to the completed segregation process, and the optical transmission spectra increased uniformly due to the roughened surfaces, corrosion of silver and ongoing recrystallization. The Raman spectra of the Ge wetted Ag films were measured immediately after deposition and ten days later and demonstrated that the Ge atoms at the Ag grain boundaries form clusters of a few atoms where the Ge-Ge bonds are still present.

  5. Influence of substrate on structural, morphological and optical properties of TiO2 thin films deposited by reaction magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Xinghua Zhu

    2017-12-01

    Full Text Available Titanium dioxide (TiO2 films have been prepared by DC reaction magnetron sputtering technique on different substrates (glass, SiO2, platinum electrode-Pt, Silicon-Si. X-ray diffraction (XRD patterns showed that all TiO2 films were grown along the preferred orientation of (110 plane. Samples on Si and Pt substrates are almost monophasic rutile, however, samples on glass and SiO2 substrates accompanied by a weak anatase structure. Atomic force microscopy (AFM images revealed uniform grain distribution except for films on Pt substrates. Photoluminescence (PL spectra showed obvious intrinsic emission band, but films on glass was accompanied by a distinct defect luminescence region. Raman spectroscopy suggested that all samples moved to high wavenumbers and films on glass moved obviously.

  6. Electroless Ni-Mo-P diffusion barriers with Pd-activated self-assembled monolayer on SiO2

    International Nuclear Information System (INIS)

    Liu Dianlong; Yang Zhigang; Zhang Chi

    2010-01-01

    Ternary Ni-based amorphous films can serve as a diffusion barrier layer for Cu interconnects in ultralarge-scale integration (ULSI) applications. In this paper, electroless Ni-Mo-P films deposited on SiO 2 layer without sputtered seed layer were prepared by using Pd-activated self-assembled monolayer (SAM). The solutions and operating conditions for pretreatment and deposition were presented, and the formation of Pd-activated SAM was demonstrated by XPS (X-ray photoelectron spectroscopy) analysis and BSE (back-scattered electron) observation. The effects of the concentration of Na 2 MoO 4 added in electrolytes, pH value, and bath temperature on the surface morphology and compositions of Ni-Mo-P films were investigated. The microstructures, diffusion barrier property, electrical resistivity, and adhesion were also examined. Based on the experimental results, the Ni-Mo-P alloys produced by using Pd-activated SAM had an amorphous or amorphous-like structure, and possessed good performance as diffusion barrier layer.

  7. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  8. Sol–gel hybrid membranes loaded with meso/macroporous SiO2, TiO2–P2O5 and SiO2–TiO2–P2O5 materials with high proton conductivity

    International Nuclear Information System (INIS)

    Castro, Yolanda; Mosa, Jadra; Aparicio, Mario; Pérez-Carrillo, Lourdes A.; Vílchez, Susana; Esquena, Jordi; Durán, Alicia

    2015-01-01

    In this work, highly conductive hybrid organic–inorganic membranes loaded with SiO 2 , TiO 2 –P 2 O 5 and SiO 2 –TiO 2 –P 2 O 5 meso/macroporous particles were prepared via a sol–gel process. Meso/macroporous particles were incorporated to hybrid membranes, for improving water retention and enhancing electrochemical performance. These particles with a polymodal pore size distribution were prepared by templating in highly concentrated emulsions, the particles showed a specific surface area between 50 m 2 /g (TiO 2 –P 2 O 5 ) and 300 m 2 /g (SiO 2 –TiO 2 –P 2 O 5 ). The particles were dispersed in a hybrid silica sol and further sprayed onto glass paper. The films were polymerized and sintered; those loaded with meso/macroporous particles had a homogenous distribution. High temperature proton conductivity measurements confirmed a high water retention. Conductivity of these materials is higher than that of Nafion ® at higher temperatures (120 °C) (2·10 −2  S/cm). This study provides processing guideline to achieve hybrid electrolytes for efficient conduction of protons due to their high surface area and porous structure. - Highlights: • Hybrid electrolyte with meso/macroporous particles were synthesized by sol–gel. • Depositions of hybrid solutions by spraying onto glass substrates were performed. • Proton conductivity was evaluated as a function of composition and porous structure

  9. Study of sputtered ZnO thin films on SiO2 and GaP substrates

    International Nuclear Information System (INIS)

    Brath, T.; Buc, D.; Kovac, J.; Hrnciar, V.; Caplovic, L.

    2011-01-01

    We have investigated n-ZnO polycrystalline thin films prepared on SiO 2 and p-GaP substrate using magnetron sputtering technique. The structural and electrical properties of these structures were studied. The measured parameters give promising results with a possibility to utilize n-ZnO/p-GaP heterostructure for application in the solar cells development especially in the field of nanostructures. The prepared structures will be a subject of further research. (authors)

  10. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  11. Atomic force microscopy measurements of topography and friction on dotriacontane films adsorbed on a SiO2 surface

    DEFF Research Database (Denmark)

    Trogisch, S.; Simpson, M.J.; Taub, H.

    2005-01-01

    We report comprehensive atomic force microscopy (AFM) measurements at room temperature of the nanoscale topography and lateral friction on the surface of thin solid films of an intermediate-length normal alkane, dotriacontane (n-C32H66), adsorbed onto a SiO2 surface. Our topographic and frictional...

  12. Real-time kinetic modeling of YSZ thin film roughness deposited by e-beam evaporation technique

    International Nuclear Information System (INIS)

    Galdikas, A.; Cerapaite-Trusinskiene, R.; Laukaitis, G.; Dudonis, J.

    2008-01-01

    In the present study, the process of yttrium-stabilized zirconia (YSZ) thin films deposition on optical quartz (SiO 2 ) substrates using e-beam deposition technique controlling electron gun power is analyzed. It was found that electron gun power influences the non-monotonous kinetics of YSZ film surface roughness. The evolution of YSZ thin film surface roughness was analyzed by a kinetic model. The model is based on the rate equations and includes processes of surface diffusion of the adatoms and the clusters, nucleation, growth and coalescence of islands in the case of thin film growth in Volmer-Weber mode. The analysis of the experimental results done by modeling explains non-monotonous kinetics and dependence of the surface roughness on the electron gun power. A good quantitative agreement with experimental results is obtained taking into account the initial roughness of the substrate surface and the amount of the clusters in the flux of evaporated material.

  13. Influence of Reactive Ion Etching on THz Transmission and Reflection Properties of NiCr Film Deposited on a Dielectric Substrate

    Directory of Open Access Journals (Sweden)

    Jun Gou

    2015-06-01

    Full Text Available Enhanced terahertz (THz absorption of NiCr film deposited on a dielectric substrate has been proven by applying a reactive ion etching (RIE treatment to the dielectric film. Nano – scale nickel – chromium (NiCr thin films are deposited on RIE treated silicon dioxide (SiO2 dielectric substrates to study the transmission and reflection characteristics. Experimental results suggest that both transmission and reflection of NiCr film are weakened by the RIE treatment. The most significant decrease of transmission is observed in 1 ~ 4 THz while that of reflection occurs in 1.7 ~ 2.5 THz band. The decrease of both transmission and reflection is more significant for NiCr film with higher thickness. The RIE treatment, which induces nano – scale surface structures and increases the effective surface area of NiCr film, enhances the absorption and weakens the transmission and reflection of THz radiation.DOI: http://dx.doi.org/10.5755/j01.ms.21.2.6131

  14. Progress in the deposition of MgB2 thin films

    International Nuclear Information System (INIS)

    Xi, X X; Pogrebnyakov, A V; Zeng, X H; Redwing, J M; Xu, S Y; Li, Qi; Liu, Zi-Kui; Lettieri, J; Vaithyanathan, V; Schlom, D G; Christen, H M; Zhai, H Y; Goyal, A

    2004-01-01

    An MgB 2 thin film deposition technology is the first critical step in the development of superconducting electronics utilizing the 39 K superconductor. It turned out to be a challenging task due to the volatility of Mg and phase stability of MgB 2 , the low sticking coefficients of Mg at elevated temperatures, and the reactivity of Mg with oxygen. A brief overview of current deposition techniques is provided here from a thermodynamic perspective, with an emphasis on a very successful technique for high quality in situ epitaxial MgB 2 films, the hybrid physical-chemical vapour deposition. Examples of heterostructures of MgB 2 with other materials are also presented

  15. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    Science.gov (United States)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  16. Nanohole 3D-size tailoring through polystyrene bead combustion during thin film deposition

    International Nuclear Information System (INIS)

    Peng Xiaofeng; Kamiya, Itaru

    2009-01-01

    A novel approach is presented for nanohole 3D-size tailoring. The process starts with a monolayer of polystyrene (PS) beads spun coat on silicon wafer as a template. The holes can be directly prepared through combustion of PS beads by oxygen plasma during metal or oxide thin film deposition. The incoming particles are prevented from adhering on PS beads by H 2 O and CO 2 generated from the combustion of the PS beads. The hole depth generally depends on the film thickness. The hole diameter can be tailored by the PS bead size, film deposition rate, and also the combustion speed of the PS beads. In this work, a series of holes with depth of 4-24 nm and diameter of 10-36 nm has been successfully prepared. The hole wall materials can be selected from metals such as Au or Pt and oxides such as SiO 2 or Al 2 O 3 . These templates could be suitable for the preparation and characterization of novel nanodevices based on single quantum dots or single molecules, and could be extended to the studies of a wide range of coating materials and substrates with controlled hole depth and diameters.

  17. Characterization of chemically deposited Ag/sub 2/S thin films

    International Nuclear Information System (INIS)

    Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.

    2001-01-01

    Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)

  18. Densification of ∼5 nm-thick SiO_2 layers by nitric acid oxidation

    International Nuclear Information System (INIS)

    Choi, Jaeyoung; Joo, Soyeong; Park, Tae Joo; Kim, Woo-Byoung

    2017-01-01

    Highlights: • Leakage current density of the commercial PECVD grown ∼5 nm SiO_2 layer has been decreased about three orders of magnitude by densification. • The densification of SiO_2 layer is achieved by high oxidation ability of O·. • Densities of suboxide, fixed charge (N_f) and defect state (N_d) in SiO_2/Si interface are decreased by NAOS and PMA. • Tunneling barrier height (Φ_t) is increased because of the increase of atomic density in SiO_2 layer. - Abstract: Low-temperature nitric acid (HNO_3) oxidation of Si (NAOS) has been used to improve the interface and electrical properties of ∼5 nm-thick SiO_2/Si layers produced by plasma-enhanced chemical vapor deposition (PECVD). Investigations of the physical properties and electrical characteristics of these thin films revealed that although their thickness is not changed by NAOS, the leakage current density at a gate bias voltage of −1 V decreases by about two orders of magnitude from 1.868 × 10"−"5 A/cm"2. This leakage current density was further reduced by post-metallization annealing (PMA) at 250 °C for 10 min in a 5 vol.% hydrogen atmosphere, eventually reaching a level (5.2 × 10"−"8 A/cm"2) approximately three orders of magnitude less than the as-grown SiO_2 layer. This improvement is attributed to a decrease in the concentration of suboxide species (Si"1"+, Si"2"+ and Si"3"+) in the SiO_2/Si interface, as well as a decrease in the equilibrium density of defect sites (N_d) and fixed charge density (N_f). The barrier height (Φ_t) generated by a Poole-Frenkel mechanism also increased from 0.205 to 0.371 eV after NAOS and PMA. The decrease in leakage current density is therefore attributed to a densification of the SiO_2 layer in combination with the removal of OH species and increase in interfacial properties at the SiO_2/Si interface.

  19. The application of Cu/SiO2 catalytic system in chemical mechanical planarization based on the stability of SiO2 sol

    International Nuclear Information System (INIS)

    Li Yan; Liu Yuling; Wang Aochen; Yang Zhixin; Sun Mingbin; Cheng Chuan; Zhang Yufeng; Zhang Nannan

    2014-01-01

    There is a lot of hydroxyl on the surface of nano SiO 2 sol used as an abrasive in the chemical mechanical planarization (CMP) process, and the chemical reaction activity of the hydroxyl is very strong due to the nano effect. In addition to providing a mechanical polishing effect, SiO 2 sol is also directly involved in the chemical reaction. The stability of SiO 2 sol was characterized through particle size distribution, zeta potential, viscosity, surface charge and other parameters in order to ensure that the chemical reaction rate in the CMP process, and the surface state of the copper film after CMP was not affected by the SiO 2 sol. Polarization curves and corrosion potential of different concentrations of SiO 2 sol showed that trace SiO 2 sol can effectively weaken the passivation film thickness. In other words, SiO 2 sol accelerated the decomposition rate of passive film. It was confirmed that the SiO 2 sol as reactant had been involved in the CMP process of copper film as reactant by the effect of trace SiO 2 sol on the removal rate of copper film in the CMP process under different conditions. In the CMP process, a small amount of SiO 2 sol can drastically alter the chemical reaction rate of the copper film, therefore, the possibility that Cu/SiO 2 as a catalytic system catalytically accelerated the chemical reaction in the CMP process was proposed. According to the van't Hoff isotherm formula and the characteristics of a catalyst which only changes the chemical reaction rate with out changing the total reaction standard Gibbs free energy, factors affecting the Cu/SiO 2 catalytic reaction were derived from the decomposition rate of Cu (OH) 2 and the pH value of the system, and then it was concluded that the CuSiO 3 as intermediates of Cu/SiO 2 catalytic reaction accelerated the chemical reaction rate in the CMP process. It was confirmed that the Cu/SiO 2 catalytic system generated the intermediate of the catalytic reaction (CuSiO 3 ) in the CMP process

  20. Chemically deposited Sb2S3 thin films for optical recording

    International Nuclear Information System (INIS)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B; O'Brien, J J; Liu, J

    2010-01-01

    Laser induced changes in the properties of Sb 2 S 3 thin films prepared by chemical bath deposition are described in this paper. Sb 2 S 3 thin films of thickness 550 nm were deposited from a solution containing SbCl 3 and Na 2 S 2 O 3 at 27 0 C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  1. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  2. Laser damage properties of TiO2/Al2O3 thin films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wei Yaowei; Liu Hao; Sheng Ouyang; Liu Zhichao; Chen Songlin; Yang Liming

    2011-01-01

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO 2 /Al 2 O 3 films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the films deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm Φ samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO 2 /Al 2 O 3 films, the LIDTs were 6.73±0.47 J/cm 2 and 6.5±0.46 J/cm 2 at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.

  3. Formation mechanisms of metallic Zn nanodots by using ZnO thin films deposited on n-Si substrates

    International Nuclear Information System (INIS)

    Yuk, J. M.; Lee, J. Y.; Kim, Y.; No, Y. S.; Kim, T. W.; Choi, W. K.

    2010-01-01

    High-resolution transmission electron microscopy and energy dispersive x-ray spectroscopy results showed that metallic Zn nanodots (NDs) were fabricated through transformation of ZnO thin films by deposition of SiO x on ZnO/n-Si (100) heterostructures. The Zn NDs with various sizes and densities were formed due to the occurrence of the mass diffusion of atoms along the grain boundaries in the ZnO thin films. The fabrication mechanisms of metallic Zn NDs through transformation of ZnO thin films deposited on n-Si substrates are described on the basis of the experimental results.

  4. Influence of deposition conditions on the nature of epitaxial SrIrO3 on STO (001)

    Science.gov (United States)

    Bhat, Shwetha G.; Sebastian, Nirmal K.; Kumar, P. S. Anil

    2018-05-01

    SrIrO3 (SIO) is one of the materials known to exhibit a high spin-orbit coupling with correlated semi-metallic ground state, along with the topological states, as proven in recent times. In this regard, the SIO thin films grown by us on SrTiO3 (001) at certain deposition conditions, exhibit a low temperature magneto-transport behavior which is analogous to the materials with topological states. Further, we have explored various deposition conditions of SIO such as partial pressure of O2 and different temperatures of growth for different thickness of SIO. In addition, from the electrical transport properties, SIO thin films found to exhibit semi-metallic nature with either insulating-like or a crossover from metal-like to insulating-like behavior based on the conditions chosen for the growth. Moreover, the magneto-transport data of various SIO thin films are found to be obeying the usual B2 (Lorentzian) behavior in majority of the cases. At the same time, we have also observed the weak-localization and weak-antilocalization effects; along with a linear magneto-resistance at low temperature ranges. Thus, from our extensive measurements, it becomes clear that SIO thin films can exhibit wide varieties of magneto-transport properties based on the deposition conditions. Plethora of interesting properties exhibited by the highly spin-orbit coupled SIO epitaxial thin films at lower temperatures in the presence of magnetic field makes the material to be promising for the future applications in the field of spintronics.

  5. Surface PIXE analysis of phosphorus in a thin SiO2 (P, B) CVD layer deposited onto Si substrate

    International Nuclear Information System (INIS)

    Roumie, M.; Nsouli, B.

    2001-01-01

    Phosphorus determination, at level of percent, in Si matrix is not an easy analytical task. The analyzed materials arc Borophosphosilicate glass which are an important component of silicon based semiconductor technology. It's a thin SiO2 layer (400 nm) doped with boron and phosphorus using, in general, CVD (Chemical Vapor Deposition) process, in order to improve its plasticity, and deposited onto Si substrate. Therefore, the mechanical behaviour of the CVD SiO2 (P, B) layer is very sensitive to the phosphorus concentration. In this work we explore the capability of FIXE (Particle Induced X-ray Emission) to monitor a rapid and accurate quantification of P which is usually very low in such materials (few percent of the thin CVD layer deposited onto a silicon substrate). A systematic study is undertaken using Proton (0.5-3 MeV energy) and helium (1-3 MeV energy) beams, different thickness of X-ray absorber (131 and 146 μm of Kapton filter) and different tilting angles (0,45,60 and 80 deg.). The optimized measurement conditions should improve the P signal detection comparing to the Si and Background ones

  6. Antireflective bilayer coatings based on Al2O3 film for UV region

    OpenAIRE

    Marszałek Konstanty; Winkowski Paweł; Marszałek Marta

    2015-01-01

    Bilayer antireflective coatings consisting of aluminium oxide Al2O3/MgF2 and Al2O3/SiO2 are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5 × 10-3 Pa in the presence of oxygen, and magnesium fluoride was prepared by thermal evaporation on heated optical lenses made from quartz glass (Corning HPFS). Substrate temperature was maintained at 250 _C during the deposition. Thickness and deposition rate were controlled with a thickness measuring syste...

  7. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  8. Undoped TiO2 and nitrogen-doped TiO2 thin films deposited by atomic layer deposition on planar and architectured surfaces for photovoltaic applications

    International Nuclear Information System (INIS)

    Tian, Liang; Soum-Glaude, Adurey; Volpi, Fabien; Salvo, Luc; Berthomé, Grégory; Coindeau, Stéphane; Mantoux, Arnaud; Boichot, Raphaël; Lay, Sabine; Brizé, Virginie; Blanquet, Elisabeth; Giusti, Gaël; Bellet, Daniel

    2015-01-01

    Undoped and nitrogen doped TiO 2 thin films were deposited by atomic layer deposition on planar substrates. Deposition on 3D-architecture substrates made of metallic foams was also investigated to propose architectured photovoltaic stack fabrication. All the films were deposited at 265 °C and nitrogen incorporation was achieved by using titanium isopropoxide, NH 3 and/or N 2 O as precursors. The maximum nitrogen incorporation level obtained in this study was 2.9 at. %, resulting in films exhibiting a resistivity of 115 Ω cm (+/−10 Ω cm) combined with an average total transmittance of 60% in the 400–1000 nm wavelength range. Eventually, TiO 2 thin films were deposited on the 3D metallic foam template

  9. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  10. Ion beam sputter deposited TiAlN films for metal-insulator-metal (Ba,Sr)TiO3 capacitor application

    International Nuclear Information System (INIS)

    Lee, S.-Y.; Wang, S.-C.; Chen, J.-S.; Huang, J.-L.

    2008-01-01

    The present study evaluated the feasibility of TiAlN films deposited using the ion beam sputter deposition (IBSD) method for metal-insulator-metal (MIM) (Ba,Sr)TiO 3 (BST) capacitors. The BST films were crystallized at temperatures above 650 deg. C. TiAlN films deposited using the IBSD method were found having smooth surface and low electrical resistivity at high temperature conditions. TiAlN films showed a good diffusion barrier property against BST components. The J-E (current density-electric field) characteristics of Al/BST/TiAlN capacitors were good, with a high break down electric field of ± 2.5 MV/cm and a leakage current density of about 1 x 10 -5 A/cm 2 at an applied field of ± 0.5 MV/cm. Thermal stress and lateral oxidation that occurred at the interface damaged the capacitor stacking structure. Macro holes that dispersed on the films resulted in higher leakage current and inconsistent J-E characteristics. Vacuum annealing with lower heating rate and furnace cooling, and a Ti-Al adhesion layer between TiAlN and the SiO 2 /Si substrate can effectively minimize the stress effect. TiAlN film deposited using IBSD can be considered as a potential electrode and diffusion barrier material for MIM BST capacitors

  11. Annealing of TiO2 Films Deposited on Si by Irradiating Nitrogen Ion Beams

    International Nuclear Information System (INIS)

    Yokota, Katsuhiro; Yano, Yoshinori; Miyashita, Fumiyoshi

    2006-01-01

    Thin TiO2 films were deposited on Si at a temperature of 600 deg. C by an ion beam assisted deposition (IBAD) method. The TiO2 films were annealed for 30 min in Ar at temperatures below 700 deg. C. The as-deposited TiO2 films had high permittivities such 200 εo and consisted of crystallites that were not preferentially oriented to the c-axis but had an expanded c-axis. On the annealed TiO2 films, permittivities became lower with increasing annealing temperature, and crystallites were oriented preferentially to the (110) plane

  12. Effects of filtered cathodic vacuum arc deposition (FCVAD) conditions on photovoltaic TiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Aramwit, C. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Bootkul, D. [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Supsermpol, B.; Seanphinit, N. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Western Digital Thailand Co. Ltd., Ayutthaya 13160 (Thailand); Ruangkul, W. [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Highlights: • Titanium dioxide films were synthesized using the FCVAD technique. • Various FCVAD conditions were tested. • The TiO{sub 2} films were characterized. • The FCVAD condition effects on the film characteristics were studied. • The O{sub 2} pressure had the most important effect on the film quality. - Abstract: Titanium dioxide (TiO{sub 2}) films for photovoltaic applications were synthesized using filtered cathodic vacuum arc deposition (FCVAD) technique. Various deposition conditions were tested for an optimal film formation. The conditions included the oxygen (O{sub 2}) pressure which was varied from a base pressure 10{sup −5} to 10{sup −4}, 10{sup −3}, 10{sup −2} and 10{sup −1} Torr, sample holder bias varied using 0 or −250 V, deposition time varied from 10, 20 to 30 min, and deposition distance varied from 1 to 3 cm. The deposited films were also annealed and compared with unannealed ones. The films under various conditions were characterized using optical microscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), energy-dispersive X-ray spectroscopy (EDS) and Raman spectroscopy techniques. The film transparency increased and thickness decreased to a nanoscale with increasing of the O{sub 2} pressure. The transparent deposited films contained stoichiometric titanium and oxygen under the medium O{sub 2} pressure. The as-deposited films were TiO{sub 2} containing some rutile but no anatase which needed annealing to form.

  13. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  14. Solid-state compound phase formation of TiSi2 thin films under stress

    Directory of Open Access Journals (Sweden)

    C. Theron

    2010-02-01

    Full Text Available Different stress situations were created on an Si(100 wafer by depositing either Si3N4 or SiO2 thin films on the back side. Si3N4 has a different thermal expansion coefficient from that of SiO2. A thin Ti film was then deposited on the front side of the Si wafer. The structures were then annealed at various high temperatures for different periods of time. Real-time Rutherford backscattering spectrometry, as well as sample curvature measurements, were used to characterise the samples. Different reaction rates were found between Si3N4-deposited samples and SiO2-deposited samples.

  15. In situ observation of electron-beam-induced dewetting of CdSe thin film embedded in SiO2

    DEFF Research Database (Denmark)

    Fabrim, Zacarias Eduardo; Kjelstrup-Hansen, Jakob; Fichtner, Paulo F. P.

    In this work we show the dewetting process of the CdSe thin films induced by electron beam irradiation. A multilayer heterostructure of SiO2/CdSe/SiO2 was made by a magnetron sputtering process. A plan-view (PV) sample was irradiated with 200 kV electrons in the TEM with two current densities: 0.......33 A.cm2 and 1.0 A.cm2 and at 80 kV with 0.37 A.cm2. The dewetting of the CdSe film is inferred by a number of micrographs taken during the irradiation. The microstructural changes were analyzed under the assumption of being induced by ballistic collision effects in the absence of sample heating....

  16. ZnO nanowire co-growth on SiO2 and C by carbothermal reduction and vapour advection

    International Nuclear Information System (INIS)

    Vega, N C; Caram, J; Grinblat, G; Comedi, D; Wallar, R; LaPierre, R R; Tirado, M

    2012-01-01

    Vertically aligned ZnO nanowires (NWs) were grown on Au-nanocluster-seeded amorphous SiO 2 films by the advective transport and deposition of Zn vapours obtained from the carbothermal reaction of graphite and ZnO powders. Both the NW volume and visible-to-UV photoluminescence ratio were found to be strong functions of, and hence could be tailored by, the (ZnO+C) source–SiO 2 substrate distance. We observe C flakes on the ZnO NWs/SiO 2 substrates which exhibit short NWs that developed on both sides. The SiO 2 and C substrates/NW interfaces were studied in detail to determine growth mechanisms. NWs on Au-seeded SiO 2 were promoted by a rough ZnO seed layer whose formation was catalysed by the Au clusters. In contrast, NWs grew without any seed on C. A correlation comprising three orders of magnitude between the visible-to-UV photoluminescence intensity ratio and the NW volume is found, which results from a characteristic Zn partial pressure profile that fixes both O deficiency defect concentration and growth rate. (paper)

  17. Highly conformal SiO2/Al2O3 nanolaminate gas-diffusion barriers for large-area flexible electronics applications

    International Nuclear Information System (INIS)

    Choi, Jin-Hwan; Kim, Young-Min; Park, Young-Wook; Park, Tae-Hyun; Jeong, Jin-Wook; Choi, Hyun-Ju; Song, Eun-Ho; Ju, Byeong-Kwon; Lee, Jin-Woo; Kim, Cheol-Ho

    2010-01-01

    The present study demonstrates a flexible gas-diffusion barrier film, containing an SiO 2 /Al 2 O 3 nanolaminate on a plastic substrate. Highly uniform and conformal coatings can be made by alternating the exposure of a flexible polyethersulfone surface to vapors of SiO 2 and Al 2 O 3 , at nanoscale thickness cycles via RF-magnetron sputtering deposition. The calcium degradation test indicates that 24 cycles of a 10/10 nm inorganic bilayer, top-coated by UV-cured resin, greatly enhance the barrier performance, with a permeation rate of 3.79 x 10 -5 g m -2 day -1 based on the change in the ohmic behavior of the calcium sensor at 20 deg. C and 50% relative humidity. Also, the permeation rate for 30 cycles of an 8/8 nm inorganic bilayer coated with UV resin was beyond the limited measurable range of the Ca test at 60 deg. C and 95% relative humidity. It has been found that such laminate films can effectively suppress the void defects of a single inorganic layer, and are significantly less sensitive against moisture permeation. This nanostructure, fabricated by an RF-sputtering process at room temperature, is verified as being useful for highly water-sensitive organic electronics fabricated on plastic substrates.

  18. Cu and Cu2O films with semi-spherical particles grown by electrochemical deposition

    International Nuclear Information System (INIS)

    Zheng, Jin You; Jadhav, Abhijit P.; Song, Guang; Kim, Chang Woo; Kang, Young Soo

    2012-01-01

    Cu and Cu 2 O films can be prepared on indium-doped tin oxide glass substrates by simple electrodeposition in a solution containing 0.1 M Cu(NO 3 ) 2 and 3 M lactic acid at different pH values. At low pH (pH = 1.2), the uniform Cu films were obtained; when pH ≥ 7, the pure Cu 2 O films can be deposited. Especially, at pH = 11, the deposited Cu 2 O films exhibited cubic surface morphology exposing mainly {100} plane; in contrast, the films consisting of semi-spherical particles were obtained when the solution was being stirred for 2 weeks prior to use. The possible growth process and mechanism were comparatively discussed. - Highlights: ► Cu and Cu 2 O films were prepared by facile electrodeposition. ► Electrodeposition was preformed in electrolyte at different pH values. ► Dendritic Cu films were obtained at 1.2 pH with relatively high deposition potential. ► Semi-spherical Cu 2 O films were obtained with solution at 11 pH and stirred for 2 weeks. ► The possible growth mechanism of semi-spherical Cu 2 O films was discussed.

  19. Influence of H on the composition and atomic concentrations of 'N-rich' plasma deposited SiOxNyHz films

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bohne, W.; Roehrich, J.; Selle, B.

    2004-01-01

    The influence of H on the composition and atomic concentrations of Si, O, and N of plasma deposited SiO x N y H z films was investigated. The bonding scheme of H was analyzed by Fourier-transform infrared spectroscopy. The composition and absolute concentrations of all the species present in the SiO x N y H z , including H, was measured by heavy-ion elastic recoil detection analysis (HI-ERDA). Samples were deposited from SiH 4 , O 2 , and N 2 gas mixtures, with different gas flow ratios in order to obtain compositions ranging from SiN y H z to SiO 2 . Those samples deposited at higher SiH 4 partial pressures show both Si-H and N-H bonds, while those deposited at lower SiH 4 partial pressures show N-H bonds only. The Si-H and N-H bond concentrations were found to be proportional to the N concentration. The concentration of H was evaluated from the Si-H and N-H stretching absorption bands and compared to the HI-ERDA results, finding good agreement between both measurements. The deviation from H-free stoichiometric SiO x N y composition due to the presence of N-H bonds results in an effective coordination number of N to produce Si-N bonds lower than 3. By fitting the experimental composition data to a theoretical model taking into account the influence of N-H bonds, the actual concentration of N-H bonds was obtained, making evident the presence of nonbonded H. The presence of Si-H and Si-Si bonds was found to partially compensate the effect of N-H bonds, from the point of view of the relative N and Si contents. Finally, the presence of N-H bonds results in a lower Si atom concentration with respect to the stoichiometric film, due to a replacement of Si atoms by H atoms. This decrease of the Si concentration is lower in those films containing Si-H and Si-Si bonds. A model was developed to calculate the Si, O, and N atom concentrations taking into account the influence of N-H, Si-H, and Si-Si bonds, and was found to be in perfect agreement with the experimental data

  20. Ferromagnetic resonance studies on (Co40Fe40B20)x(SiO2)1-x granular magnetic films

    International Nuclear Information System (INIS)

    Yildiz, F.; Kazan, S.; Aktas, B.; Tarapov, S.I.; Tagirov, L.; Granovsky, B.

    2006-01-01

    Magnetic properties of granular (Co 40 Fe 40 B 20 ) x (SiO 2 ) 1-x thin films (x=0.37-0.53) have been studied by ferromagnetic resonance (FMR) technique. Samples have been prepared by ion-beam deposition of Co-Fe-B particles and SiO 2 on sitall ceramic substrate. The FMR measurements have been done for different orientations of DC magnetic field with respect to the sample plane. It was found that the deduced value of effective magnetization from FMR data of the thin granular film is reduced by the volume-filling factor of the bulk saturation magnetization. The overall magnetization changes from 152 to 515G depending on the ratio of the magnetic nanoparticles in the SiO 2 matrix. From angular measurements an induced in-plane uniaxial anisotropy has been obtained due to the preparation of the film conditions as well

  1. Effect of multiple film on the tritium permeation property in 316L stainless steel

    International Nuclear Information System (INIS)

    Yao Zhenyu; Hao Jiakun; Zhou Changshan; Shan Changqi

    2000-01-01

    The films of TiN + TiC + TiN and TiN + TiC + SiO 2 were deposited on the surface of 316L stainless steel by physical vapor deposition technology. The characteristics of films are tested by SEM technology, it shows that the films are compact, thermal shock-resistant, oxidation-resistant and have good compatibility with bulk. the SIMS and IR analysis results show that the tritium permeation barrier is formed when TiC and SiO 2 films are annealed in hydrogen above 300 degree C. The tritium permeability in 316L with film is measured at various temperature, the results show that the tritium permeability in 316L with TiN + TiC + SiO 2 film is 4-6 orders of magnitude lower, and that in 316L with TiN + TiC + TiN film is 4-5 orders of magnitude lower than that in 316L with Pd film at about 200-600 degree C. These films may be used as the surface coating of the first wall, tritium blanket and heat exchanger in fusion reactor

  2. High-rate deposition of photocatalytic TiO2 films by oxygen plasma assist reactive evaporation method

    International Nuclear Information System (INIS)

    Sakai, Tetsuya; Kuniyoshi, Yuji; Aoki, Wataru; Ezoe, Sho; Endo, Tatsuya; Hoshi, Yoichi

    2008-01-01

    High-rate deposition of titanium dioxide (TiO 2 ) film was attempted using oxygen plasma assisted reactive evaporation (OPARE) method. Photocatalytic properties of the film were investigated. During the deposition, the substrate temperature was fixed at 400 deg. C. The film deposition rate can be increased by increasing the supply of titanium atoms to the substrate, although oversupply of the titanium atoms causes oxygen deficiency in the films, which limits the deposition rate. The film structure depends strongly on the supply ratio of oxygen molecules to titanium atoms O 2 /Ti and changes from anatase to rutile structure as the O 2 /Ti supply ratio increased. Consequently, the maximum deposition rates of 77.0 nm min -1 and 145.0 nm min -1 were obtained, respectively, for the anatase and rutile film. Both films deposited at such high rates showed excellent hydrophilicity and organic decomposition performance. Even the film with rutile structure deposited at 145.0 nm min -1 had a contact angle of less than 2.5 deg. by UV irradiation for 5.0 h and an organics-decomposition performance index of 8.9 [μmol l -1 min -1 ] for methylene blue

  3. Low-temperature atomic layer deposition of MoS{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Jurca, Titel; Wang, Binghao; Tan, Jeffrey M.; Lohr, Tracy L.; Marks, Tobin J. [Department of Chemistry and the Materials Research Center, Northwestern University, Evanston, IL (United States); Moody, Michael J.; Henning, Alex; Emery, Jonathan D.; Lauhon, Lincoln J. [Department of Materials Science and Engineering, and the Materials Research Center, Northwestern University, Evanston, IL (United States)

    2017-04-24

    Wet chemical screening reveals the very high reactivity of Mo(NMe{sub 2}){sub 4} with H{sub 2}S for the low-temperature synthesis of MoS{sub 2}. This observation motivated an investigation of Mo(NMe{sub 2}){sub 4} as a volatile precursor for the atomic layer deposition (ALD) of MoS{sub 2} thin films. Herein we report that Mo(NMe{sub 2}){sub 4} enables MoS{sub 2} film growth at record low temperatures - as low as 60 C. The as-deposited films are amorphous but can be readily crystallized by annealing. Importantly, the low ALD growth temperature is compatible with photolithographic and lift-off patterning for the straightforward fabrication of diverse device structures. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Formation, structure, and phonon confinement effect of nanocrystalline Si1-xGex in SiO2-Si-Ge cosputtered films

    International Nuclear Information System (INIS)

    Yang, Y.M.; Wu, X.L.; Siu, G.G.; Huang, G.S.; Shen, J.C.; Hu, D.S.

    2004-01-01

    Using magnetron cosputtering of SiO 2 , Ge, and Si targets, Si-based SiO 2 :Ge:Si films were fabricated for exploring the influence of Si target proportion (P Si ) and annealing temperature (Ta) on formation, local structure, and phonon properties of nanocrystalline Si 1-x Ge x (nc-Si 1-x Ge x ). At low P Si and Ta higher than 800 deg. C, no nc-Si 1-x Ge x but a kind of composite nanocrystal consisting of a Ge core, GeSi shell, and amorphous Si outer shell is formed in the SiO 2 matrix. At moderate P Si , nc-Si 1-x Ge x begins to be formed at Ta=800 deg. C and coexists with nc-Ge at Ta=1100 deg. C. At high P Si , it was disclosed that both optical phonon frequency and lattice spacing of nc-Si 1-x Ge x increase with raising Ta. The possible origin of this phenomenon is discussed by considering three factors, the phonon confinement, strain effect, and composition variation of nc-Si 1-x Ge x . This work will be helpful in understanding the growth process of ternary GeSiO films and beneficial to further investigations on optical properties of nc-Ge 1-x Si x in the ternary matrix

  5. Ion assistance effects on electron beam deposited MgF sub 2 films

    CERN Document Server

    Alvisi, M; Della Patria, A; Di Giulio, M; Masetti, E; Perrone, M R; Protopapa, M L; Tepore, A

    2002-01-01

    Thin films of MgF sub 2 have been deposited by the ion-assisted electron-beam evaporation technique in order to find out the ion beam parameters leading to films of high laser damage threshold whose optical properties are stable under uncontrolled atmosphere conditions. It has been found that the ion-assisted electron-beam evaporation technique allows getting films with optical properties (refraction index and extinction coefficient) of high environmental stability by properly choosing the ion-source voltage and current. But, the laser damage fluence at 308 nm was quite dependent on the assisting ion beam parameters. Larger laser damage fluences have been found for the films deposited by using assisting ion beams delivered at lower anode voltage and current values. It has also been found that the films deposited without ion assistance were characterized by the highest laser damage fluence (5.9 J/cm sup 2) and the lowest environmental stability. The scanning electron microscopy analysis of the irradiated areas...

  6. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    Science.gov (United States)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  7. Depth-resolved detection and process dependence of traps at ultrathin plasma-oxidized and deposited SiO2/Si interfaces

    International Nuclear Information System (INIS)

    Brillson, L. J.; Young, A. P.; White, B. D.; Schaefer, J.; Niimi, H.; Lee, Y. M.; Lucovsky, G.

    2000-01-01

    Low-energy electron-excited nanoluminescence spectroscopy reveals depth-resolved optical emission associated with traps near the interface between ultrathin SiO 2 deposited by plasma-enhanced chemical vapor deposition on plasma-oxidized crystalline Si. These near-interface states exhibit a strong dependence on local chemical bonding changes introduced by thermal/gas processing, layer-specific nitridation, or depth-dependent radiation exposure. The depth-dependent results provide a means to test chemical and structural bond models used to develop advanced dielectric-semiconductor junctions. (c) 2000 American Vacuum Society

  8. Photoluminescence in Spray Pyrolysis Deposited β-In2S3 Thin Films

    Science.gov (United States)

    Jayakrishnan, R.

    2018-04-01

    Spray pyrolysis deposited In2S3 thin films exhibit two prominent photoluminescent emissions. One of the emissions is green in color and centered at around ˜ 540 nm and the other is centered at around ˜ 690 nm and is red in color. The intensity of the green emission decreases when the films are subjected to annealing in air or vacuum. The intensity of red emission increases when films are air annealed and decreases when vacuum annealed. Vacuum annealing leads to an increase in work function whereas air annealing leads to a decrease in work function for this thin film system relative to the as deposited films indicating changes in space charge regions. Surface photovoltage analysis using a Kelvin probe leads to the conclusion that inversion of band bending occurs as a result of annealing. Correlating surface contact potential measurements using a Kelvin probe, x-ray photoelectron spectroscopy and photoluminescence, we conclude that the surface passivation plays a critical role in controlling the photoluminescence from the spray pyrolysis deposited for In2S3 thin films.

  9. Study of epitaxial lateral overgrowth of semipolar (1 1 − 2 2) GaN by using different SiO2 pattern sizes

    International Nuclear Information System (INIS)

    Song, Ki-Ryong; Lee, Jae-Hwan; Han, Sang-Hyun; Yi, Hye-Rin; Lee, Sung-Nam

    2013-01-01

    Graphical abstract: - Highlights: • We examine comparative studies of semipolar ELO-GaN film. • Semipolar ELO-GaN film was grown by three step growth method. • The achievement of smooth surface morphology of semipolar ELO-GaN. • The crystal and optical properties was significantly improved by ELO process. - Abstract: We investigated the growth mode and the crystal properties of lateral epitaxial overgrowth (LEO) semipolar (1 1 − 2 2) GaN by using the various SiO 2 pattern sizes of 6, 8, 10 and 12 μm with the window width of 4.0 μm. By using three-step growth technique, we successfully obtained the fully-coalescenced semipolar (1 1 − 2 2) LEO-GaN films regardless of the SiO 2 pattern sizes. However, the coalescence thickness of LEO-GaN film was decreased with decreasing SiO 2 pattern size, indicating that the coalescence of semipolar (1 1 − 2 2) GaN was easily formed by decreasing the pattern size of SiO 2 mask. The full width at half maximums (FWHMs) of X-ray rocking curves (XRCs) of LEO-GaN films decreased with increasing SiO 2 pattern size. In the pattern size of 4 × 10 μm, we achieved the minimum XRCs FWHM of 537 and 368 arc s with two different X-ray incident beam directions of [1 1 − 2 − 3] and [1 − 1 0 0], respectively. Moreover, the photoluminescence bandedge emission of semipolar (1 1 − 2 2) GaN was 45 times increased by LEO process. Based on these results, we concluded that the LEO pattern size of 4 × 10 μm would effectively decrease crystal defects of semipolar (1 1 − 2 2) GaN epilayer, resulting in an improvement of the optical properties

  10. Effect of barrier layers on the properties of indium tin oxide thin films on soda lime glass substrates

    International Nuclear Information System (INIS)

    Lee, Jung-Min; Choi, Byung-Hyun; Ji, Mi-Jung; An, Yong-Tae; Park, Jung-Ho; Kwon, Jae-Hong; Ju, Byeong-Kwon

    2009-01-01

    In this paper, the electrical, structural and optical properties of indium tin oxide (ITO) films deposited on soda lime glass (SLG) haven been investigated, along with high strain point glass (HSPG) substrate, through radio frequency magnetron sputtering using a ceramic target (In 2 O 3 :SnO 2 , 90:10 wt.%). The ITO films deposited on the SLG show a high electrical resistivity and structural defects compared with those deposited on HSPG due to the Na ions from the SLG diffusing to the ITO film by annealing. However, these properties can be improved by intercalating a barrier layer of SiO 2 or Al 2 O 3 between the ITO film and the SLG substrate. SIMS analysis has confirmed that the barrier layer inhibits the Na ion's diffusion from the SLG. In particular, the ITO films deposited on the Al 2 O 3 barrier layer, show better properties than those deposited on the SiO 2 barrier layer.

  11. Plasma enhanced chemical vapor deposition of ZrO2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, Kolandaivelu [Iowa State Univ., Ames, IA (United States)

    1993-12-09

    Amorphous ZrO2 thin films were deposited in an inductively coupled PECVD system using a Zr β-diketonate, Zr(C11H19O2)4, as the precursor. The deposits were air annealed at 900C for 5 min to get pure, single phase, oriented, polycrystalline α-ZrO2. Feasibility of using 2 different types of reactors was investigated. The inductively heated horizontal reactor depositions at 600C had a lower deposition rate and the films were non-uniform in thickness with a columnar structure. The resistively heated vertical reactor depositions at 350C had a higher deposition rate and the films were more uniform in thickness with a fine grained microstructure. The statistical design was demonstrated as an effective technique to analyze the effect of process conditions on the rate of deposition and relative (h00) orientation. The factorial design was used to quantify the two responses in terms of the process variables and their mutual interactions. The statistical design for rate of deposition was found to correlate with the trends observed in classical design.

  12. Fabrication and characterization of In2S3 thin films deposited by thermal evaporation technique

    International Nuclear Information System (INIS)

    Timoumi, A.; Bouzouita, H.; Kanzari, M.; Rezig, B.

    2005-01-01

    Indium sulphide, In 2 S 3 , thin films present an alternative to conventional CdS films as buffer layer for CIS-based thin film solar cells. The objective is to eliminate toxic cadmium for environmental reasons. Indium sulphide is synthesized and deposited by single source vacuum thermal evaporation method on glass substrates. The films are analyzed by X-ray diffraction (XRD) and spectrophotometric measurements. They have a good crystallinity, homogeneity and adhesion. The X-ray diffraction analysis confirmed the initial amorphous nature of the deposited InS film and phase transition into crystalline In 2 S 3 formed upon annealing at free air for 250 deg. C substrate temperature for 2 h. The optical constants of the deposited films were obtained from the analysis of the experimental recorded transmission and reflectance spectral data over the wavelength range of 300-1800 nm. We note that the films annealed at 250 deg. C for 2 h show a good homogeneity with 80% transmission. An analysis of the optical absorption data of the deposited films revealed an optical direct band gap energy in the range of 2.0-2.2 eV

  13. CuInS2 thin films obtained through the annealing of chemically deposited In2S3-CuS thin films

    International Nuclear Information System (INIS)

    Pena, Y.; Lugo, S.; Calixto-Rodriguez, M.; Vazquez, A.; Gomez, I.; Elizondo, P.

    2011-01-01

    In this work, we report the formation of CuInS 2 thin films on glass substrates by heating chemically deposited multilayers of copper sulfide (CuS) and indium sulfide (In 2 S 3 ) at 300 and 350 deg. C in nitrogen atmosphere at 10 Torr. CIS thin films were prepared by varying the CuS layer thickness in the multilayers with indium sulfide. The XRD analysis showed that the crystallographic structure of the CuInS 2 (JCPDS 27-0159) is present on the deposited films. From the optical analysis it was estimated the band gap value for the CIS film (1.49 eV). The electrical conductivity varies from 3 x 10 -8 to 3 Ω -1 cm -1 depending on the thickness of the CuS film. CIS films showed p-type conductivity.

  14. Rapid processing method for solution deposited YBa2Cu3O7-δ thin films

    International Nuclear Information System (INIS)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P.

    2004-01-01

    YBa 2 Cu 3 O 7-δ (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm 2 current density (J c ) YBCO films, from multiple hours to ∼20 s in atmospheric pressure air. High quality, ∼0.2 μm thick YBCO films with J c (77 K) values ≥2 MA/cm 2 at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO 3 . This process has also enabled J c (77 K)=1.1 MA/cm 2 YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable ∼$10/kA m solution deposited YBCO coated conductor wires

  15. Fabrication and characterization of Pb(Zr 0.53,Ti 0.47)O 3-Pb(Nb 1/3,Zn 2/3)O 3 thin films on cantilever stacks

    KAUST Repository

    Fuentes-Fernandez, E. M A

    2010-11-18

    0.9Pb(Zr 0.53,Ti 0.47)O 3-0.1Pb(Zn 1/3,Nb 2/3)O 3 (PZT-PZN) thin films and integrated cantilevers have been fabricated. The PZT-PZN films were deposited on SiO 2/Si or SiO 2/Si 3N 4/SiO 2/poly-Si/Si membranes capped with a sol-gel-derived ZrO 2 buffer layer. It is found that the membrane layer stack, lead content, existence of a template layer of PbTiO 3 (PT), and ramp rate during film crystallization are critical for obtaining large-grained, single-phase PZT-PZN films on the ZrO 2 surface. By controlling these parameters, the electrical properties of the PZT-PZN films, their microstructure, and phase purity were significantly improved. PZT-PZN films with a dielectric constant of 700 to 920 were obtained, depending on the underlying stack structure. © 2010 TMS.

  16. TiO2-BASED Composite Films for the Photodegradation of Oxytetracycline

    Science.gov (United States)

    Li, Hui; Guan, Ling-Xiao; Feng, Ji-Jun; Li, Fang; Yao, Ming-Ming

    2015-02-01

    The spread of the antibiotic oxytetracycline (OTC) has been thought as a threat to the safety of drinking water. In this paper, the photocatalytic activity of the nanocrystalline Fe/Ca co-doped TiO2-SiO2 composite film for the degradation of OTC was studied. The films were characterized by field emission scanning electron microscopy (FE-SEM) equipped with energy-dispersive spectroscopy (EDS), N2 adsorption/desorption isotherms, photoluminescence (PL) spectra, and UV-Vis diffraction reflectance absorption spectra (DRS). The FE-SEM results indicated that the Fe/Ca co-doped TiO2-SiO2 film was composed of smaller nanoparticles compared to pure TiO2 or TiO2-SiO2 film. The BET surface area results showed that the specific surface area of the pure TiO2, TiO2-SiO2 and Ca2+/Fe3+ co-doped TiO2-SiO2 is 118.3 m2g-1, 294.3 m2g-1 and 393.7 m2g-1, respectively. The DRS and PL spectra revealed that the Fe/Ca co-doped TiO2-SiO2 film had strong visible light adsorption and diminished electrons/holes recombination. Experimental results showed that the Fe/Ca co-doped TiO2-SiO2 film is effective in the degradation of OTC under both UV and visible light irradiation.

  17. On the structure, morphology, and optical properties of chemical bath deposited Sb2S3 thin films

    International Nuclear Information System (INIS)

    Krishnan, B.; Arato, A.; Cardenas, E.; Roy, T.K. Das; Castillo, G.A.

    2008-01-01

    In the present paper, we have reported the room temperature growth of antimony sulphide (Sb 2 S 3 ) thin films by chemical bath deposition and detailed characterization of these films. The films were deposited from a chemical bath containing SbCl 3 and Na 2 S 2 O 3 at 27 deg. C. We have analysed the structure, morphology, composition and optical properties of as deposited Sb 2 S 3 films as well as those subjected to annealing in nitrogen atmosphere or in air. As-deposited films are amorphous to X-ray diffraction (XRD). However, the diffused rings in the electron diffraction pattern revealed the existence of nanocrystalline grains in these films. XRD analysis showed that upon annealing in nitrogen atmosphere these films transformed into polycrystalline with orthorhombic structure. Also, we have observed that during heating in air, Sb 2 S 3 first converts into orthorhombic form and then further heating results in the formation of Sb 2 O 3 crystallites. Optical bandgap energy of as deposited and annealed films was evaluated from UV-vis absorption spectra. The values obtained were 2.57 and 1.73 eV for the as-deposited and the annealed films respectively

  18. Prediction of ultraviolet-induced damage during plasma processes in dielectric films using on-wafer monitoring techniques

    International Nuclear Information System (INIS)

    Ishikawa, Yasushi; Katoh, Yuji; Okigawa, Mitsuru; Samukawa, Seiji

    2005-01-01

    We measured electron-hole pairs generated in dielectric film using our developed on-wafer monitoring technique to detect electrical currents in the film during the plasma etching processes. The electron-hole pairs were generated by plasma induced ultraviolet (UV) photons, and the number of electron-hole pairs depends on the UV wavelength. In SiO 2 film, UV light, which has a wavelength of less than 140 nm, generates electron-hole pairs, because the band gap energy of the film is 8.8 eV. On the other hand, in Si 3 N 4 film, which has a band gap energy level of 5.0 eV, UV light below 250 nm induces the electron-hole pairs. Additionally, we evaluated the fluorocarbon gas plasma process that induces UV radiation damage using multilayer sensors that consisted of both SiO 2 and Si 3 N 4 stacked films. In these cases, electron-hole pair generation depended on the dielectric film structure. There were more electron-hole pairs generated in the SiO 2 deposited on the Si 3 N 4 film than in the Si 3 N 4 deposited on the SiO 2 film. As a result, our developed on-wafer monitoring sensor was able to predict electron-hole pair generation and the device characteristics

  19. Biocomposite of Cassava Starch Reinforced with Cellulose Pulp Fibers Modified with Deposition of Silica (SiO2 Nanoparticles

    Directory of Open Access Journals (Sweden)

    Joabel Raabe

    2015-01-01

    Full Text Available Eucalyptus pulp cellulose fibers were modified by the sol-gel process for SiO2 superficial deposition and used as reinforcement of thermoplastic starch (TPS. Cassava starch, glycerol, and water were added at the proportion of 60/26/14, respectively. For composites, 5% and 10% (by weight of modified and unmodified pulp fibers were added before extrusion. The matrix and composites were submitted to thermal stability, tensile strength, moisture adsorption, and SEM analysis. Micrographs of the modified fibers revealed the presence of SiO2 nanoparticles on fiber surface. The addition of modified fibers improved tensile strength in 183% in relation to matrix, while moisture adsorption decreased 8.3%. Such improvements were even more effective with unmodified fibers addition. This result was mainly attributed to poor interaction between modified fibers and TPS matrix detected by SEM analysis.

  20. Influence of solution deposition rate on properties of V_2O_5 thin films deposited by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Abd–Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-01-01

    Vanadium oxide (V_2O_5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl_3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V_2O_5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  1. Improved opto-electronic properties of silicon heterojunction solar cells with SiO x /Tungsten-doped indium oxide double anti-reflective coatings

    Science.gov (United States)

    Yu, Jian; Zhou, Jie; Bian, Jiantao; Zhang, Liping; Liu, Yucheng; Shi, Jianhua; Meng, Fanying; Liu, Jinning; Liu, Zhengxin

    2017-08-01

    Amorphous SiO x was prepared by plasma enhanced chemical vapor deposition (PECVD) to form SiO x /tungsten-doped indium oxide (IWO) double anti-reflective coatings for silicon heterojunction (SHJ) solar cell. The sheet resistance of SiO x /IWO stacks decreases due to plasma treatment during deposition process, which means thinner IWO film would be deposited for better optical response. However, the comparisons of three anti-reflective coating (ARC) structures reveal that SiO x film limits carier transport and the path of IWO-SiO x -Ag structure is non-conductive. The decrease of sheet resistance is defined as pseudo conductivity. IWO film capping with SiO x allows observably reduced reflectance and better response in 300-400 and 600-1200 nm wavelength ranges. Compared with IWO single ARC, the average reflection is reduced by 1.65% with 70 nm SiO x /80 nm IWO double anti-reflective coatings (DARCs) in 500-1200 nm wavelength range, leading to growing external quantum efficiency response, short circuit current density (J sc), and efficiency. After well optimization of SiO x /IWO stacks, an impressive efficiency of 23.08% is obtained with high J sc and without compromising open circuit voltage (V oc) and fill factor. SiO x /IWO DARCs provide better anti-reflective properties over a broad range of wavelength, showing promising application for SHJ solar cells.

  2. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Rachel L. Wilson

    2018-03-01

    Full Text Available Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes, at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  3. Periodically ordered meso – and macroporous SiO2 thin films and their induced electrochemical activity as a function of pore hierarchy

    Czech Academy of Sciences Publication Activity Database

    Sel, O.; Sallard, S.; Brezesinski, T.; Rathouský, Jiří; Dunphy, D. R.; Collord, A.; Smarsly, B. M.

    2007-01-01

    Roč. 17, č. 16 (2007), s. 3241-3250 ISSN 1616-301X Institutional research plan: CEZ:AV0Z40400503 Keywords : SiO2 * thin films * pore hierarchy * electrochemistry Subject RIV: CG - Electrochemistry Impact factor: 7.496, year: 2007

  4. Atomic layer deposition and properties of mixed Ta2O5 and ZrO2 films

    Directory of Open Access Journals (Sweden)

    Kaupo Kukli

    2017-02-01

    Full Text Available Thin solid films consisting of ZrO2 and Ta2O5 were grown by atomic layer deposition at 300 °C. Ta2O5 films doped with ZrO2, TaZr2.75O8 ternary phase, or ZrO2 doped with Ta2O5 were grown to thickness and composition depending on the number and ratio of alternating ZrO2 and Ta2O5 deposition cycles. All the films grown exhibited resistive switching characteristics between TiN and Pt electrodes, expressed by repetitive current-voltage loops. The most reliable windows between high and low resistive states were observed in Ta2O5 films mixed with relatively low amounts of ZrO2, providing Zr to Ta cation ratio of 0.2.

  5. Deposition and characterization of CuInSe2 thin films

    International Nuclear Information System (INIS)

    Dhere, N.G.; Ferreira, C.L.; Cruz, L.R.O.; Mattoso, I.G.; Alves, R.M.P.

    1988-01-01

    CuInSe 2 thin films with 1,3 to 1,7 μm of thickness were deposited by the constituent elements (copper, indium and selenium) in glass substrate. The producted films were characterized by scanning microscopy, X-ray diffraction, Auger electron spectroscopy, Hall effect measures and optical absorption. (C.G.C.) [pt

  6. Thermal annealing of amorphous Ti-Si-O thin films

    OpenAIRE

    Hodroj , Abbas; Chaix-Pluchery , Odette; Audier , Marc; Gottlieb , Ulrich; Deschanvres , Jean-Luc

    2008-01-01

    International audience; Ti-Si-O thin films were deposited using an aerosol chemical vapor deposition process at atmospheric pressure. The film structure and microstructure were analysed using several techniques before and after thermal annealing. Diffraction results indicate that the films remain X-ray amorphous after annealing whereas Fourier transform infrared spectroscopy gives evidence of a phase segregation between amorphous SiO2 and well crystallized anatase TiO2. Crystallization of ana...

  7. Transmission of reactive pulsed laser deposited VO{sub 2} films in the THz domain

    Energy Technology Data Exchange (ETDEWEB)

    Émond, Nicolas; Hendaoui, Ali; Ibrahim, Akram; Al-Naib, Ibraheem; Ozaki, Tsuneyuki; Chaker, Mohamed, E-mail: chaker@emt.inrs.ca

    2016-08-30

    Highlights: • Synthesis of vanadium dioxide (VO{sub 2}) thin films as a function of oxygen pressure (2–25 mTorr) using Reactive Pulsed Laser Deposition (RPLD). • Characterization of RPLD-grown VO{sub 2} thin films in the THz frequency range. • THz switches and/or sensors require VO{sub 2} films deposited at low oxygen pressure (i.e. low transition temperature, large amplitude contrast of THz transmission, narrow hysteresis width). • THz optical memory applications require VO{sub 2} films deposited at high oxygen pressure (broad hysteresis width). - Abstract: This work reports on the characteristics of the insulator-to-metal transition (IMT) of reactive pulsed laser deposited vanadium dioxide (VO{sub 2}) films in the terahertz (THz) frequency range, namely the transition temperature T{sub IMT}, the amplitude contrast of the THz transmission over the IMT ΔA, the transition sharpness ΔT and the hysteresis width ΔH. XRD analysis shows the sole formation of VO{sub 2} monoclinic structure with an enhancement of (011) preferential orientation when varying the O{sub 2} pressure (P{sub O2}) during the deposition process from 2 to 25 mTorr. THz transmission measurements as a function of temperature reveal that VO{sub 2} films obtained at low P{sub O2} exhibit low T{sub IMT}, large ΔA, and narrow ΔH. Increasing P{sub O2} results in VO{sub 2} films with higher T{sub IMT}, smaller ΔA, broader ΔH and asymmetric hysteresis loop. The good control of the VO{sub 2} IMT features in the THz domain could be further exploited for the development of advanced smart devices, such as ultrafast switches, modulators, memories and sensors.

  8. Antireflective bilayer coatings based on Al2O3 film for UV region

    Directory of Open Access Journals (Sweden)

    Marszałek Konstanty

    2015-03-01

    Full Text Available Bilayer antireflective coatings consisting of aluminium oxide Al2O3/MgF2 and Al2O3/SiO2 are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5 × 10-3 Pa in the presence of oxygen, and magnesium fluoride was prepared by thermal evaporation on heated optical lenses made from quartz glass (Corning HPFS. Substrate temperature was maintained at 250 _C during the deposition. Thickness and deposition rate were controlled with a thickness measuring system Inficon XTC/2. The experimental results of the optical measurements carried out during and after the deposition process have been presented. Physical thickness measurements were made during the deposition process and resulted in 44 nm/52 nm for Al2O3/MgF2 and 44 nm/50 nm for Al2O3/SiO2 system. Optimization was carried out for ultraviolet region with minimum of reflectance at 300 nm. The influence of post deposition annealing on the crystal structure was determined by X-ray measurements. In the range from ultraviolet to the beginning of visible region, the reflectance of both systems decreased and reached minimum at 290 nm. The value of reflectance at this point, for the coating Al2O3/MgF2 was equal to R290nm = 0.6 % and for Al2O3/SiO2R290nm = 1.1 %. Despite the difference between these values both are sufficient for applications in the UV optical systems for medicine and UV laser technology.

  9. Surface texture modification of spin-coated SiO2 xerogel thin films ...

    Indian Academy of Sciences (India)

    hydrolysis and condensation of tetraethylorthosilicate (TEOS) with ethanol as a solvent. Further, the deposited thin films were ... termed as hydrolysis and condensation that occur simultane- ously in the formation of silica films. ... rial leads to capillary tensions, which destroy the structure of silica (Naik and Ghosh 2009).

  10. Influences of ultra-thin Ti seed layers on the dewetting phenomenon of Au films deposited on Si oxide substrates

    Science.gov (United States)

    Kamiko, Masao; Kim, So-Mang; Jeong, Young-Seok; Ha, Jae-Ho; Koo, Sang-Mo; Ha, Jae-Geun

    2018-05-01

    The influences of a Ti seed layer (1 nm) on the dewetting phenomenon of Au films (5 nm) grown onto amorphous SiO2 substrates have been studied and compared. Atomic force microscopy results indicated that the introduction of Ti between the substrate and Au promoted the dewetting phenomenon. X-ray diffraction measurements suggested that the initial deposition of Ti promoted crystallinity of Au. A series of Auger electron spectroscopy and X-ray photoelectron spectroscopy results revealed that Ti transformed to a Ti oxide layer by reduction of the amorphous SiO2 substrate surface, and that the Ti seed layer remained on the substrate, without going through the dewetting process during annealing. We concluded that the enhancement of Au dewetting and the improvement in crystallinity of Au by the insertion of Ti could be attributed to the fact that Au location was changed from the surface of the amorphous SiO2 substrate to that of the Ti oxide layer.

  11. Fabrication of an a-IGZO thin film transistor using selective deposition of cobalt by the self-assembly monolayer (SAM) process.

    Science.gov (United States)

    Cho, Young-Je; Kim, HyunHo; Park, Kyoung-Yun; Lee, Jaegab; Bobade, Santosh M; Wu, Fu-Chung; Choi, Duck-Kyun

    2011-01-01

    Interest in transparent oxide thin film transistors utilizing ZnO material has been on the rise for many years. Recently, however, IGZO has begun to draw more attention due to its higher stability and superior electric field mobility when compared to ZnO. In this work, we address an improved method for patterning an a-IGZO film using the SAM process, which employs a cost-efficient micro-contact printing method instead of the conventional lithography process. After a-IGZO film deposition on the surface of a SiO2-layered Si wafer, the wafer was illuminated with UV light; sources and drains were then patterned using n-octadecyltrichlorosilane (OTS) molecules by a printing method. Due to the low surface energy of OTS, cobalt was selectively deposited on the OTS-free a-IGZO surface. The selective deposition of cobalt electrodes was successful, as confirmed by an optical microscope. The a-IZGO TFT fabricated using the SAM process exhibited good transistor performance: electric field mobility (micro(FE)), threshold voltage (V(th)), subthreshold slope (SS) and on/off ratio were 2.1 cm2/Vs, 2.4 V, 0.35 V/dec and 2.9 x 10(6), respectively.

  12. Atomic layer deposition of VO{sub 2} films with Tetrakis-dimethyl-amino vanadium (IV) as vanadium precursor

    Energy Technology Data Exchange (ETDEWEB)

    Lv, Xinrui [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China); Yan, Lu; Li, Ying; Song, Lixin [Key Laboratory of Inorganic Coating Materials CAS, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai 201800 (China)

    2017-02-28

    Highlights: • VO{sub 2} film was easily deposited by ALD using novel vanadium precursor V(NMe{sub 2}){sub 4}. • Deposition and annealing condition were systematically investigated. • Comparable transition properties of VO{sub 2} film on resistance and spectral transmittance were studied. - Abstract: VO{sub 2} thin films have been grown on Si(100) (VO{sub 2}/Si) and fused silica substrates (VO{sub 2}/SiO{sub 2}) by atomic layer deposition (ALD) using tetrakis-dimethyl-amino vanadium (IV) (TDMAV) as a novel vanadium precursor and water as reactant gas. The quartz crystal microbalance (QCM) measurement was performed to study the ALD process of VO{sub 2} thin film deposition, and a constant growth rate of about 0.95 Å/cycle was obtained at the temperature range of 150–200 °C. XRD measurement was performed to study the influence of deposition temperature and post-annealing condition on the crystallization of VO{sub 2} films, which indicated that the films deposited between 150 and 200 °C showed well crystallinity after annealing at 475 °C for 100 min in Ar atmosphere. XPS measurement verified that the vanadium oxidation state was 4+ for both as-deposited film and post-annealed VO{sub 2}/Si film. AFM was applied to study the surface morphology of VO{sub 2}/Si films, which showed a dense polycrystalline film with roughness of about 1 nm. The resistance of VO{sub 2}/Si films deposited between 150 °C and 200 °C as a function of temperature showed similar semiconductor-to-metal transition (SMT) characters with the transition temperature for heating branch (T{sub c,h}) of about 72 °C, a hysteresis width of about 10 °C and the resistance change of two orders of magnitude. The increase of T{sub c,h} compared with the bulk VO{sub 2} (68 °C) may be attributed to the tensile stress along the c-axis in the film. Transmittance measurement of VO{sub 2}/SiO{sub 2} films showed typical thermochromic property with a NIR switching efficiency of above 50% at 2 μm across

  13. Electrical characteristics of top contact pentacene organic thin film

    Indian Academy of Sciences (India)

    Organic thin film transistors (OTFTs) were fabricated using pentacene as the active layer with two different gate dielectrics, namely SiO2 and poly(methyl methacrylate) (PMMA), in top contact geometry for comparative studies. OTFTs with SiO2 as dielectric and gold deposited on the rough side of highly doped silicon (n+ -Si) ...

  14. Enhanced Photocatalytic Activity of ZrO2-SiO2 Nanoparticles by Platinum Doping

    Directory of Open Access Journals (Sweden)

    Mohammad W. Kadi

    2013-01-01

    Full Text Available ZrO2-SiO2 mixed oxides were prepared via the sol-gel method. Photo-assisted deposition was utilized for doping the prepared mixed oxide with 0.1, 0.2, 0.3, and 0.4 wt% of Pt. XRD spectra showed that doping did not result in the incorporation of Pt within the crystal structure of the material. UV-reflectance spectrometry showed that the band gap of ZrO2-SiO2 decreased from 3.04 eV to 2.48 eV with 0.4 wt% Pt doping. The results show a specific surface area increase of 20%. Enhanced photocatalysis of Pt/ZrO2-SiO2 was successfully tested on photo degradation of cyanide under illumination of visible light. 100% conversion was achieved within 20 min with 0.3 wt% of Pt doped ZrO2-SiO2.

  15. Effects of post-deposition oxygen annealing on tuning properties of Ba0.8Sr0.2TiO3 thin-film capacitors for microwave integrated circuits

    International Nuclear Information System (INIS)

    Liu, Y.R.; Lai, P.T.; Li, G.Q.; Li, B.; Peng, J.B.; Lo, H.B.

    2005-01-01

    Barium strontium titanate (BST) thin-films deposited on a SiO 2 /Si substrate by argon ion-beam sputtering technique were annealed at 400, 500 and 600 deg. C in oxygen for 30 min, respectively, and were used to fabricate integrated parallel-plate capacitors by standard integrated-circuit technology. These capacitors can achieve tunability greater than 60% at an applied dc voltage of 2 V and a frequency of 100 kHz at room temperature. Considering tunability, loss factor and hysteresis effect, the BST thin-film annealed at 500 deg. C is superior for making tunable microwave integrated capacitors. The effects of annealing treatment in oxygen on the tuning properties of the thin-film capacitors are analyzed, and the results indicate that the tunability is strongly dependent on both oxygen vacancies and negatively charged oxygen, trapped at the grain boundary and/or at the electrode/dielectric interface

  16. Properties of thin films deposited from HMDSO/O2 induced remote plasma: Effect of oxygen fraction

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.; Al-Khaled, B.

    2008-01-01

    Thin films deposited from hexamethyle disiloxane (HMDSO)/O 2 mixture excited in a radio-frequency hollow cathode discharge system have been investigated for their structural, optical and corrosive properties as a function of oxygen fraction χo 2 (χo 2 =0, 0.38, 0.61, 0.76 and 0.90). It is found that the effect of oxygen fraction on films properties is related to O 2 dissociation degree (αd) behavior in pure oxygen plasma. αd has been investigated by actinometry optical emission spectroscopy (AOES) combined with double langmuir probe measurements, a maximum of O 2 dissociation degree of 15% has been obtained for 50 sccm flow rate of O 2 (χo 2 =0.61 in HMDSO/O 2 plasma). Fourier transform infrared spectroscopy (FTIR) and optical measurements showed that the behavior of both identified IR group densities and deposition rate as a function of oxygen fraction is similar to that of O 2 dissociation degree. The inorganic nature of the films depends significantly on oxygen fraction, the best inorganic structure of deposited films has been obtained for 62% HMDSO content in the mixture HMDSO/O 2 (χo 2 =0.38). The refractive index for deposited films from pure HMDSO(χo 2 =0) has been found to be higher than that of films deposited from HMDSO/O 2 mixture. In HMDSO/O 2 plasma, it has a behavior similar to that of deposition rate, and it is comparable to that of quartz. The effect of oxygen fraction on the corrosive properties of thin films deposited on steel has been investigated. It is found that the measured corrosion current density in 0.1 M KCI solution decreases with the addition of O 2 to HMDSO plasma, and it is minimum for χo 2 =0.38. (author)

  17. Chemically deposited Sb{sub 2}S{sub 3} thin films for optical recording

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B [Facultad de IngenierIa Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P- 66450 (Mexico); O' Brien, J J; Liu, J, E-mail: bkrishnan@fime.uanl.m [Center for Nanoscience and Department of Chemistry and Biochemistry, University of Missouri-St. Louis, One Univ. Blvd., St. Louis, MO - 63121 (United States)

    2010-02-24

    Laser induced changes in the properties of Sb{sub 2}S{sub 3} thin films prepared by chemical bath deposition are described in this paper. Sb{sub 2}S{sub 3} thin films of thickness 550 nm were deposited from a solution containing SbCl{sub 3} and Na{sub 2}S{sub 2}O{sub 3} at 27 {sup 0}C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  18. Pulsed Laser deposition of Al2O3 thin film on silicon

    International Nuclear Information System (INIS)

    Lamagna, A.; Duhalde, S.; Correra, L.; Nicoletti, S.

    1998-01-01

    Al 2 O 3 thin films were fabricated by pulsed laser deposition (PLD) on Si 3 N 4 /Si, to improve the thermal and electrical isolation of gas sensing devices. The microstructure of the films is analysed as a function of the deposition conditions (laser fluence, oxygen pressure, target-substrate distance and substrate temperature). X-ray analysis shows that only a sharp peak that coincides with the corundum (116) reflection can be observed in all the films. But, when they are annealed at temperatures above 1,200 degree centigrade, a change in the crystalline structure of some films occurs. The stoichiometry and morphology of the films with and without thermal treatment are compared using environmental scanning electron microscopy (SEM) and EDAX analysis. (Author) 14 refs

  19. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S; Hamadeh, H

    2007-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups

  20. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Science.gov (United States)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2007-07-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 °C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  1. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M; Saloum, S; Hamadeh, H [Department of Physics, Atomic Energy Commission of Syria (AECS), PO Box 6091, Damascus (Syrian Arab Republic)

    2007-07-07

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups.

  2. Photoluminescence from PP-HMDSO thin films deposited using a remote plasma of 13.56 MHz hollow cathode discharge

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.; Hamadeh, H.

    2008-01-01

    Room temperature photoluminescence (PL) from plasma-polymerized hexamethyldisiloxane (PP-HMDSO) thin films deposited on silicon wafers has been investigated as a function of both the applied RF power and the monomer flow rate. Films were deposited in a low pressure-low temperature remote plasma ignited in a 13.56 MHz hollow cathode discharge reactor, using pure HMDSO as a monomer and Ar as a feed gas. The substrate temperature during the deposition was as low as 40 deg. C and the total pressure was about 0.03 mbar. Optical emission spectroscopy (OES) has been used as in situ tool for monitoring the different chemical species present in the plasma during deposition processes. The deposited PP-HMDSO films showed a strong, broad 'green/yellow' PL band. The RF power and the flow rate of the HMDSO monomer are found to have a significant impact on the PL intensity of the deposited film. The changes in the chemical bonding of the film as a function of deposition parameters have been investigated by using the Fourier transform infrared (FTIR) spectroscopic analysis and are related to PL and OES results. The 'green/yellow' PL band is ascribed to chemical groups and bonds of silicon, hydrogen and/or oxygen constituting the films, in particular, SiH, SiO bonds and silanol Si-O-H groups. (Authors)

  3. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  4. Pulsed-laser-deposited, single-crystalline Cu2O films with low resistivity achieved through manipulating the oxygen pressure

    Science.gov (United States)

    Liu, Xiaohui; Xu, Meng; Zhang, Xijian; Wang, Weiguang; Feng, Xianjin; Song, Aimin

    2018-03-01

    Low-resistivity, single-crystalline Cu2O films were realized on MgO (110) substrates through manipulating the oxygen pressure (PO2) of pulsed-laser deposition. X-ray diffraction and high resolution transmission electron microscopy measurements revealed that the films deposited at PO2 of 0.06 and 0.09 Pa were single phase Cu2O and the 0.09-Pa-deposited film exhibited the best crystallinity with an epitaxial relationship of Cu2O (110)∥MgO (110) with Cu2O (001)∥MgO (001). The pure phase Cu2O films exhibited higher transmittances and larger band gaps with an optical band gap of 2.56 eV obtained for the 0.09 Pa-deposited film. Hall-effect measurements demonstrated that the Cu2O film deposited at 0.09 Pa had the lowest resistivity of 6.67 Ω cm and highest Hall mobility of 23.75 cm2 v-1 s-1.

  5. Chromium carbide thin films deposited by ultra-short pulse laser deposition

    International Nuclear Information System (INIS)

    Teghil, R.; Santagata, A.; De Bonis, A.; Galasso, A.; Villani, P.

    2009-01-01

    Pulsed laser deposition performed by a laser with a pulse duration of 250 fs has been used to deposit films from a Cr 3 C 2 target. Due to the different processes involved in the laser ablation when it is performed by an ultra-short pulse source instead of a conventional short pulse one, it has been possible to obtain in vacuum films containing only one type of carbide, Cr 3 C 2 , as shown by X-ray photoelectron spectroscopy. On the other hand, Cr 3 C 2 is not the only component of the films, since a large amount of amorphous carbon is also present. The films, deposited at room temperature, are amorphous and seem to be formed by the coalescence of a large number of particles with nanometric size. The film composition can be explained in terms of thermal evaporation from particles ejected from the target.

  6. Origin of the n -type and p -type conductivity of MoS 2 monolayers on a SiO 2 substrate

    KAUST Repository

    Dolui, Kapildeb

    2013-04-02

    Ab initio density functional theory calculations are performed to study the electronic properties of a MoS2 monolayer deposited over a SiO 2 substrate in the presence of interface impurities and defects. When MoS2 is placed on a defect-free substrate, the oxide plays an insignificant role since the conduction band top and the valence band minimum of MoS2 are located approximately in the middle of the SiO2 band gap. However, if Na impurities and O dangling bonds are introduced at the SiO2 surface, these lead to localized states, which modulate the conductivity of the MoS2 monolayer from n- to p-type. Our results show that the conductive properties of MoS2 deposited on SiO 2 are mainly determined by the detailed structure of the MoS 2/SiO2 interface, and suggest that doping the substrate can represent a viable strategy for engineering MoS2-based devices. © 2013 American Physical Society.

  7. Microstructure and Transparent Super-Hydrophobic Performance of Vacuum Cold-Sprayed Al2O3 and SiO2 Aerogel Composite Coating

    Science.gov (United States)

    Li, Jie; Zhang, Yu; Ma, Kai; Pan, Xi-De; Li, Cheng-Xin; Yang, Guan-Jun; Li, Chang-Jiu

    2018-02-01

    In this study, vacuum cold spraying was used as a simple and fast way to prepare transparent super-hydrophobic coatings. Submicrometer-sized Al2O3 powder modified by 1,1,2,2-tetrahydroperfluorodecyltriethoxysilane and mixed with hydrophobic SiO2 aerogel was employed for the coating deposition. The deposition mechanisms of pure Al2O3 powder and Al2O3-SiO2 mixed powder were examined, and the effects of powder structure on the hydrophobicity and light transmittance of the coatings were evaluated. The results showed that appropriate contents of SiO2 aerogel in the mixed powder could provide sufficient cushioning to the deposition of submicrometer Al2O3 powder during spraying. The prepared composite coating surface showed rough structures with a large number of submicrometer convex deposited particles, characterized by being super-hydrophobic. Also, the transmittance of the obtained coating was higher than 80% in the range of visible light.

  8. Pulsed laser deposition of Tl-Ca-Ba-Cu-O films

    International Nuclear Information System (INIS)

    Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.

    1990-01-01

    Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs

  9. Tungsten deposition by hydrogen-atom reaction with tungsten hexafluoride

    International Nuclear Information System (INIS)

    Lee, W.W.

    1991-01-01

    Using gaseous hydrogen atoms with WF 6 , tungsten atoms can be produced in a gas-phase reaction. The atoms then deposit in a near-room temperature process, which results in the formation of tungsten films. The W atoms (10 10 -10 11 /cm 3 ) were measured in situ by atomic absorption spectroscopy during the CVD process. Deposited W films were characterized by Auger electron spectroscopy, Rutherford backscattering, and X-ray diffraction. The surface morphology of the deposited films and filled holes was studied using scanning electron microscopy. The deposited films were highly adherent to different substrates, such as Si, SiO 2 , Ti/Si, TiN/Si and Teflon. The reaction mechanism and kinetics were studied. The experimental results indicated that this method has three advantages compared to conventional CVD or PECVD: (1) film growth occurs at low temperatures; (2) deposition takes place in a plasma-free environment; and (3) a low level of impurities results in high-quality adherent films

  10. Highly conductive homoepitaxial Si-doped Ga2O3 films on (010) β-Ga2O3 by pulsed laser deposition

    Science.gov (United States)

    Leedy, Kevin D.; Chabak, Kelson D.; Vasilyev, Vladimir; Look, David C.; Boeckl, John J.; Brown, Jeff L.; Tetlak, Stephen E.; Green, Andrew J.; Moser, Neil A.; Crespo, Antonio; Thomson, Darren B.; Fitch, Robert C.; McCandless, Jonathan P.; Jessen, Gregg H.

    2017-07-01

    Si-doped Ga2O3 thin films were fabricated by pulsed laser deposition on semi-insulating (010) β-Ga2O3 and (0001) Al2O3 substrates. Films deposited on β-Ga2O3 showed single crystal, homoepitaxial growth as determined by high resolution transmission electron microscopy and x-ray diffraction. Corresponding films deposited on Al2O3 were mostly single phase, polycrystalline β-Ga2O3 with a preferred (20 1 ¯ ) orientation. An average conductivity of 732 S cm-1 with a mobility of 26.5 cm2 V-1 s-1 and a carrier concentration of 1.74 × 1020 cm-3 was achieved for films deposited at 550 °C on β-Ga2O3 substrates as determined by Hall-Effect measurements. Two orders of magnitude improvement in conductivity were measured using native substrates versus Al2O3. A high activation efficiency was obtained in the as-deposited condition. The high carrier concentration Ga2O3 thin films achieved by pulsed laser deposition enable application as a low resistance ohmic contact layer in β-Ga2O3 devices.

  11. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  12. Deposition of antimony telluride thin film by ECALE

    Institute of Scientific and Technical Information of China (English)

    GAO; Xianhui; YANG; Junyou; ZHU; Wen; HOU; Jie; BAO; Siqian; FAN; Xi'an; DUAN; Xingkai

    2006-01-01

    The process of Sb2Te3 thin film growth on the Pt substrate by electrochemical atomic layer epitaxy (ECALE) was studied. Cyclic voltammetric scanning was performed to analyze the electrochemical behavior of Te and Sb on the Pt substrate. Sb2Te3 film was formed using an automated flow deposition system by alternately depositing Te and Sb atomic layers for 400 circles. The deposited Sb2Te3 films were characterized by XRD, EDX, FTIR and FESEM observation. Sb2Te3 compound structure was confirmed by XRD pattern and agreed well with the results of EDX quantitative analysis and coulometric analysis. FESEM micrographs showed that the deposit was composed of fine nano particles with size of about 20 nm. FESEM image of the cross section showed that the deposited films were very smooth and dense with thickness of about 190 nm. The optical band gap of the deposited Sb2Te3 film was determined as 0.42 eV by FTIR spectroscopy, and it was blue shifted in comparison with that of the bulk Sb2Te3 single crystal due to its nanocrystalline microstructure.

  13. Excimer laser crystallization of InGaZnO4 on SiO2 substrate

    NARCIS (Netherlands)

    Chen, T.; Wu, M.Y.; Ishihara, R.; Nomura, K.; Kamiya, T.; Hosono, H.; Beenakker, C.I.M.

    2011-01-01

    In this paper, we were able to crystallize InGaZnO4 (IGZO) by excimer laser on SiO2 substrate. It was observed that uniform [0001] textured polycrystalline IGZO film has been obtained without any grain boundaries and oxygen vacancies on SiO2 substrate. This process is very promising in fabricating

  14. Influence of solution deposition rate on properties of V{sub 2}O{sub 5} thin films deposited by spray pyrolysis technique

    Energy Technology Data Exchange (ETDEWEB)

    Abd–Alghafour, N. M., E-mail: na2013bil@gmail.com [Iraqi Ministry of Education, Anbar (Iraq); Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, University Sains Malaysia,11800 Penang (Malaysia)

    2016-07-19

    Vanadium oxide (V{sub 2}O{sub 5}) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl{sub 3} in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V{sub 2}O{sub 5} film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  15. Antioxidant migration resistance of SiOx layer in SiOx/PLA coated film.

    Science.gov (United States)

    Huang, Chongxing; Zhao, Yuan; Su, Hongxia; Bei, Ronghua

    2018-02-01

    As novel materials for food contact packaging, inorganic silicon oxide (SiO x ) films are high barrier property materials that have been developed rapidly and have attracted the attention of many manufacturers. For the safe use of SiO x films for food packaging it is vital to study the interaction between SiO x layers and food contaminants, as well as the function of a SiO x barrier layer in antioxidant migration resistance. In this study, we deposited a SiO x layer on polylactic acid (PLA)-based films to prepare SiO x /PLA coated films by plasma-enhanced chemical vapour deposition. Additionally, we compared PLA-based films and SiO x /PLA coated films in terms of the migration of different antioxidants (e.g. t-butylhydroquinone [TBHQ], butylated hydroxyanisole [BHA], and butylated hydroxytoluene [BHT]) via specific migration experiments and then investigated the effects of a SiO x layer on antioxidant migration under different conditions. The results indicate that antioxidant migration from SiO x /PLA coated films is similar to that for PLA-based films: with increase of temperature, decrease of food simulant polarity, and increase of single-sided contact time, the antioxidant migration rate and amount in SiO x /PLA coated films increase. The SiO x barrier layer significantly reduced the amount of migration of antioxidants with small and similar molecular weights and similar physical and chemical properties, while the degree of migration blocking was not significantly different among the studied antioxidants. However, the migration was affected by temperature and food simulant. Depending on the food simulants considered, the migration amount in SiO x /PLA coated films was reduced compared with that in PLA-based films by 42-46%, 44-47%, and 44-46% for TBHQ, BHA, and BHT, respectively.

  16. Field electron emission characteristics of chemical vapour deposition diamond films with controlled sp2 phase concentration

    International Nuclear Information System (INIS)

    Lu, X.; Yang, Q.; Xiao, C.; Hirose, A.

    2008-01-01

    Diamond films were synthesized in a microwave plasma-enhanced chemical vapour deposition reactor. The microstructure and surface morphology of deposited films were characterized by Raman spectroscope and scanning electron microscope. The sp 2 phase concentration in diamond films was varied and its effect on the field electron emission (FEE) properties was investigated. Diamond films deposited under higher methane concentration exhibit better FEE property including lower turn-on electric field and larger emission current. The predominating factor modifying the FEE property is presumed to be the increase of sp 2 phase concentration. The influence of bias voltage on the FEE property of diamond films is not monotonic. Postgrowth acid treatment reduces the sp 2 phase content in diamond films without changing diamond grain sizes. The corresponding FEE property was degraded

  17. Mechanical and shape memory properties of ferromagnetic Ni2MnGa sputter-deposited films

    Science.gov (United States)

    Ohtsuka, M.; Matsumoto, M.; Itagaki, K.

    2003-10-01

    The ternary intermetallic compound Ni2MnGa is an intelligent material, which has a shape memory effect and a ferromagnetic property. Use of shape memory alloy films for an actuator of micro machines is very attractive because of its large recovery force. The data of mechanical and shape memory properties of the films are required to use for the actuator. The purpose of this study is to investigate the effects of fabrication conditions and to clarify the relationships between these properties and fabrication conditions of the Ni{2}MnGa films. The Ni{2}MnGa films were deposited with a radio-frequency magnetron sputtering apparatus using a Ni{50}Mn{25}Ga{25} or Ni{52}Mn{24}Ga{24} target. After deposition, the films were annealed at 873sim 1173 K. The asdeposited films were crystalline and had columnar grains. After the heat treatment, the grains widened and the grain boundary became indistinct with increasing heat treatment temperature. MnO and Ni{3} (Mn, Ga) precipitations were observed in the heat-treated films. The mechanical properties of the films were measured by the nanoindentation method. Hardness and elastic modulus of as-deposited films were larger than those of arcmelted bulk alloys. The hardness of the films was affected by the composition, crystal structure, microstructure and precipitation, etc. The elastic modulus of the films was also changed with the heat treatment conditions. The heat-treated films showed a thermal two-way shape memory effect.

  18. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  19. Reliability assessment of ultra-thin HfO{sub 2} films deposited on silicon wafer

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Wei-En [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Chang, Chia-Wei [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Chang, Yong-Qing [Center for Measurement Standards, Industrial Technology Research Institute, Room 216, Building 8, 321 Kuang Fu Road Sec. 2, Hsinchu, Taiwan (China); Yao, Chih-Kai [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China); Liao, Jiunn-Der, E-mail: jdliao@mail.ncku.edu.tw [Department of Materials Science and Engineering, National Cheng Kung University, 1 University Road, Tainan 70101, Taiwan (China)

    2012-09-01

    Highlights: Black-Right-Pointing-Pointer Nano-mechanical properties on annealed ultra-thin HfO{sub 2} film are studied. Black-Right-Pointing-Pointer By AFM analysis, hardness of the crystallized HfO{sub 2} film significantly increases. Black-Right-Pointing-Pointer By nano-indention, the film hardness increases with less contact stiffness. Black-Right-Pointing-Pointer Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO{sub 2}) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO{sub 2} films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO{sub 2} films deposited on silicon wafers (HfO{sub 2}/SiO{sub 2}/Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO{sub 2} (nominal thickness Almost-Equal-To 10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO{sub 2} phases for the atomic layer deposited HfO{sub 2}. The HfSi{sub x}O{sub y} complex formed at the interface between HfO{sub 2} and SiO{sub 2}/Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO{sub 2} film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically

  20. Investigation of various properties of HfO2-TiO2 thin film composites deposited by multi-magnetron sputtering system

    Science.gov (United States)

    Mazur, M.; Poniedziałek, A.; Kaczmarek, D.; Wojcieszak, D.; Domaradzki, J.; Gibson, D.

    2017-11-01

    In this work the properties of hafnium dioxide (HfO2), titanium dioxide (TiO2) and mixed HfO2-TiO2 thin films with various amount of titanium addition, deposited by magnetron sputtering were described. Structural, surface, optical and mechanical properties of deposited coatings were analyzed. Based on X-ray diffraction and Raman scattering measuremets it was observed that there was a significant influence of titanium concentration in mixed TiO2-HfO2 thin films on their microstructure. Increase of Ti content in prepared mixed oxides coatings caused, e.g. a decrease of average crystallite size and amorphisation of the coatings. As-deposited hafnia and titania thin films exhibited nanocrystalline structure of monoclinic phase and mixed anatase-rutile phase for HfO2 and TiO2 thin films, respectively. Atomic force microscopy investigations showed that the surface of deposited thin films was densely packed, crack-free and composed of visible grains. Surface roughness and the value of water contact angle decreased with the increase of Ti content in mixed oxides. Results of optical studies showed that all deposited thin films were well transparent in a visible light range. The effect of the change of material composition on the cut-off wavelength, refractive index and packing density was also investigated. Performed measurements of mechanical properties revealed that hardness and Young's elastic modulus of thin films were dependent on material composition. Hardness of thin films increased with an increase of Ti content in thin films, from 4.90 GPa to 13.7 GPa for HfO2 and TiO2, respectively. The results of the scratch resistance showed that thin films with proper material composition can be used as protective coatings in optical devices.

  1. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  2. Optical and electrical characterization of AgInS2 thin films deposited by spray pyrolysis

    International Nuclear Information System (INIS)

    Calixto-Rodriguez, M.; Martinez, H.; Calixto, M.E.; Pena, Y.; Martinez-Escobar, Dalia; Tiburcio-Silver, A.; Sanchez-Juarez, A.

    2010-01-01

    Silver indium sulfide (AgInS 2 ) thin films have been prepared by spray pyrolysis (SP) technique using silver acetate, indium acetate, and N, N-dimethylthiourea as precursor compounds. Films were deposited onto glass substrates at different substrate temperatures (T s ) and Ag:In:S ratios in the starting solutions. Optical transmission and reflection as well as electrical measurements were performed in order to study the effect of deposition parameters on the optical and electrical properties of AgInS 2 thin films. X-ray diffraction measurements were used to identify the deposited compounds. It was found that different compounds such as AgInS 2 , Ag 2 S, In 2 O 3 , and In 2 S 3 can be grown only by changing the Ag:In:S ratio in the starting solution and T s . So that, by carefully selecting the deposition parameters, single phase AgInS 2 thin films can be easily grown. Thin films obtained using a molar ratio of Ag:In:S = 1:1:2 and T s = 400 o C, have an optical band gap of 1.9 eV and n-type electrical conductivity with a value of 0.3 Ω -1 cm -1 in the dark.

  3. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  4. Liquid phase deposition of WO3/TiO2 heterojunction films with high photoelectrocatalytic activity under visible light irradiation

    International Nuclear Information System (INIS)

    Zhang, Man; Yang, Changzhu; Pu, Wenhong; Tan, Yuanbin; Yang, Kun; Zhang, Jingdong

    2014-01-01

    Highlights: • Liquid phase deposition is developed for preparing WO 3 /TiO 2 heterojunction films. • TiO 2 film provides an excellent platform for WO 3 deposition. • WO 3 expands the absorption band edge of TiO 2 film to visible light region. • WO 3 /TiO 2 heterojunction film shows high photoelectrocatalytic activity. - ABSTRACT: The heterojunction films of WO 3 /TiO 2 were prepared by liquid phase deposition (LPD) method via two-step processes. The scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopic analysis indicated that flower-like WO 3 film was successfully deposited on TiO 2 film with the LPD processes. The TiO 2 film provided an excellent platform for WO 3 deposition while WO 3 obviously expanded the absorption of TiO 2 film to visible light. As the result, the heterojunction film of WO 3 /TiO 2 exhibited higher photocurrent response to visible light illumination than pure TiO 2 or WO 3 film. The photoelectrocatalytic (PEC) activity of WO 3 /TiO 2 film was evaluated by degrading Rhodamin B (RhB) and 4-chlorophenol (4-CP) under visible light irradiation. The results showed that the LPD WO 3 /TiO 2 film possessed high PEC activity for efficient removal of various refractory organic pollutants

  5. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  6. Controllable deposition of gadolinium doped ceria electrolyte films by magnetic-field-assisted electrostatic spray deposition

    International Nuclear Information System (INIS)

    Ksapabutr, Bussarin; Chalermkiti, Tanapol; Wongkasemjit, Sujitra; Panapoy, Manop

    2013-01-01

    This paper describes a simple and low-temperature approach to fabrication of dense and crack-free gadolinium doped ceria (GDC) thin films with controllable deposition by a magnetic-field-assisted electrostatic spray deposition technique. The influences of external permanent magnets on the deposition of GDC films were investigated. The coating area deposited using two magnets with the same pole arrangement decreased in comparison with the case of no magnets, whereas the largest deposition area was obtained in the system of the opposite poles. Analysis of as-deposited films at 450 °C indicated the formation of uniform, smooth and dense thin films with a single-phase fluorite structure. The films produced in the system using same poles were thicker, smaller in crystallite size and smoother than those fabricated under other conditions. Additionally, the GDC film deposited using the same pole arrangement showed the maximum in electrical conductivity of about 2.5 × 10 −2 S/cm at a low operating temperature of 500 °C. - Highlights: • Magnetic-field-assisted electrostatic spray allows a controllable coating. • Dense, crack-free thin films were obtained at low process temperature of 450 °C. • Control of deposition, thickness and uniformity is easy to achieve simultaneously. • Films from the same pole were thicker, smaller in crystal size and smoother. • The maximum conductivity of doped ceria film was 2.5 × 10 −2 S/cm at 500 °C

  7. Preparation and characterization of Ge2Sb2Te5 phase change films on elastic substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Hongbing; Thelander, Erik; Benke, Julia; Rauschenbach, Bernd [Leibniz Institute of Surface Modification, 04318 Leipzig (Germany)

    2012-07-01

    Ge{sub 2}Sb{sub 2}Te{sub 5} (GST) thin films have attracted a great deal of interest as an active layer for data storage media due to its high switching rate and extremely good reversibility. Here we demonstrate the preparation of high-quality GST films on elastic polyimide substrates by pulsed laser deposition (PLD). The composition and chemical state of the films were investigated by energy dispersive X-ray spectroscopy (EDX) and X-ray photoelectron spectroscopy (XPS), respectively. The effect of annealing temperature on the crystalline nature of the films was also studied. As-deposited films were found to be amorphous. Crystalline phases with face-centered cubic and hexagonal structures appeared at 180 and 300 degrees, respectively. Importantly, no phase separation could be seen in the annealed films. Furthermore, reflectivity measurements were performed to characterize the as-deposited and annealed films, showing a high reflectivity contrast (up to 23%) between full crystalline and amorphous films. Our results indicate that PLD deposited GST film on polyimide substrate is a promising candidate for use in future flexible memory devices.

  8. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  9. Reactive pulsed laser deposition of Cu2ZnSnS4 thin films in H2S

    International Nuclear Information System (INIS)

    Surgina, G.D.; Zenkevich, A.V.; Sipaylo, I.P.; Nevolin, V.N.; Drube, W.; Teterin, P.E.; Minnekaev, M.N.

    2013-01-01

    Cu 2 ZnSnS 4 (CZTS) thin films have been grown by reactive pulsed laser deposition in H 2 S atmosphere, combining the alternate ablation from the metallic (Cu) and alloyed (Zn x Sn) targets at room temperature. The morphological, structural and optical properties of as grown CZTS thin films with varying compositions as well as upon annealing in N 2 atmosphere are investigated by Rutherford backscattering spectrometry, X-ray diffraction, Raman spectroscopy and optical spectrophotometry. The chemical bonding in the “bulk” of the CZTS films is elucidated via hard X-ray photoemission spectroscopy measurements. The formation of the good quality stoichiometric polycrystalline CZTS films is demonstrated upon optimization of the growth parameters. - Highlights: ► The new method of Cu 2 ZnSnS 4 (CZTS) thin films growth in H 2 S was realized. ► CZTS films were grown by pulsed laser deposition from Cu and alloyed Zn–Sn targets. ► The effect of the processing parameters on the CZTS properties was investigated. ► The chemical bonding in the “bulk” of CZTS films was studied

  10. Raman spectra of TiO2 thin films deposited electrochemically and by spray pyrolysis

    International Nuclear Information System (INIS)

    Shishiyanu, S.; Vartic, V.; Shishiyanu, T.; Stratan, Gh.; Rusu, E.; Zarrelli, M.; Giordano, M.

    2013-01-01

    In this paper we present our experimental results concerning the fabrication of TiO 2 thin films by spray pyrolysis and electrochemical deposition method onto different substrates - Corning glass, Si and optical fibers. The surface morphology of the TiO 2 thin films have been investigated by Atomic Force Microscopy. Raman shift spectra measurements have been done for the optical characterization of the fabricated titania thin films. The post-growth rapid photothermal processing (RPP) at temperatures of 100-800 degrees Celsius for 1-3 min have been applied. Our experimental results prove that by the application of post-growth RPP is possible to essentially improve the crystallinity of the deposited TiO 2 films. (authors)

  11. An economic CVD technique for pure SnO2 thin films deposition ...

    Indian Academy of Sciences (India)

    An economic CVD technique for pure SnO2 thin films deposition: Temperature effects ..... C are depicted in figure 7. It is observed that the cut-off wave- ... cating that the energy gap of the SnO2 films varies among. 3·54, 3·35 and 1·8 eV.

  12. CuInS{sub 2} thin films obtained through the annealing of chemically deposited In{sub 2}S{sub 3}-CuS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pena, Y., E-mail: yolapm@gmail.com [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba S/N, Ciudad Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico); Lugo, S. [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba S/N, Ciudad Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico); Calixto-Rodriguez, M. [Centro de Investigacion en Energia, Universidad Nacional Autonoma de Mexico, Privada Xochicalco S/N, Col Centro, 62580, Temixco, Morelos (Mexico); Vazquez, A.; Gomez, I.; Elizondo, P. [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba S/N, Ciudad Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico)

    2011-01-01

    In this work, we report the formation of CuInS{sub 2} thin films on glass substrates by heating chemically deposited multilayers of copper sulfide (CuS) and indium sulfide (In{sub 2}S{sub 3}) at 300 and 350 deg. C in nitrogen atmosphere at 10 Torr. CIS thin films were prepared by varying the CuS layer thickness in the multilayers with indium sulfide. The XRD analysis showed that the crystallographic structure of the CuInS{sub 2} (JCPDS 27-0159) is present on the deposited films. From the optical analysis it was estimated the band gap value for the CIS film (1.49 eV). The electrical conductivity varies from 3 x 10{sup -8} to 3 {Omega}{sup -1} cm{sup -1} depending on the thickness of the CuS film. CIS films showed p-type conductivity.

  13. Thermoelectric properties of V{sub 2}O{sub 5} thin films deposited by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Santos, R.; Loureiro, J., E-mail: joa.loureiro@gmail.com; Nogueira, A.; Elangovan, E.; Pinto, J.V.; Veiga, J.P.; Busani, T.; Fortunato, E.; Martins, R.; Ferreira, I., E-mail: imf@fct.unl.pt

    2013-10-01

    This work reports the structural, optical, electrical and thermoelectric properties of vanadium pentoxide (V{sub 2}O{sub 5}) thin films deposited at room temperature by thermal evaporation on Corning glass substrates. A post-deposition thermal treatment up to 973 K under atmospheric conditions induces the crystallization of the as-deposited amorphous films with an orthorhombic V{sub 2}O{sub 5} phase with grain sizes around 26 nm. As the annealing temperature rises up to 773 K the electrical conductivity increases. The films exhibit thermoelectric properties with a maximum Seebeck coefficient of −218 μV/K and electrical conductivity of 5.5 (Ω m){sup −1}. All the films show NIR-Vis optical transmittance above 60% and optical band gap of 2.8 eV.

  14. Combinatorial study of low-refractive Mg-F-Si-O nano-composites deposited by magnetron co-sputtering from compound targets

    Science.gov (United States)

    Mertin, Stefan; Länzlinger, Tony; Sandu, Cosmin S.; Scartezzini, Jean-Louis; Muralt, Paul

    2018-03-01

    Deposition of nano-composite Mg-F-Si-O films on optical grade silica glass was studied employing RF magnetron co-sputtering from magnesium fluoride (MgF2) and fused silica (SiO2) targets. The aim was to obtain a stable and reliable sputtering process for optical coatings exhibiting a refractive index lower than the one of quartz glass (1.46 at 550 nm) without adding gaseous fluorine to the deposition process. The two magnetrons were installed in a confocal way at 45° off-axis with respect to a static substrate, thus creating a lateral gradient in the thin-film composition. The deposited Mg-F-Si-O coatings were structurally analysed by electron dispersive X-ray spectroscopy (EDX), transmission electron microscopy (TEM) and scanning electron microscopy (SEM). The obtained films consist of MgF2 nanocrystals embedded in a SiO2-rich amorphous matrix. Spectroscopic ellipsometry and spectrophotometry measurements showed that they are highly transparent exhibiting a very-low extinction coefficient k and a refractive index n in the desired range between the one of MgF2 (1.38) and SiO2 (1.46). Films with n = 1.424 and 1.435 at 550 nm were accomplished with absorption below the detection threshold.

  15. Effect of N_2 flow rate on the properties of N doped TiO_2 films deposited by DC coupled RF magnetron sputtering

    International Nuclear Information System (INIS)

    Peng, Shou; Yang, Yong; Li, Gang; Jiang, Jiwen; Jin, Kewu; Yao, TingTing; Zhang, Kuanxiang; Cao, Xin; Wang, Yun; Xu, Genbao

    2016-01-01

    N doped TiO_2 films were deposited on glass substrates at room temperature using DC coupled RF magnetron sputtering with a TiO_2 ceramic target. The influences of N_2 flow rate on the deposition rate, crystal structure, chemical composition and band gap of the deposited films were investigated by Optical profiler, X-ray diffraction, X-ray photoelectron spectroscope and ultraviolet-visible spectrophotometer. The film growth rate gradually decreased with increasing N_2 flow rate. As N_2 flow rate increased, the crystallization of the films deteriorated, and the films tended to form amorphous structure. XPS analysis revealed that N dopant atoms were added at the substitutional sites into TiO_2 lattice structure. FE-SEM results showed that the grain size of the film decreased and the crystallinity degraded as N_2 flow rate increases. In addition, N doping caused an obvious red shift in the optical absorption edge. - Highlights: • N doped TiO_2 films were deposited by DC coupled RF magnetron reactive sputtering. • As N_2 flow rate increases, the crystallization of the deposited films degrades. • The higher N_2 flow rate is beneficial to form more substituted N in the film. • N doping causes an obvious red shift in the absorption wavelength.

  16. Development of CIGS2 thin film solar cells

    International Nuclear Information System (INIS)

    Dhere, Neelkanth G.; Gade, Vivek S.; Kadam, Ankur A.; Jahagirdar, Anant H.; Kulkarni, Sachin S.; Bet, Sachin M.

    2005-01-01

    Research and development of CuIn 1-x Ga x Se 2-y S y (CIGSS) thin-film solar cells on ultralightweight flexible metallic foil substrates is being carried out at FSEC PV Materials Lab for space applications. Earlier, the substrate size was limited to 3 cm x 2.5 cm. Large-area sputtering systems and scrubber for hydrogen selenide and sulfide have been designed and constructed for preparation of CIGSS thin-films on large (15 cm x 10 cm) substrates. A selenization/sulfurization furnace donated by Shell (formerly Siemens) Solar has also been refurbished and upgraded. The sputtering target assembly design was modified for proper clamping of targets and effective cooling. A new design of the magnetic assembly for large-area magnetron sputtering sources was implemented so as to achieve uniform deposition on large area. Lightweight stainless steel foil and ultralightweight titanium foil substrates were utilized to increase the specific power of solar cells. Sol-gel derived SiO 2 layers were coated on titanium foil by dip coating method. Deposition parameters for the preparation of molybdenum back contact layers were optimized so as to minimize the residual stress as well as reaction with H 2 S. Presently large (15 cm x 10 cm) CuIn 1-x Ga x S 2 (CIGS2) thin film solar cells are being prepared on Mo-coated titanium and stainless steel foil by sulfurization of CuGa/In metallic precursors in diluted Ar:H 2 S(4%). Heterojunction partner CdS layers are deposited by chemical bath deposition. The regeneration sequence of ZnO/ZnO:Al targets was optimized for obtaining consistently good-quality, transparent and conducting ZnO/ZnO:Al bilayer by RF magnetron-sputter deposition. Excellent facilities at FSEC PV Materials Lab are one of its kinds and could serve as a nucleus of a small pilot plant for CIGSS thin film solar cell fabrication

  17. Influence of complexing agent (Na2EDTA on chemical bath deposited Cu4SnS4 thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-08-01

    Full Text Available The quality of thin film is influenced by the presence of complexing agents such as Na2EDTA. The Cu4SnS4 thin films were deposited onto indium tin oxide glass substrate by chemical bath deposition method. The structural, morphological and optical properties of the deposited films have been studied using X-ray diffraction, atomic force microscopy and UV-Vis spectrophotometer, respectively. The XRD data showed that the films have a polycrystalline and orthorhombic structure. It also indicated that the most intense peak at 2 θ = 30.2° which belongs to (221 plane of Cu4 SnS4. The film deposited with 0.05 M Na2 EDTA showed good uniformity, good surface coverage with bigger grains and produced higher absorbance value. The band gap energy varies with the variation of Na2EDTA concentration which ranging from 1.56-1.60 eV. Deposition at concentration of 0.05 M Na2EDTA proved to offer a reasonably good Cu4SnS4 thin film.

  18. The mechanism of the nano-CeO2 films deposition by electrochemistry method as coated conductor buffer layers

    International Nuclear Information System (INIS)

    Lu, Yuming; Cai, Shuang; Liang, Ying; Bai, Chuanyi; Liu, Zhiyong; Guo, Yanqun; Cai, Chuanbing

    2015-01-01

    Highlights: • Crack-free CeO 2 film thicker than 200 nm was prepared on NiW substrate by ED method. • Different electrochemical processes as hydroxide/metal mechanisms were identified. • The CeO 2 precursor films deposited by ED method were in nano-scales. - Abstract: Comparing with conventional physical vapor deposition methods, electrochemistry deposition technique shows a crack suppression effect by which the thickness of CeO 2 films on Ni–5 at.%W substrate can reach a high value up to 200 nm without any cracks, make it a potential single buffer layer for coated conductor. In the present work, the processes of CeO 2 film deposited by electrochemistry method are detailed investigated. A hydroxide reactive mechanism and an oxide reactive mechanism are distinguished for dimethyl sulfoxide and aqueous solution, respectively. Before heat treatment to achieve the required bi-axial texture performance of buffer layers, the precursor CeO 2 films are identified in nanometer scales. The crack suppression for electrochemistry deposited CeO 2 films is believed to be attributed to the nano-effects of the precursors

  19. Ultraviolet emitting (Y1-xGd x)2O3-δ thin films deposited by radio frequency magnetron sputtering; structure-property-thin film processing relationships

    International Nuclear Information System (INIS)

    Fowlkes, J.D.; Fitz-Gerald, J.M.; Rack, P.D.

    2007-01-01

    The effects that the oxygen partial pressure, substrate temperature and annealing temperature have on the cathodoluminescence (CL) efficiency of radio frequency magnetron sputter deposited Gd-doped Y 2 O 3 thin films is investigated. Furthermore these sputtering parameters are correlated to the degree of crystallinity, the phases present (cubic (α) versus monoclinic (β) Y 2 O 3 ), and the stoichiometry of the thin films. Films deposited at room temperature (RT) did not CL, however, the films were activated by a post-deposition anneal at 1273 K for 6 h. Films deposited at 873 K had a very low CL efficiency which was significantly enhanced by a post-deposition anneal. For RT deposited films the external CL efficiency increased with increasing oxygen partial pressure for the range studied, however the opposite trend was observed for the 873 K deposited films. Examination of the morphology and grain size of the high temperature deposited films revealed that the average grain size increased with decreasing partial pressure and the observed increase in the external CL efficiency was attributed to enhanced anomalous diffraction. An intrinsic CL efficiency term was determined to circumvent the effects of the enhanced anomalous diffraction, and the CL efficiency was correlated to the integrated intensity of the (222) of the cubic α-Y 2 O 3 phase

  20. Effect of angle of deposition on micro-roughness parameters and optical properties of HfO{sub 2} thin films deposited by reactive electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Tokas, R.B., E-mail: tokasstar@gmail.com; Jena, S.; Thakur, S.; Sahoo, N.K.

    2016-06-30

    Oblique angle deposited oxide thin films, in which refractive index profiles can be tailored across depth by tuning their microstructure using varying angle of deposition, have opened up new dimensions in fabrication of optical interference devices. Since surface morphology plays an important role for the qualification of these thin film devices for optical or other applications, it is important to investigate morphological properties of obliquely deposited thin films. In the present work, a set of HfO{sub 2} thin films have been deposited at several oblique angles. Morphological parameters of such thin films viz., correlation length, intrinsic roughness, fractal spectral strength, etc., have been determined through suitable modelling of extended power spectral density measured by atomic force microscopy. It has been found that intrinsic roughness and fractal spectral strength show an interesting behaviour with deposition angle and the same has been discussed in the light of atomic shadowing and re-emission and diffusion of ad-atoms. Further refractive index and thickness of such thin films have been estimated from optical transmission spectra through suitable modelling. Refractive index of such thin film varies from 1.93 to 1.37 as the deposition angle varies from normal to glancing angle (80°). Further, refractive index and grain size depict an opposite trend with deposition angle. This variation has been explained in terms of varying film porosity and column slanting with angle of deposition. - Highlights: • HfO{sub 2} thin films deposited at several oblique angles • Film deposited at 80° exhibits the highest grain size and intrinsic roughness (σ). • Fractal strength and σ depict an interesting trend with angle of deposition. • Refractive index and grain size depict an opposite trend with angle of deposition.

  1. Analysis of intensities of positive and negative ion species from silicon dioxide films using time-of-flight secondary ion mass spectrometry and electronegativity of fragments

    International Nuclear Information System (INIS)

    Chiba, Kiyoshi

    2010-01-01

    Intensities of positive and negative ion species emitted from thermally oxidized and plasma-enhanced chemical vapor deposited (PECVD) SiO 2 films were analyzed using time-of-flight secondary ion mass spectrometry (TOF-SIMS) and the Saha-Boltzmann equation. Intensities of positive and negative secondary ion species were normalized to those of 28 Si + and 28 Si - ions, respectively, and an effective temperature of approximately (7.2 ± 0.1) x 10 3 K of the sputtered region bombarded with pulsed 22 kV Au 3 + primary ions was determined. Intensity spectra showed polarity dependence on both n and m values of Si n O m fragments, and a slight shift to negative polarity for PECVD SiO 2 compared to thermally oxidized SiO 2 films. By dividing the intensity ratios of negative-to-positive ions for PECVD SiO 2 by those for thermally oxidized SiO 2 films to cancel statistical factors, the difference in absolute electronegativity (half the sum of ionization potential and electron affinity of fragments) between both films was obtained. An increase in electronegativity for SiO m (m = 1, 2) and Si 2 O m (m = 1-4) fragments for PECVD SiO 2 films compared to thermally oxidized films was obtained to be 0.1-0.2 Pauling units, indicating a more covalent nature of Si-O bonds for PECVD SiO 2 films compared to the thermally oxidized SiO 2 films.

  2. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  3. F-doped SnO2 thin films grown on flexible substrates at low temperatures by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, H.; Auyeung, R.C.Y.; Pique, A.

    2011-01-01

    Fluorine-doped tin oxide (SnO 2 :F) films were deposited on polyethersulfone plastic substrates by pulsed laser deposition. The electrical and optical properties of the SnO 2 :F films were investigated as a function of deposition conditions such as substrate temperature and oxygen partial pressure during deposition. High quality SnO 2 :F films were achieved under an optimum oxygen pressure range (7.4-8 Pa) at relatively low growth temperatures (25-150 deg. C). As-deposited films exhibited low electrical resistivities of 1-7 mΩ-cm, high optical transmittance of 80-90% in the visible range, and optical band-gap energies of 3.87-3.96 eV. Atomic force microscopy measurements revealed a reduced root mean square surface roughness of the SnO 2 :F films compared to that of the bare substrates indicating planarization of the underlying substrate.

  4. Thermal expansion coefficients of obliquely deposited MgF2 thin films and their intrinsic stress.

    Science.gov (United States)

    Jaing, Cheng-Chung

    2011-03-20

    This study elucidates the effects of columnar angles and deposition angles on the thermal expansion coefficients and intrinsic stress behaviors of MgF2 films with columnar microstructures. The behaviors associated with temperature-dependent stresses in the MgF2 films are measured using a phase-shifting Twyman-Green interferometer with a heating stage and the application of a phase reduction algorithm. The thermal expansion coefficients of MgF2 films at various columnar angles were larger than those of glass substrates. The intrinsic stress in the MgF2 films with columnar microstructures was compressive, while the thermal stress was tensile. The thermal expansion coefficients of MgF2 films with columnar microstructures and their intrinsic stress evidently depended on the deposition angle and the columnar angle.

  5. Strong piezoelectricity in single-layer graphene deposited on SiO2 grating substrates.

    Science.gov (United States)

    da Cunha Rodrigues, Gonçalo; Zelenovskiy, Pavel; Romanyuk, Konstantin; Luchkin, Sergey; Kopelevich, Yakov; Kholkin, Andrei

    2015-06-25

    Electromechanical response of materials is a key property for various applications ranging from actuators to sophisticated nanoelectromechanical systems. Here electromechanical properties of the single-layer graphene transferred onto SiO2 calibration grating substrates is studied via piezoresponse force microscopy and confocal Raman spectroscopy. The correlation of mechanical strains in graphene layer with the substrate morphology is established via Raman mapping. Apparent vertical piezoresponse from the single-layer graphene supported by underlying SiO2 structure is observed by piezoresponse force microscopy. The calculated vertical piezocoefficient is about 1.4 nm V(-1), that is, much higher than that of the conventional piezoelectric materials such as lead zirconate titanate and comparable to that of relaxor single crystals. The observed piezoresponse and achieved strain in graphene are associated with the chemical interaction of graphene's carbon atoms with the oxygen from underlying SiO2. The results provide a basis for future applications of graphene layers for sensing, actuating and energy harvesting.

  6. Photoelectrocatalytic activity of liquid phase deposited α-Fe2O3 films under visible light illumination

    International Nuclear Information System (INIS)

    Zhang, Man; Pu, Wenhong; Pan, Shichang; Okoth, Otieno Kevin; Yang, Changzhu; Zhang, Jingdong

    2015-01-01

    Liquid phase deposition (LPD) technique was employed to prepare α-Fe 2 O 3 films for photoelectrocatalytic degradation of pollutants. The obtained LPD films were characterized by various surface analysis techniques such as X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) and X-ray photoelectron spectroscopy (XPS). The results indicated that α-Fe 2 O 3 films with porous structure were successfully deposited on the titanium substrates by the LPD process. The UV–Visible diffuse reflectance spectroscopic (DRS) analysis showed that the obtained LPD α-Fe 2 O 3 film mainly absorbed visible light, which was advantageous to the utilization of solar energy. Under visible light illumination, the Fe 2 O 3 film electrodes exhibited sensitive photocurrent responses, which were affected by the calcination temperature. Consistent with the photocurrent analysis, the α-Fe 2 O 3 film calcined at 600 °C showed the best photoelectrocatalytic performance, and different organic pollutants such as methyl orange (MO) and p-nitrophenol (PNP) were effectively degraded over the LPD film electrode by photoelectrocatalytic treatment under visible light illumination. - Highlights: • α-Fe 2 O 3 film is prepared by liquid phase deposition process. • LPD α-Fe 2 O 3 film has a porous structure and absorbs visible light. • Calcination temperature shows a significant effect on the PEC performance of α-Fe 2 O 3 film. • α-Fe 2 O 3 film is efficient for photoelectrocatalytic degradation of pollutants

  7. Structural properties of In2Se3 precursor layers deposited by spray pyrolysis and physical vapor deposition for CuInSe2 thin-film solar cell applications

    International Nuclear Information System (INIS)

    Reyes-Figueroa, P.; Painchaud, T.; Lepetit, T.; Harel, S.; Arzel, L.; Yi, Junsin; Barreau, N.; Velumani, S.

    2015-01-01

    The structural properties of In 2 Se 3 precursor thin films grown by chemical spray pyrolysis (CSP) and physical vapor deposition (PVD) methods were compared. This is to investigate the feasibility to substitute PVD process of CuInSe 2 (CISe) films by CSP films as precursor layer, thus decreasing the production cost by increasing material-utilization efficiency. Both films of 1 μm thickness were deposited at the same substrate temperature of 380 °C. X-ray diffraction and Raman spectra confirm the formation of γ-In 2 Se 3 crystalline phase for both films. The PVD and CSP films exhibited (110) and (006) preferred orientations, respectively. The PVD films showed a smaller full width at half maximum value (0.09°) compared with CSP layers (0.1°). Films with the same crystalline phase but with different orientations are normally used in the preparation of high quality CISe films by 3-stage process. Scanning electron microscope cross-section images showed an important difference in grain size with well-defined larger grains of size 1–2 μm in the PVD films as compared to CSP layers (600 nm). Another important characteristic that differentiates the two precursor films is the oxygen contamination. X-ray photoelectron spectroscopy showed the presence of oxygen in CSP films. The oxygen atoms could be bonded to indium by replacing Se vacancies, which are formed during CSP deposition. Taking account of the obtained results, such CSP films can be used as precursor layer in a PVD process in order to produce CISe absorber films. - Highlights: • To find the intricacies involved in spray pyrolysis (CSP) and physical vapor (PVD) deposition. • Comparison of CSP and PVD film formations — especially in structural properties. • Feasibility to substitute CSP (cheaper) films for PVD in the manufacturing process. • Decreasing the global production cost of Cu(In,Ga)Se 2 devices in the 3-stage process

  8. Room-Temperature Voltage Stressing Effects on Resistive Switching of Conductive-Bridging RAM Cells with Cu-Doped SiO2 Films

    Directory of Open Access Journals (Sweden)

    Jian-Yang Lin

    2014-01-01

    Full Text Available SiO2 or Cu-doped SiO2 (Cu:SiO2 insulating films combined with Cu or W upper electrodes were constructed on the W/Si substrates to form the conductive-bridging RAM (CB-RAM cells. The CB-RAMs were then subjected to a constant-voltage stressing (CVS at room temperature. The experimental results show that the room-temperature CVS treatment can effectively affect the current conduction behavior and stabilize the resistive switching of the memory cells. After the CVS, the current conduction mechanisms in the high resistance state during the set process of the Cu/Cu:SiO2/W cell can be changed from Ohm’s law and the space charge limited conduction to Ohm’s law, the Schottky emission, and the space charge limited conduction. Presumably, it is due to the breakage of the conduction filaments during the CVS treatment that the conduction electrons cannot go back to the back electrode smoothly.

  9. Fast electrochemical deposition of Ni(OH)2 precursor involving water electrolysis for fabrication of NiO thin films

    Science.gov (United States)

    Koyama, Miki; Ichimura, Masaya

    2018-05-01

    Ni(OH)2 precursor films were deposited by galvanostatic electrochemical deposition (ECD), and NiO thin films were fabricated by annealing in air. The effects of the deposition current densities were studied in a range that included current densities high enough to electrolyze water and generate hydrogen bubbles. The films fabricated by ECD involving water electrolysis had higher transparency and smoother surface morphology than those deposited with lower current densities. In addition, the annealed NiO films clearly had preferred (111) orientation when the deposition was accompanied by water electrolysis. p-type conduction was confirmed for the annealed films.

  10. Low temperature magnetron sputter deposition of polycrystalline silicon thin films using high flux ion bombardment

    International Nuclear Information System (INIS)

    Gerbi, Jennifer E.; Abelson, John R.

    2007-01-01

    We demonstrate that the microstructure of polycrystalline silicon thin films depends strongly on the flux of low energy ions that bombard the growth surface during magnetron sputter deposition. The deposition system is equipped with external electromagnetic coils which, through the unbalanced magnetron effect, provide direct control of the ion flux independent of the ion energy. We report the influence of low energy ( + on the low temperature ( + ions to silicon neutrals (J + /J 0 ) during growth by an order of magnitude (from 3 to 30) enables the direct nucleation of polycrystalline Si on glass and SiO 2 coated Si at temperatures below 400 degree sign C. We discuss possible mechanisms for this enhancement of crystalline microstructure, including the roles of enhanced adatom mobility and the formation of shallow, mobile defects

  11. Electronic and surface properties of pentacene films deposited on SiO{sub 2} prepared by the sol–gel and thermally grown methods

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Chi-Jie [Department of Physics, National Changhua University of Education, Changhua 500, Taiwan (China); Tsao, Hou-Yen [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Lin, Yow-Jon, E-mail: rzr2390@yahoo.com.tw [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Liu, Day-Shan [Graduate Institute of Electro-Optical and Materials Science, National Formosa University, Huwei 632, Taiwan (China)

    2014-02-03

    This study investigates the effect of different types of SiO{sub 2} on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO{sub 2} surfaces than sol–gel SiO{sub 2} surfaces, suggesting that the thermally grown SiO{sub 2} dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO{sub 2} dielectrics is higher than that in pentacene on sol–gel SiO{sub 2} dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO{sub 2} was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films.

  12. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  13. In-situ observation of equilibrium transitions in Ni films; agglomeration and impurity effects.

    Science.gov (United States)

    Thron, Andrew M; Greene, Peter; Liu, Kai; van Benthem, Klaus

    2014-02-01

    Dewetting of ultra-thin Ni films deposited on SiO2 layers was observed, in cross-section, by in situ scanning transmission electron microscopy. Holes were observed to nucleate by voids which formed at the Ni/SiO2 interface rather than at triple junctions at the free surface of the Ni film. Ni islands were observed to retract, in attempt to reach equilibrium on the SiO2 layer. SiO2 layers with 120 nm thickness were found to limit in situ heating experiments due to poor thermal conductivity of SiO2. The formation of graphite was observed during the agglomeration of ultra-thin Ni films. Graphite was observed to wet both the free surface and the Ni/SiO2 interface of the Ni islands. Cr forms surface oxide layers on the free surface of the SiO2 layer and the Ni islands. Cr does not prevent the dewetting of Ni, however it will likely alter the equilibrium shape of the Ni islands. © 2013 Published by Elsevier B.V.

  14. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    Science.gov (United States)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  15. Rapid processing method for solution deposited YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P

    2004-02-01

    YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm{sup 2} current density (J{sub c}) YBCO films, from multiple hours to {approx}20 s in atmospheric pressure air. High quality, {approx}0.2 {mu}m thick YBCO films with J{sub c} (77 K) values {>=}2 MA/cm{sup 2} at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO{sub 3}. This process has also enabled J{sub c} (77 K)=1.1 MA/cm{sup 2} YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable {approx}$10/kA m solution deposited YBCO coated conductor wires.

  16. Formation of β-FeSi 2 thin films by partially ionized vapor deposition

    Science.gov (United States)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of β-FeSi 2 thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of β-FeSi 2 films deposited on Si substrates. It was confirmed that β-FeSi 2 can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of β-FeSi 2 depends strongly on the content and the acceleration energy of ions.

  17. TiO2 anatase thin films deposited by spray pyrolysis of an aerosol of titanium diisopropoxide

    International Nuclear Information System (INIS)

    Conde-Gallardo, A.; Guerrero, M.; Castillo, N.; Soto, A.B.; Fragoso, R.; Cabanas-Moreno, J.G.

    2005-01-01

    Titanium dioxide thin films were deposited on crystalline silicon (100) and fused quartz substrates by spray pyrolysis (SP) of an aerosol, generated ultrasonically, of titanium diisopropoxide. The evolution of the crystallization, studied by X-ray diffraction (XRD), atomic force (AFM) and scanning electron microscopy (SEM), reflection and transmission spectroscopies, shows that the deposition process is nearly close to the classical chemical vapor deposition (CVD) technique, producing films with smooth surface and good crystalline properties. At deposition temperatures below 400 deg. C, the films grow in amorphous phase with a flat surface (roughness∼0.5 nm); while for equal or higher values to this temperature, the films develop a crystalline phase corresponding to the TiO 2 anatase phase and the surface roughness is increased. After annealing at 750 deg. C, the samples deposited on Si show a transition to the rutile phase oriented in (111) direction, while for those films deposited on fused quartz no phase transition is observed

  18. Morphology, composition and electrical properties of SnO{sub 2}:Cl thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Hsyi-En, E-mail: sean@mail.stust.edu.tw; Wen, Chia-Hui; Hsu, Ching-Ming [Department of Electro-Optical Engineering, Southern Taiwan University of Science and Technology, Tainan 71005, Taiwan (China)

    2016-01-15

    Chlorine doped SnO{sub 2} thin films were prepared using atomic layer deposition at temperatures between 300 and 450 °C using SnCl{sub 4} and H{sub 2}O as the reactants. Composition, structure, surface morphology, and electrical properties of the as-deposited films were examined. Results showed that the as-deposited SnO{sub 2} films all exhibited rutile structure with [O]/[Sn] ratios between 1.35 and 1.40. The electrical conductivity was found independent on [O]/[Sn] ratio but dependent on chlorine doping concentration, grain size, and surface morphology. The 300 °C-deposited film performed a higher electrical conductivity of 315 S/cm due to its higher chlorine doping level, larger grain size, and smoother film surface. The existence of Sn{sup 2+} oxidation state was demonstrated to minimize the effects of chlorine on raising the electrical conductivity of films.

  19. Fabrication of a Transparent Anti-stain Thin Film Using an Atmospheric Pressure Cold Plasma Deposition System

    Directory of Open Access Journals (Sweden)

    Suzaki Y.

    2013-08-01

    Full Text Available Recently, outdoor-constructed solar panels have a problem such as power generation efficiency is reduced by the face plate dirt. On the other hand, electronic touch panels have a problem such as deterioration of visibility of the screen by finger grease stain. To solve these problems, we need to fabricate the anti-stain surfaces which have superhydrophobic and oil-repellent abilities without spoiling the transparency of the transparent substrate. In this study, we fabricated lotus leaves like surface on a glass substrate. Firstly, SiO2 particles of ca. 100 nm diameter were arranged on the glass substrates. Secondly, to obtain the fractal-like structure (ultra-micro-rough structure on the surface, ZnO thin film having a columnar structure was fabricated on the SiO2 particles by using an atmospheric pressure cold plasma deposition system. By using these processes, the ZnO columns formed radiantly on the spherical surface of the SiO2 particles. Furthermore, without spoiling the ultra-micro-rough structure, a transparent anti-stain monolayer with low surface energy was prepared by using a chemical adsorption technique onto the surface. Average value of the water droplet contact angles of the samples fabricated was 151.8 deg. Field emission scanning electron microscope (FE-SEM observation reviled that this sample has a raspberry structure in which columnar structure has grown radially on the SiO2 particles.

  20. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  1. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  2. Effects of annealing on the properties of atomic layer deposited Ru thin films deposited by NH{sub 3} and H{sub 2} as reactants

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Joon; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr

    2016-08-01

    Atomic layer deposition (ALD) of Ru using a non-oxidizing reactant is indispensable considering its application as a seed layer for Cu electroplating and a bottom electrode for dynamic random access memory capacitors. In this study, ALD-Ru films were deposited using a sequential supply of dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C{sub 16}H{sub 22}O{sub 6}Ru) and potential non-oxidizing reducing agents, NH{sub 3} or H{sub 2}, as the reactants at a substrate temperature of 250 °C, and the effects of post-annealing in a H{sub 2} ambient on the film properties were investigated. The highly conformal deposition of Ru films was possible using the present reaction scheme but its resistivity was as high as ~ 750 μΩ-cm due to carbon incorporation into the film and the formation of an amorphous structure. Low temperature annealing at 300 °C at H{sub 2} ambient after deposition was found to improve the properties significantly in terms of the resistivity, impurities contents and crystallinity. For example, the film resistivity was decreased drastically to ~ 40 μΩ-cm with both the release of C in the film and crystallization after annealing based on secondary ion mass spectrometry and transmission electron microscopy, whereas perfect step coverage at a very small-sized dual trench (aspect ratio: ~ 3, the top opening size of 45 nm and bottom size of 20 nm) was maintained after annealing. - Highlights: • Ru thin films were deposited by atomic layer deposition (ALD) using NH{sub 3} and H{sub 2} molecules. • Effects of low temperature (300 °C) post-annealing on the film properties were investigated. • Post annealing improved the properties of ALD-Ru films. • Perfect step coverage of ALD-Ru was confirmed at trench structure (top opening width: 45 nm).

  3. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  4. Amorphous SiO {sub x} nanowires grown on silicon (100) substrates via rapid thermal process of nanodiamond films

    Energy Technology Data Exchange (ETDEWEB)

    Liang Xingbo [State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Wang Lei [State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Yang Deren [State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China)]. E-mail: mseyang@zju.edu.cn

    2006-05-01

    Rapid thermal process (RTP) has been carried out on the deposited nanocrystalline diamond (NCD) films. The RTP treatments performed at 800 and 1200 deg. C have been shown to exert prominent influence on the morphology and structure of the NCD films. The loss of material at grain boundaries has been observed at both 800 and 1200 deg. C RTP treatments. Large-scale amorphous SiO {sub x} nanowires with diameters of 30-50 nm and length up to 10 {mu}m were synthesized after RTP treatment at 1200 deg. C for 60 s. The synthesized nanowires were characterized in detail by scanning electron microscopy, transmission electron microscopy, selected area electron diffraction and energy-dispersed X-ray spectrometry analysis. A possible growth mechanism has been proposed to explain the observed phenomenon.

  5. Two mechanisms of crater formation in ultraviolet-pulsed-laser irradiated SiO2 thin films with artificial defects

    International Nuclear Information System (INIS)

    Papernov, S.; Schmid, A.W.

    2005-01-01

    Atomic force microscopy was employed to investigate the morphology of ultraviolet nanosecond-pulsed-laser damage in SiO 2 thin films. Gold nanoparticles, 18.5-nm diameter, embedded in the film were used as calibrated absorbing defects. Damage-crater diameter, depth, and cross-sectional profiles were measured as a function of laser fluence and the lodging depth of gold nanoparticles. The results indicate that, at laser fluences close to the crater-formation threshold and for lodging depths of a few particle diameters, the dominating regime of the material removal is melting and evaporation. The morphology of craters initiated by deep absorbing defects, with a lodging depth larger than ∼10 particle diameters, clearly points to a two-stage material-removal mechanism. The process starts with the material melting within the narrow channel volume and, upon temperature and pressure buildup, film fracture takes place. Crater-diameter variation with lodging depth and laser fluence is compared with theoretical predictions

  6. An amorphous Si-O film tribo-induced by natural hydrosilicate powders on ferrous surface

    International Nuclear Information System (INIS)

    Zhang, Baosen; Xu, Binshi; Xu, Yi; Ba, Zhixin; Wang, Zhangzhong

    2013-01-01

    The tribological properties of surface-coated serpentine powders suspended in oil were evaluated using an Optimal SRV-IV oscillating friction and wear tester. The worn surface and the tribo-induced protective film were characterized by scanning electron microscope and focused ion beam (SEM/FIB) work station, energy dispersive spectroscopy (EDS) and transmission electron microscope (TEM). Results indicate that with 0.5 wt% addition of serpentine powders to oil, the friction coefficient and wear rate significantly decrease referenced to those of the base oil alone. An amorphous SiO x film with amorphous SiO x particles inserted has formed on the worn surface undergoing the interactions between serpentine particles and friction surfaces. The protective film with excellent lubricating ability and mechanical properties is responsible for the reduced friction and wear.

  7. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  8. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  9. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  10. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  11. Controllable nitrogen doping in as deposited TiO{sub 2} film and its effect on post deposition annealing

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Shaoren; Devloo-Casier, Kilian; Devulder, Wouter; Dendooven, Jolien; Deduytsche, Davy; Detavernier, Christophe, E-mail: Christophe.Detavernier@ugent.be [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Gent (Belgium); Verbruggen, Sammy W. [Department of Bio-Engineering Sciences, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp, Belgium and Center for Surface Chemistry and Catalysis, KU Leuven, Kasteelpark Arenberg 23, B-3001 Heverlee (Belgium); Lenaerts, Silvia [Department of Bio-Engineering Sciences, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Martens, Johan A. [Center for Surface Chemistry and Catalysis, KU Leuven, Kasteelpark Arenberg 23, B-3001 Heverlee (Belgium); Van den Berghe, Sven [SCK-CEN, Boeretang 200, B-2400 Mol (Belgium)

    2014-01-15

    In order to narrow the band gap of TiO{sub 2}, nitrogen doping by combining thermal atomic layer deposition (TALD) of TiO{sub 2} and plasma enhanced atomic layer deposition (PEALD) of TiN has been implemented. By altering the ratio between TALD TiO{sub 2} and PEALD TiN, the as synthesized TiO{sub x}N{sub y} films showed different band gaps (from 1.91 eV to 3.14 eV). In situ x-ray diffraction characterization showed that the crystallization behavior of these films changed after nitrogen doping. After annealing in helium, nitrogen doped TiO{sub 2} films crystallized into rutile phase while for the samples annealed in air a preferential growth of the anatase TiO{sub 2} along (001) orientation was observed. Photocatalytic tests of the degradation of stearic acid were done to evaluate the effect of N doping on the photocatalytic activity.

  12. Observations on Si-based micro-clusters embedded in TaN thin film deposited by co-sputtering with oxygen contamination

    Directory of Open Access Journals (Sweden)

    Young Mi Lee

    2015-08-01

    Full Text Available Using scanning electron microscopy (SEM and high-resolution x-ray photoelectron spectroscopy with the synchrotron radiation we investigated Si-based micro-clusters embedded in TaSiN thin films having oxygen contamination. TaSiN thin films were deposited by co-sputtering on fixed or rotated substrates and with various power conditions of TaN and Si targets. Three types of embedded micro-clusters with the chemical states of pure Si, SiOx-capped Si, and SiO2-capped Si were observed and analyzed using SEM and Si 2p and Ta 4f core-level spectra were derived. Their different resistivities are presumably due to the different chemical states and densities of Si-based micro-clusters.

  13. Characterisation of magnetron sputtered SmCo5 thin films

    International Nuclear Information System (INIS)

    Wang, Y.; Sood, D.K.; Kothari

    1999-01-01

    SmCo 5 thin films were deposited using DC magnetron sputtering on single crystal silicon substrate with chromium and SiO 2 top layers. Deposition was carried out at three different substrate temperatures: room temperature, 400 deg C and 600 deg C. Films were characterised by using Rutherford Backscattering Spectroscopy (RBS), X-ray Diffraction (XRD), Secondary Ion Mass Spectrometry (SIMS) and SQUID magnetometer. RBS analysis indicated that the films have excellent stoichiometry with the Sm to Co ratio of 1:5. This analysis also showed that the films deposited or annealed at high temperatures (≥600 deg C) indicated significant inter-diffusion at the interface between the barrier layer and the film. Oxygen was found to be the major impurity in the films. XRD data indicated that the films formed 1:5 and 2:17 phases under different deposition conditions. The preliminary studies of these films using magnetic force microscopy revealed the presence of magnetic domains

  14. Imprinted sol-gel electrochemical sensor for the determination of benzylpenicillin based on Fe3O4/SiO2 multi-walled carbon nanotubes-chitosans nanocomposite film modified carbon electrode

    International Nuclear Information System (INIS)

    Hu Yufang; Li Jiaxing; Zhang Zhaohui; Zhang Huabin; Luo Lijuan; Yao Shouzhuo

    2011-01-01

    Graphical abstract: A novel imprinted sol-gel electrochemical sensor based on Fe 3 O 4 /SiO 2 -MWNTs-CTS nanocomposite film and a thin MIP film has been developed on a carbon electrode. Highlights: → A novel imprinted sol-gel electrochemical sensor based on Fe 3 O 4 /SiO 2 -MWNTs-CTS nanocomposites has been developed. → Fe 3 O 4 /SiO 2 -MWNTs-CTS nanocomposites act as 'electronic wires' to enhance the electron transfer. → The inherent specificity of the MIPs brings about highly selectivity. The imprinted sensor detects benzylpenicillin in real samples successfully. - Abstract: Herein, a novel imprinted sol-gel electrochemical sensor based on multi-walled carbon nanotubes (MWNTs) doped with chitosan film on a carbon electrode has been developed. Prior to doped, the MWNTs have been decorated with Fe 3 O 4 nanoparticles which have been coated uniformly with SiO 2 layer. The characterization of imprinted sensor has been carried out by X-ray diffraction and scanning electron microscopy. The performance of the proposed imprinted sensor has been investigated using cyclic voltammetry and differential pulse voltammetry. The imprinted sensor offers a fast response and sensitive benzylpenicillin quantification. The fabricated benzylpenicillin imprinted sensor exhibits a linear response from 5.0 x 10 -8 to 1.0 x 10 -3 mol L -1 with a detection limit of 1.5 x 10 -9 mol L -1 . For samples analysis, perfect recoveries of the imprinted sensor for benzylpenicillin indicated that the imprinted sensor was able to detect benzylpenicillin in real samples successfully.

  15. Phase transitions in LiCoO2 thin films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Huang Rong; Hitosugi, Taro; Fisher, Craig A.J.; Ikuhara, Yumi H.; Moriwake, Hiroki; Oki, Hideki; Ikuhara, Yuichi

    2012-01-01

    Highlights: ► Epitaxial LiCoO 2 thin films were formed on the Al 2 O 3 (0 0 0 1) substrate by PLD at room temperature and annealed at 600 °C in air. ► The orientation relationship between film and substrate is revealed. ► Crystalline phases in the RT deposited and annealed thin films are clearly identified. ► Atomic level interface structure indicates an interface reaction during annealing. ► A phase transition mechanism from fully disordered LiCoO 2 to fully ordered LiCoO 2 is proposed. - Abstract: Microstructures of epitaxial LiCoO 2 thin films formed on the (0 0 0 1) surface of sapphire (α-Al 2 O 3 ) substrates by pulsed laser deposition at room temperature and annealed at 600 °C in air were investigated by a combination of selected-area electron diffraction, high-resolution transmission electron microscopy, spherical-aberration-corrected high-angle annular dark-field scanning transmission electron microscopy, and electron energy-loss spectroscopy. As-deposited LiCoO 2 thin films consisted of epitaxial grains of the fully cation-disordered phase (γ) with a cubic rock-salt structure. During annealing, this cubic-structured phase transformed into the fully ordered trigonal (α) phase oriented with its basal plane parallel to the surface of the sapphire substrate. Although overall the film appeared to be a single crystal, a small number of Co 3 O 4 grains were also observed in annealed thin films, indicating that some Li and O had been lost during processing. The atomically sharp interface between the film and substrate also became rougher during annealing, with step defects being formed, suggesting that a localized reaction occurred at the interface.

  16. Synthesis and characterization of erbium-doped SiO2-TiO2 thin films prepared by sol-gel and dip-coating techniques onto commercial glass substrates as a route for obtaining active GRadient-INdex materials

    International Nuclear Information System (INIS)

    Gómez-Varela, Ana I.; Castro, Yolanda; Durán, Alicia; De Beule, Pieter A.A.; Flores-Arias, María T.; Bao-Varela, Carmen

    2015-01-01

    In this work, SiO 2 -TiO 2 films doped with erbium were prepared by dip-coating sol-gel process onto commercial glass substrates. The surface morphology of the films was characterized using atomic force microscopy, while thickness, refractive index, extinction coefficient and porosity of the films were determined by ellipsometric measurements in a wavelength region of 400-1000 nm. Optical constants and porosity were found to vary with erbium concentration. The proof of principle presented in this paper is applicable to systems of different nature by tailoring the sol-gel precursors in such a way that active GRadient-INdex media described by a complex, parabolic-like refractive index distribution for beam shaping purposes is obtained. - Highlights: • Sol-gel route for preparation of active GRadient-INdex materials is proposed. • SiO 2 -TiO 2 films doped with erbium were prepared by dipping onto commercial glasses. • Morphological and optical characterization of the samples was performed. • Optical constants and porosity were found to vary with erbium concentration. • Refractive index diminishes with dopant content; the contrary occurs for porosity

  17. Seed-mediated photodeposition route to Ag-decorated SiO2@TiO2 microspheres with ideal core-shell structure and enhanced photocatalytic activity

    Science.gov (United States)

    Ma, Jianqi; Guo, Xiaohua; Ge, Hongguang; Tian, Guanghui; Zhang, Qiang

    2018-03-01

    Ag-decorated SiO2@TiO2 microspheres (SiO2@TiO2-Ag) with ideal core-shell structure and enhanced photocatalytic activity were successfully fabricated by combining both coating anatase TiO2 on the surface of SiO2 spheres and subsequent depositing face-centered cubic Ag nanoparticles (NPs) on the coated TiO2 surface via novel sol-gel method and Ag-seed-mediated photodeposition (PD) route, respectively. The morphology, structure, composition and optical properties of the resulting composites were characterized in detail. The results reveal that the monodisperse SiO2 spheres of ∼260 nm were covered uniformly and perfectly by the TiO2 nanoparticle coating layer with the thickness of ca. 55 nm by the novel sol-gel method. Further, homogeneously and highly dispersed Ag NPs with an average size of 8 ± 1.5 nm were strongly anchored onto the TiO2 surface in SiO2@TiO2 core-shell spheres by the modified PD process (Ag-seed-mediated PD route), whereas polydispersed Ag aggregates and detached Ag NPs were irregularly deposited over the TiO2 surface in previous works, which is the inherent problem and has not been effectively solved for depositing noble metal NPs such as Au, Ag, Pt, Pd on TiO2 surface by conventional PD method. The formation mechanism of small and uniformly dispersed Ag NPs with narrow size distribution via the modified PD method is tentatively explained by both nucleation kinetics and growth kinetics. The key reason is that the pre-deposited seeds firmly tethered on SiO2@TiO2 spheres served as nucleation sites and anchoring points for the further nucleation and subsequent growth of Ag via photoreduction of Ag+.

  18. Enhancement of Jc of MgB2 thin films by introduction of oxygen during deposition

    International Nuclear Information System (INIS)

    Mori, Zon; Doi, Toshiya; Hakuraku, Yoshinori; Kitaguchi, Hitoshi

    2006-01-01

    The introduction of various pinning center are examined as the effective means for improvement of J c of MgB 2 thin films. We have investigated the effects of introduction of oxygen during deposition on the superconducting properties of MgB 2 thin films. MgB 2 thin films were prepared on polished sapphire C(0001) single crystal substrates by using electron beam evaporation technique (EB) without any post-annealing. The background pressure was less than 1.3x10 -6 Pa. The evaporation flux ratio of Mg was set at 30 times as high as that of B, and the growth rate of MgB 2 film was 1nm/s. The film thickness was typically 300nm at 5min deposition. The substrate temperature was 245 deg. C. Under these conditions, we controlled the oxygen partial pressure (P O 2 ) within the range from 1.3x10 -6 to 1.3x10 -3 Pa by using a quadrapole mass spectrometer. Although T c of deposited thin film decreased in order of P O 2 , ΔM in the magnetization hysteresis loops measured from 0 to 6T at 4.2K increased up to 1.3x10 -5 . On the other hand, thin film prepared under P O 2 of 1.3x10 -3 Pa does not show superconducting transition. Between these films, there is no difference in the crystal structure from X-ray diffraction (XRD). These results suggest that the pinning center in the thin films increased by introduction of oxygen. Extremely small amount of oxygen introduction has enabled the control of growth of oxide

  19. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  20. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  1. Microstructural comparison of Yba2Cu3O7-x thin films laser deposited in O2 and O2/Ar ambient

    DEFF Research Database (Denmark)

    Verbist, K.; Kyhle, Anders; Vasiliev, A.L.

    1996-01-01

    The use of a diluted O-2/Ar atmosphere-for laser deposition of YBa2Cu3O7-x thin films results in a strong decrease of the surface outgrowth density as compared to deposition in pure O-2. The smoother films need a longer oxygenation period and show slightly lower critical current densities; though...... still in excess of 10(6) A cm(-2) at 77 K. Electron microscopy revealed that the outgrowths mainly consist of a large copper-oxide grain connected to Y2O3 grains. Y2O3 nano-scale inclusions are present irrespective of the deposition atmosphere, however at remarkably low densities compared to other...... literature data. We find that the twin plane density is lower and the twin structure more homogeneous in the case of films deposited in a mixture of O-2/Ar. This we ascribe to the absence of surface outgrowths which seem to block regular twin structure formation. Possibly the differences in necessary post...

  2. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  3. Influence of film thickness on structural, optical, and electrical properties of spray deposited antimony doped SnO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, Abhijit A., E-mail: aay_physics@yahoo.co.in

    2015-09-30

    Transparent conducting antimony doped SnO{sub 2} thin films with varying thickness were deposited by chemical spray pyrolysis technique from non-aqueous solvent Propan-2-ol. The effect of film thickness on the properties of antimony doped SnO{sub 2} thin films have been studied. X-ray diffraction measurements showed tetragonal crystal structure of as-deposited antimony doped SnO{sub 2} films irrespective of film thickness. The surface morphology of antimony doped SnO{sub 2} thin film is spherical with the continuous distribution of grains. Electrical and optical properties were investigated by Hall Effect and optical measurements. The average optical transmittance of films decreased from 89% to 73% within the visible range (350–850 nm) with increase in film thickness. The minimum value of sheet resistance observed is 4.81 Ω/cm{sup 2}. The lowest resistivity found is 3.76 × 10{sup −4} Ω cm at 660 nm film thickness. - Highlights: • Effect of film thickness on the properties of antimony doped SnO{sub 2} thin films • Crystalline size in the range of 34–37 nm • Average transmittance decreased from 89% to 73% in the visible region. • Minimum sheet resistance of 4.81 Ω/cm{sup 2} • Lowest resistivity is found to be 3.76 × 10{sup −4} Ω cm at 660 nm film thickness.

  4. Characterization of the implantation damage in SiO2 with x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ajioka, T.; Ushio, S.

    1986-01-01

    X-ray photoelectron spectroscopy (XPS) has been applied to characterize the damage introduced into SiO 2 by ion implantation. By measuring the peak width of Si/sub 2p/ from SiO 2 which corresponds to perturbation of the SiO 2 network, good depth profiles of the damage have been obtained for implanted samples and subsequently annealed samples. The results show that the damage distributed more widely than that calculated from energy deposition and that the perturbation of the network is caused not only by radiation damage but also by the existence of impurities in the network. It has been found that the XPS method is effective to understand the atomic structure, and thus, electrical properties of SiO 2

  5. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  6. Sputter deposition of BSCCO films from a hollow cathode

    International Nuclear Information System (INIS)

    Lanagan, M.T.; Kampwirth, R.T.; Doyle, K.; Kowalski, S.; Miller, D.; Gray, K.E.

    1991-01-01

    High-T c superconducting thin films were deposited onto MgO single crystal substrates from a hollow cathode onto ceramic targets with the nominal composition of Bi 2 Sr 2 CaCu 2 O x . Films similar in composition to those used for the targets were deposited on MgO substrates by rf sputtering. The effects of sputtering time, rf power, and post-annealing on film microstructure and properties were studied in detail. Substrate temperature was found to have a significant influence on the film characteristics. Initial results show that deposition rates from a hollow cathode are an order of magnitude higher than those of a planar magnetron source at equivalent power levels. Large deposition rates allow for the coating of long lengths of wire

  7. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  8. The influence of substrate on the properties of Er2O3 films grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Miritello, M.; Lo Savio, R.; Iacona, F.; Franzo, G.; Bongiorno, C.; Irrera, A.; Priolo, F.

    2006-01-01

    The structural properties and the room temperature luminescence of Er 2 O 3 thin films deposited by RF magnetron sputtering have been studied. Films characterized by good morphological properties have been obtained by using a SiO 2 interlayer between the film and the Si substrate. The evolution of the properties of the Er 2 O 3 films due to rapid thermal annealing processes in O 2 ambient performed at temperatures in the range 800-1200 deg. C has been investigated in details. The existence of well-defined annealing conditions (temperature of 1100 deg. C or higher) allowing to avoid the occurrence of extensive chemical reactions with the oxidized substrate has been demonstrated and an increase of the photoluminescence (PL) intensity by about a factor of 40 with respect to the as deposited material has been observed. The enhanced efficiency of the photon emission process has been correlated with the longer lifetime of the PL signal. The same annealing processes are less effective when Er 2 O 3 is deposited on Si. In this latter case interfacial reactions and pit formation occur, leading to a material characterized by stronger non-radiative phenomena that limit the PL efficiency

  9. Optical and electrical characterization of AgInS{sub 2} thin films deposited by spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Calixto-Rodriguez, M., E-mail: manuela@fis.unam.mx [Instituto de Ciencias Fisicas-Universidad Nacional Autonoma de Mexico, Apartado Postal 48-3, 62210, Cuernavaca, Morelos (Mexico); Martinez, H. [Instituto de Ciencias Fisicas-Universidad Nacional Autonoma de Mexico, Apartado Postal 48-3, 62210, Cuernavaca, Morelos (Mexico); Calixto, M.E. [Instituto de Fisica, Benemerita Universidad Autonoma de Puebla, Apartado Postal J-48, 72570, Puebla, Puebla (Mexico); Pena, Y. [Facultad de Ciencias Quimicas, Universidad Autonoma de Nuevo Leon, Pedro de Alba s/n, Ciudad Universitaria, 66451, San Nicolas de los Garza, Nuevo Leon (Mexico); Martinez-Escobar, Dalia [Centro de Investigacion en Energia-Universidad Nacional Autonoma de Mexico, 62580, Temixco, Morelos (Mexico); Tiburcio-Silver, A. [Instituto Tecnologico de Toluca-SEP, Apartado Postal 20, 52176, Metepec 3, Estado de Mexico (Mexico); Sanchez-Juarez, A. [Centro de Investigacion en Energia-Universidad Nacional Autonoma de Mexico, 62580, Temixco, Morelos (Mexico)

    2010-10-25

    Silver indium sulfide (AgInS{sub 2}) thin films have been prepared by spray pyrolysis (SP) technique using silver acetate, indium acetate, and N, N-dimethylthiourea as precursor compounds. Films were deposited onto glass substrates at different substrate temperatures (T{sub s}) and Ag:In:S ratios in the starting solutions. Optical transmission and reflection as well as electrical measurements were performed in order to study the effect of deposition parameters on the optical and electrical properties of AgInS{sub 2} thin films. X-ray diffraction measurements were used to identify the deposited compounds. It was found that different compounds such as AgInS{sub 2}, Ag{sub 2}S, In{sub 2}O{sub 3}, and In{sub 2}S{sub 3} can be grown only by changing the Ag:In:S ratio in the starting solution and T{sub s}. So that, by carefully selecting the deposition parameters, single phase AgInS{sub 2} thin films can be easily grown. Thin films obtained using a molar ratio of Ag:In:S = 1:1:2 and T{sub s} = 400 {sup o}C, have an optical band gap of 1.9 eV and n-type electrical conductivity with a value of 0.3 {Omega}{sup -1} cm{sup -1} in the dark.

  10. Electron Microscopy Characterization of Vanadium Dioxide Thin Films and Nanoparticles

    Science.gov (United States)

    Rivera, Felipe

    Vanadium dioxide (VO_2) is a material of particular interest due to its exhibited metal to insulator phase transition at 68°C that is accompanied by an abrupt and significant change in its electronic and optical properties. Since this material can exhibit a reversible drop in resistivity of up to five orders of magnitude and a reversible drop in infrared optical transmission of up to 80%, this material holds promise in several technological applications. Solid phase crystallization of VO_2 thin films was obtained by a post-deposition annealing process of a VO_{x,x approx 2} amorphous film sputtered on an amorphous silicon dioxide (SiO_2) layer. Scanning electron microscopy (SEM) and electron-backscattered diffraction (EBSD) were utilized to study the morphology of the solid phase crystallization that resulted from this post-deposition annealing process. The annealing parameters ranged in temperature from 300°C up to 1000°C and in time from 5 minutes up to 12 hours. Depending on the annealing parameters, EBSD showed that this process yielded polycrystalline vanadium dioxide thin films, semi-continuous thin films, and films of isolated single-crystal particles. In addition to these films on SiO_2, other VO_2 thin films were deposited onto a-, c-, and r-cuts of sapphire and on TiO_2(001) heated single-crystal substrates by pulsed-laser deposition (PLD). The temperature of the substrates was kept at ˜500°C during deposition. EBSD maps and orientation imaging microscopy were used to study the epitaxy and orientation of the VO_2 grains deposited on the single crystal substrates, as well as on the amorphous SiO_2 layer. The EBSD/OIM results showed that: 1) For all the sapphire substrates analyzed, there is a predominant family of crystallographic relationships wherein the rutile VO_2{001} planes tend to lie parallel to the sapphire's {10-10} and the rutile VO_2{100} planes lie parallel to the sapphire's {1-210} and {0001}. Furthermore, while this family of

  11. Atomic layer deposition of Ru thin film using N{sub 2}/H{sub 2} plasma as a reactant

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Tae Eun [Busan Center, Korea Basic Science Institute, 1275 Jisadong, Gangseogu, Busan, 618-230 (Korea, Republic of); Mun, Ki-Yeung; Choi, Sang-Kyung; Park, Ji-Yoon [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr [School of Materials Science and Engineering Yeungnam University 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Cheon, Taehoon [Center for Core Research Facilities, Daegu Gyeongbuk Institute of Science and Technology, Sang-ri, Hyeonpung-myeon, Dalseong-gun, Daegu (Korea, Republic of); Kim, Woo Kyoung [School of Chemical Engineering, Yeungnam University, 214-1, Dae-dong, Gyeongsan-si, Gyeongsangbuk-do, 712-749 (Korea, Republic of); Lim, Byoung-Yong; Kim, Sunjung [School of Materials Science and Engineering, University of Ulsan, Mugeo-dong, Nam-go, Ulsan, 680-749 (Korea, Republic of)

    2012-07-31

    Ruthenium (Ru) thin films were grown by atomic layer deposition using IMBCHRu [({eta}6-1-Isopropyl-4-MethylBenzene)({eta}4-CycloHexa-1,3-diene)Ruthenium(0)] as a precursor and a nitrogen-hydrogen mixture (N{sub 2}/H{sub 2}) plasma as a reactant, at the substrate temperature of 270 Degree-Sign C. In the wide range of the ratios of N{sub 2} and total gas flow rates (fN{sub 2}/N{sub 2} + H{sub 2}) from 0.12 to 0.70, pure Ru films with negligible nitrogen incorporation of 0.5 at.% were obtained, with resistivities ranging from {approx} 20 to {approx} 30 {mu} Ohm-Sign cm. A growth rate of 0.057 nm/cycle and negligible incubation cycle for the growth on SiO{sub 2} was observed, indicating the fast nucleation of Ru. The Ru films formed polycrystalline and columnar grain structures with a hexagonal-close-packed phase. Its resistivity was dependent on the crystallinity, which could be controlled by varying the deposition parameters such as plasma power and pulsing time. Cu was electroplated on a 10-nm-thick Ru film. Interestingly, it was found that the nitrogen could be incorporated into Ru at a higher reactant gas ratio of 0.86. The N-incorporated Ru film ({approx} 20 at.% of N) formed a nanocrystalline and non-columnar grain structure with the resistivity of {approx} 340 {mu} Ohm-Sign cm. - Highlights: Black-Right-Pointing-Pointer Atomic layer deposition (ALD) of Ru and N-incorporated Ru film using N{sub 2}/H{sub 2} plasma. Black-Right-Pointing-Pointer The growth rate of 0.057 nm/cycle and negligible incubation cycle. Black-Right-Pointing-Pointer A low resistivity of Ru ({approx} 16.5 {mu} Ohm-Sign cm) at the deposition temperature of 270 Degree-Sign C. Black-Right-Pointing-Pointer Electroplating of Cu on a 10-nm-thick ALD-Ru film.

  12. Effect of hydrogen on the device performance and stability characteristics of amorphous InGaZnO thin-film transistors with a SiO2/SiNx/SiO2 buffer

    Science.gov (United States)

    Han, Ki-Lim; Ok, Kyung-Chul; Cho, Hyeon-Su; Oh, Saeroonter; Park, Jin-Seong

    2017-08-01

    We investigate the influence of the multi-layered buffer consisting of SiO2/SiNx/SiO2 on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs). The multi-layered buffer inhibits permeation of water from flexible plastic substrates and prevents degradation of overlying organic layers. The a-IGZO TFTs with a multi-layered buffer suffer less positive bias temperature stress instability compared to the device with a single SiO2 buffer layer after annealing at 250 °C. Hydrogen from the SiNx layer diffuses into the active layer and reduces electron trapping at loosely bound oxygen defects near the SiO2/a-IGZO interface. Quantitative analysis shows that a hydrogen density of 1.85 × 1021 cm-3 is beneficial to reliability. However, the multi-layered buffer device annealed at 350 °C resulted in conductive characteristics due to the excess carrier concentration from the higher hydrogen density of 2.12 × 1021 cm-3.

  13. Chemical vapor deposition of ZrO{sub 2} thin films using Zr(NEt{sub 2}){sub 4} as precursor

    Energy Technology Data Exchange (ETDEWEB)

    Bastianini, A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Battiston, G.A. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Gerbasi, R. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Porchia, M. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati; Daolio, S. [CNR, Padova (Italy). Ist. di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati]|[CNR, Ist. di Polarografia ed Elettrochimica Preparativa, Padova (Italy)

    1995-06-01

    By using tetrakis(diethylamido) zirconium [Zr(NEt{sub 2}){sub 4}], excellent quality ZrO{sub 2} thin films were deposited with high growth rates on alumina and glass substrates by chemical vapor deposition. The depositions were carried out in a hot wall reactor at reduced pressure (200 Pa) in the temperature range 500-580 C and in the presence of oxygen. The as-grown films are colourless, smooth and well-adherent to the substrates. SIMS analysis evidenced pure ZrO{sub 2} with a slight superficial contamination of hydrocarbons and nitrogen. The films have a tapered polycrystalline columnar structure well visible in SEM micrographs. From X-ray diffraction analysis, the monoclinic phase resulted as the major phase together with a small variable amount of tetragonal zirconia. Under 550 C the as-grown films resulted highly textured and were dominated by the (020) orientation. The films were annealed in the range 600-1000 C and the effect of annealing on the texture and on the phase and dimensions of the crystallites have been studied. (orig.).

  14. DC sputter deposition of amorphous indium-gallium-zinc-oxide (a-IGZO) films with H2O introduction

    International Nuclear Information System (INIS)

    Aoi, Takafumi; Oka, Nobuto; Sato, Yasushi; Hayashi, Ryo; Kumomi, Hideya; Shigesato, Yuzo

    2010-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) films were deposited by dc magnetron sputtering with H 2 O introduction and how the H 2 O partial pressure (P H 2 O ) during the deposition affects the electrical properties of the films was investigated in detail. Resistivity of the a-IGZO films increased dramatically to over 2 x 10 5 Ωcm with increasing P H 2 O to 2.7 x 10 -2 Pa while the hydrogen concentration in the films increased to 2.0 x 10 21 cm -3 . TFTs using a-IGZO channels deposited under P H 2 O at 1.6-8.6 x 10 -2 Pa exhibited a field-effect mobility of 1.4-3.0 cm 2 /Vs, subthreshold swing of 1.0-1.6 V/decade and on-off current ratio of 3.9 x 10 7 -1.0 x 10 8 .

  15. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N.; Martinez-Landeros, V.; Mejia, I.; Aguirre-Tostado, F.S.; Nascimento, C.D.; Azevedo, G. de M; Krug, C.; Quevedo-Lopez, M.A.

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10 −1 to 10 4 Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10 19 to 10 13 cm −3 and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm 2 /V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10 19 to 10 13 cm −3 . • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied

  16. An Evaluation of Atmospheric-pressure Plasma for the Cost-Effective Deposition of Antireflection Coatings

    Energy Technology Data Exchange (ETDEWEB)

    Rob Sailer; Guruvenket Srinivasan; Kyle W. Johnson; Douglas L. Schulz

    2010-04-01

    Atmospheric-pressure plasma deposition (APPD) has previously been used to deposit various functional materials including polymeric surface modification layers, transparent conducting oxides, and photo catalytic materials. For many plasma polymerized coatings, reaction occurs via free radical mechanism where the high energy electrons from the plasma activate the olefinic carbon-carbon double bonds - a typical functional group in such precursors. The precursors for such systems are typically inexpensive and readily available and have been used in vacuum PECVD previously. The objectives are to investigate: (1) the effect of plasma power, gas composition and substrate temperature on the Si-based film properties using triethylsilane(TES) as the precursor; and (2) the chemical, mechanical, and optical properties of several experimental matrices based on Design of Experiment (DOE) principals. A simple APPD route has been utilized to deposit Si based films from an inexpensive precursor - Triethylsilane (TES). Preliminary results indicates formation of Si-C & Si-O and Si-O, Si-C & Si-N bonds with oxygen and nitrogen plasmas respectively. N{sub 2}-O{sub 2} plasma showed mixed trend; however oxygen remains a significant portion of all films, despite attempts to minimize exposure to atmosphere. SiN, SiC, and SiO ratios can be modified by the reaction conditions resulting in differing film properties. SE studies revealed that films with SiN bond possess refractive index higher than coatings with Si-O/Si-C bonds. Variable angle reflectance studies showed that SiOCN coatings offer AR properties; however thickness and refractive index optimization of these coatings remains necessary for application as potential AR coatings.

  17. Morphological study of electrophoretically deposited TiO2 film for DSSC application

    Science.gov (United States)

    Patel, Alkesh B.; Patel, K. D.; Soni, S. S.; Sonigara, K. K.

    2018-05-01

    In the immerging field of eco-friendly and low cost photovoltaic devices, dye sensitized solar cell (DSSC) [1] has been investigated as promising alternative to the conventional silicon-based solar cells. In the DSSC device, photoanode is crucial component that take charge of holding sensitizer on it and inject the electrons from the sensitizer to current collector. Nanoporous TiO2 is the most relevant candidate for the preparation of photoanode in DSSCs. Surface properties, morphology, porosity and thickness of TiO2 film as well as preparation technique determine the performance of device. In the present work we have report the study of an effect of nanoporous anatase titanium dioxide (TiO2) film thickness on DSSC performance. Photoanode TiO2 (P25) film was deposited on conducting substrate by electrophoresis technique (EPD) and film thickness was controlled during deposition by applying different current density for a constant time interval. Thickness and surface morphology of prepared films was studied by SEM and transmittance analysis. The same set of photoanode was utilized in DSSC devices using metal free organic dye sensitizer to evaluate the photovoltaic performance. Devices were characterized through Current-Voltage (I-V) characteristic, electrochemical impedance spectroscopy (EIS) and open circuit voltage decay curves. Dependency of device performance corresponding to TiO2 film thickness is investigated through the lifetime kinetics of electron charge transfer mechanism trough impedance fitting. It is concluded that appropriate thickness along with uniformity and porosity are required to align the dye molecules to respond efficiently the incident light photons.

  18. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  19. Structural and optical properties of pulse laser deposited Ag2O thin films

    Science.gov (United States)

    Agasti, Souvik; Dewasi, Avijit; Mitra, Anirban

    2018-05-01

    We deposited Ag2O films in PLD system on glass substrate for a fixed partial oxygen gas pressure (70 mili Torr) and, with a variation of laser energy from 75 to 215 mJ/Pulse. The XRD patterns confirm that the films have well crystallinity and deposited as hexagonal lattice. The FESEM images show that the particle size of the films increased from 34.84 nm to 65.83 nm. The composition of the films is analyzed from EDX spectra which show that the percentage of oxygen increased by the increment of laser energy. From the optical characterization, it is observed that the optical band gap appears in the visible optical range in an increasing order from 0.87 to 0.98 eV with the increment of laser energy.

  20. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  1. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  2. Low-Temperature Preparation of (111)-oriented Pb(Zr,Ti)O3 Films Using Lattice-Matched (111)SrRuO3/Pt Bottom Electrode by Metal-Organic Chemical Vapor Deposition

    Science.gov (United States)

    Kuwabara, Hiroki; Sumi, Akihiro; Okamoto, Shoji; Hoko, Hiromasa; Cross, Jeffrey S.; Funakubo, Hiroshi

    2009-04-01

    Pb(Zr0.35Ti0.65)O3 (PZT) films 170 nm thick were prepared at 415 °C by pulsed metal-organic chemical vapor deposition. The (111)-oriented PZT films with local epitaxial growth were obtained on (111)SrRuO3/(111)Pt/TiO2/SiO2/Si substrates and their ferroelectricities were ascertained. Ferroelectricity was improved by postannealing under O2 gas flow up to 550 °C. Larger remanent polarization and better fatigue endurance were obtained using a SrRuO3 top electrode compared to a Pt top electrode for PZT films after annealing at 500 °C.

  3. Electrochemical deposition of Mg(OH)2/GO composite films for corrosion protection of magnesium alloys

    OpenAIRE

    Fengxia Wu; Jun Liang; Weixue Li

    2015-01-01

    Mg(OH)2/graphene oxide (GO) composite film was electrochemical deposited on AZ91D magnesium alloys at constant potential. The characteristics of the Mg(OH)2/GO composite film were investigated by scanning electron microscope (SEM), energy-dispersive X-ray spectrometry (EDS), X-ray diffractometer (XRD) and Raman spectroscopy. It was shown that the flaky GO randomly distributed in the composite film. Compared with the Mg(OH)2 film, the Mg(OH)2/GO composite film exhibited more uniform and compac...

  4. Synthesis of Y2O3-ZrO2-SiO2 composite coatings on carbon fiber reinforced resin matrix composite by an electro-plasma process

    Science.gov (United States)

    Zhang, Yuping; Lin, Xiang; Chen, Weiwei; Cheng, Huanwu; Wang, Lu

    2016-05-01

    In the present paper the Y2O3-ZrO2-SiO2 composite coating was successfully synthesized on carbon fiber reinforced resin matrix composite by an electro-plasma process. The deposition process, microstructures and oxidation resistance of the coatings with different SiO2 concentrations were systematically investigated. A relatively dense microstructure was observed for the Y2O3-ZrO2-SiO2 composite coating with the SiO2 concentration above 5 g/L. The coating exhibited very good oxidation resistance at 1273 K with the mass loss rate as low as ∼30 wt.%, compared to 100 wt.% of the substrate. The formation of the ceramic composites was discussed in detail based on the electrochemical mechanism and the deposition dynamics in order to explain the effect of the plasma discharge. We believe that the electro-plasma process will find wide applications in preparing ceramics and coatings in industries.

  5. Uniform thin films of TiO2 nanoparticles deposited by matrix-assisted pulsed laser evaporation

    International Nuclear Information System (INIS)

    Caricato, A.P.; Manera, M.G.; Martino, M.; Rella, R.; Romano, F.; Spadavecchia, J.; Tunno, T.; Valerini, D.

    2007-01-01

    We report morphological and optical properties of a colloidal TiO 2 nanoparticle film, deposited on a quartz substrate by using the Matrix-Assisted Pulsed Laser Evaporation (MAPLE) technique. Atomic Force Microscopy demonstrated that a good uniformity of the deposition can be obtained. The presence of agglomerates with dimensions of about 1 μm in size was noticed. Form UV-vis transmission spectra, recorded in the 200-800 nm range, the optical constants and the energy gap were determined besides the film thickness. The optical constants resulted in agreement with the values reported in literature for TiO 2 nanoparticle thin films

  6. Optical analysis of Cr-doped ITO films deposited by double-target laser ablation

    International Nuclear Information System (INIS)

    Cesaria, M.; Caricato, A.P.; Maruccio, G.; Martino, M.

    2015-01-01

    We investigate the optical properties of ITO and Cr-doped ITO films deposited at room temperature by pulsed laser deposition onto amorphous SiO 2 substrates. Our analysis approach is based on the Tauc's plot method applied to the absorption coefficient estimated by a route realistically describing the film structural features and including the contribution of the non-measurable film–substrate interface. Going beyond the conventional application of the Tauc's plot method, we quote two different transition energies for ITO and Cr-doped ITO and discuss their origin in the framework of a band-structure picture as a function of film thickness, Cr changes of the host ITO dispersion and Cr-doping content. In contrast to the conventional optical ITO description, we account for the existence of direct dipole forbidden transitions between the ITO fundamental band edges, involving different electronic and optical band gaps. Our results and discussion demonstrate that disregarding this theoretically established picture, as occurs in the experimental literature, would lead to conclusions inconsistent with the Cr-induced band occupation and effects on ITO dispersions. Preliminary optical (based on transmittance and reflectance spectra as well as band-tailing effects), electrical and structural inspection of the samples are also considered to check reliability and consistency of our discussion. - Highlights: • Realistic absorption coefficient of very thin films. • Electronic and optical band gap: ITO dipole forbidden optical transitions. • Interpretative model combining realistic band structure and Tauc's plot approach. • Inconsistencies stemming from conventional application of the Tauc's plot. • General model applicable to In 2 O 3 -like systems

  7. Investigation of defects in ultra-thin Al{sub 2}O{sub 3} films deposited on pure copper by the atomic layer deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Wang, L.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan (China); Lin, K.M. [Department of Materials Science and Engineering, Feng Chia University, No. 100, Wenhwa Road, Seatwen, Taichung 40724, Taiwan (China)

    2015-12-30

    Graphical abstract: Some residual OH ligands originating from incomplete reaction between TMA and surface species of OH* during ALD process induce the defects in deposited Al{sub 2}O{sub 3} films. Three possible types of defects are suggested. The analytic results indicate the defects are Type-I and/or Type-II but do not directly expose the substrate, like pinholes (Type-III). - Highlights: • Oxidation trials were conducted to investigate the defects in ultra-thin Al{sub 2}O{sub 3} films deposited ALD technique on pure copper. • The residual OH ligands in the deposited Al{sub 2}O{sub 3} films induce looser micro-structure which has worse oxidation resistance. • Superficial contamination particles on substrate surface are confirmed to be one of nucleation sites of the defects. - Abstract: Al{sub 2}O{sub 3} films with various thicknesses were deposited by the atomic layer deposition (ALD) technique on pure copper at temperatures of 100–200 °C. Oxidation trials were conducted in air at 200 °C to investigate the defects in these films. The analytic results show that the defects have a looser micro-structure compared to their surroundings, but do not directly expose the substrate, like pinholes. The film's crystallinity, mechanical properties and oxidation resistance could also be affected by these defects. Superficial contamination particles on the substrate surface are confirmed to be nucleation sites of the defects. A model for the mechanism of defect formation is proposed in this study.

  8. Morphology and current-voltage characteristics of nanostructured pentacene thin films probed by atomic force microscopy.

    Science.gov (United States)

    Zorba, S; Le, Q T; Watkins, N J; Yan, L; Gao, Y

    2001-09-01

    Atomic force microscopy was used to study the growth modes (on SiO2, MoS2, and Au substrates) and the current-voltage (I-V) characteristics of organic semiconductor pentacene. Pentacene films grow on SiO2 substrate in a layer-by-layer manner with full coverage at an average thickness of 20 A and have the highest degree of molecular ordering with large dendritic grains among the pentacene films deposited on the three different substrates. Films grown on MoS2 substrate reveal two different growth modes, snowflake-like growth and granular growth, both of which seem to compete with each other. On the other hand, films deposited on Au substrate show granular structure for thinner coverages (no crystal structure) and dendritic growth for higher coverages (crystal structure). I-V measurements were performed with a platinum tip on a pentacene film deposited on a Au substrate. The I-V curves on pentacene film reveal symmetric tunneling type character. The field dependence of the current indicates that the main transport mechanism at high field intensities is hopping (Poole-Frenkel effect). From these measurements, we have estimated a field lowering coefficient of 9.77 x 10(-6) V-1/2 m1/2 and an ideality factor of 18 for pentacene.

  9. Electrical and optical properties of nitrogen doped SnO2 thin films deposited on flexible substrates by magnetron sputtering

    International Nuclear Information System (INIS)

    Fang, Feng; Zhang, Yeyu; Wu, Xiaoqin; Shao, Qiyue; Xie, Zonghan

    2015-01-01

    Graphical abstract: The best SnO 2 :N TCO film: about 80% transmittance and 9.1 × 10 −4 Ω cm. - Highlights: • Nitrogen-doped tin oxide film was deposited on PET by RF-magnetron sputtering. • Effects of oxygen partial pressure on the properties of thin films were investigated. • For SnO 2 :N film, visible light transmittance was 80% and electrical resistivity was 9.1 × 10 −4 Ω cm. - Abstract: Nitrogen-doped tin oxide (SnO 2 :N) thin films were deposited on flexible polyethylene terephthalate (PET) substrates at room temperature by RF-magnetron sputtering. Effects of oxygen partial pressure (0–4%) on electrical and optical properties of thin films were investigated. Experimental results showed that SnO 2 :N films were amorphous state, and O/Sn ratios of SnO 2 :N films were deviated from the standard stoichiometry 2:1. Optical band gap of SnO 2 :N films increased from approximately 3.10 eV to 3.42 eV as oxygen partial pressure increased from 0% to 4%. For SnO 2 :N thin films deposited on PET, transmittance was about 80% in the visible light region. The best transparent conductive oxide (TCO) deposited on flexible PET substrates was SnO 2 :N thin films preparing at 2% oxygen partial pressure, the transmittance was about 80% and electrical conductivity was about 9.1 × 10 −4 Ω cm

  10. Silicon electrodeposition from chloride-fluoride melts containing K2SiF6 and SiO2

    Directory of Open Access Journals (Sweden)

    Zhuk Sergey I.

    2017-01-01

    Full Text Available Silicon electrodeposition on glassy carbon from the KF-KCl-K2SiF6, KF-KCl-K2SiF6-KOH and KF-KCl-K2SiF6-SiO2 melts was studied by the cyclic voltammetry. Тhe electroreduction of Si(IV to metallic Si was observed as a single 4-electron wave under all considered conditions. The reactions of cathode reduction of silicon from fluoride and oxyfluoride complexes were suggested. It was shown that the process can be controlled by the preliminary transformation of SiO44- to SiF62- and SiOxFyz-. The influence of the current density on structure and morphology of silicon deposits obtained during galvanostatic electrolysis of the KF-KCl-K2SiF6-SiO2 melt was studied.

  11. Study of Sb2S3 thin films deposited by SILAR method

    Science.gov (United States)

    Deshpande, M. P.; Chauhan, Krishna; Patel, Kiran N.; Rajput, Piyush; Bhoi, Hiteshkumar R.; Chaki, S. H.

    2018-05-01

    In the present work, we deposited Sb2S3 thin films on glass slide by successive ionic layer adsorption and reaction (SILAR) technique with different time cycles. From EDAX, we could observe that the films were non-stoichiometric and contained few elements from glass slide. X-ray diffraction has shown that these films are orthorhombic in structure from where we have calculated the lattice parameter and crystallize size. SEM images shows that SILAR synthesized Sb2S3 thin films are homogenous and well distributed indicating the formation of uniform thin films at lower concentration. The room temperature Raman spectra of Sb2S3 thin films showed sharp peaks at 250 cm‑1 and 300 cm‑1 for all cases. Room temperature photoluminescence emission spectrum shows broad bands over 430–480 nm range with strong blue emission peak centered at same wavelength of 460 nm (2.70 eV) for all cases.

  12. Optical properties of electrochemically deposited CuInSe sub 2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Guillen, C; Herrero, J [Inst. de Energias Renovables (CIEMAT), Madrid (Spain)

    1991-11-01

    Polycrystalline thin films of CuInSe{sub 2} within a wide composition range have been electrochemically deposited. Their optical properties in the near-infrared and visible range, 400-2000 nm, have been studied in relation to the deposition potential and film thickness. An absorption coefficient ({alpha}) as high as 10{sup 5} cm{sup -1} is observed at short wavelength ({lambda} < 700 nm), but near the band edge {alpha} has a value about 10{sup 4} cm{sup -1}. The observed absorption coefficient variation is due to an allowed direct transition with an energy in the range 0.88-0.96 eV and an additional forbidden direct transition with an energy in the range 1.32-1.41 eV, where the absorption coefficient depends on the deposition potential, and the possible phase nature of the material, with band gap narrowing when the potential becomes anodic. The values of {alpha} and transition energies also depend on the film thickness for samples up to 0.6 {mu}m thick. (orig.).

  13. Thermally-driven H interaction with HfO2 films deposited on Ge(100) and Si(100)

    Science.gov (United States)

    Soares, G. V.; Feijó, T. O.; Baumvol, I. J. R.; Aguzzoli, C.; Krug, C.; Radtke, C.

    2014-01-01

    In the present work, we investigated the thermally-driven H incorporation in HfO2 films deposited on Si and Ge substrates. Two regimes for deuterium (D) uptake were identified, attributed to D bonded near the HfO2/substrate interface region (at 300 °C) and through the whole HfO2 layer (400-600 °C). Films deposited on Si presented higher D amounts for all investigated temperatures, as well as, a higher resistance for D desorption. Moreover, HfO2 films underwent structural changes during annealings, influencing D incorporation. The semiconductor substrate plays a key role in this process.

  14. Influence of deposition parameters and annealing on Cu2ZnSnS4 thin films grown by SILAR

    International Nuclear Information System (INIS)

    Patel, Kinjal; Shah, Dimple V.; Kheraj, Vipul

    2015-01-01

    Highlights: • Optimisation of Cu 2 ZnSnS 4 (CZTS) thin film deposition using SILAR method. • Study on effects of annealing at different temperature under two different ambients, viz. sulphur and tin sulphide. • Formation of CZTS thin films with good crystalline quality confirmed by XRD and Raman spectra. - Abstract: Cu 2 ZnSnS 4 (CZTS) thin films were deposited on glass substrates using Successive Ionic Layer Adsorption and Reaction (SILAR) technique at the room-temperature. The deposition parameters such as concentration of precursors and number of cycles were optimised for the deposition of uniform CZTS thin films. Effects of annealing at different temperature under two different ambient, viz. sulphur and tin sulphide have also been investigated. The structural and optical properties of the films were studied using X-ray diffraction, scanning electron microscopy, Raman spectroscopy and UV-visible spectra in light with the deposition parameters and annealing conditions. It is observed that a good quality CZTS film can be obtained by SILAR at room temperature followed by annealing at 500 °C in presence of sulphur

  15. Synthesis of nanocrystalline nickel-zinc ferrite (Ni0.8Zn0.2Fe2O4) thin films by chemical bath deposition method

    International Nuclear Information System (INIS)

    Pawar, D.K.; Pawar, S.M.; Patil, P.S.; Kolekar, S.S.

    2011-01-01

    Graphical abstract: Display Omitted Research highlights: → We have successfully synthesized nickel-zinc ferrite (Ni 0.8 Zn 0.2 Fe 2 O 4 ) thin films on stainless steel substrates using a low temperature chemical bath deposition method. → The surface morphological study showed the compact flakes like morphology. → The as-deposited thin films are hydrophilic (10 o o ) whereas the annealed thin films are super hydrophilic (θ o ) in nature. → Ni 0.8 Zn 0.2 Fe 2 O 4 thin films could be used in supercapacitor. - Abstract: The nickel-zinc ferrite (Ni 0.8 Zn 0.2 Fe 2 O 4 ) thin films have been successfully deposited on stainless steel substrates using a chemical bath deposition method from alkaline bath. The films were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), static water contact angle and cyclic voltammetry measurements. The X-ray diffraction pattern shows that deposited Ni 0.8 Zn 0.2 Fe 2 O 4 thin films were oriented along (3 1 1) plane. The FTIR spectra showed strong absorption peaks around 600 cm -1 which are typical for cubic spinel crystal structure. SEM study revealed compact flakes like morphology having thickness ∼1.8 μm after air annealing. The annealed films were super hydrophilic in nature having a static water contact angle (θ) of 5 o .The electrochemical supercapacitor study of Ni 0.8 Zn 0.2 Fe 2 O 4 thin films has been carried out in 6 M KOH electrolyte. The values of interfacial and specific capacitances obtained were 0.0285 F cm -2 and 19 F g -1 , respectively.

  16. Biocompatibility and Surface Properties of TiO2 Thin Films Deposited by DC Magnetron Sputtering

    Science.gov (United States)

    López-Huerta, Francisco; Cervantes, Blanca; González, Octavio; Hernández-Torres, Julián; García-González, Leandro; Vega, Rosario; Herrera-May, Agustín L.; Soto, Enrique

    2014-01-01

    We present the study of the biocompatibility and surface properties of titanium dioxide (TiO2) thin films deposited by direct current magnetron sputtering. These films are deposited on a quartz substrate at room temperature and annealed with different temperatures (100, 300, 500, 800 and 1100 °C). The biocompatibility of the TiO2 thin films is analyzed using primary cultures of dorsal root ganglion (DRG) of Wistar rats, whose neurons are incubated on the TiO2 thin films and on a control substrate during 18 to 24 h. These neurons are activated by electrical stimuli and its ionic currents and action potential activity recorded. Through X-ray diffraction (XRD), the surface of TiO2 thin films showed a good quality, homogeneity and roughness. The XRD results showed the anatase to rutile phase transition in TiO2 thin films at temperatures between 500 and 1100 °C. This phase had a grain size from 15 to 38 nm, which allowed a suitable structural and crystal phase stability of the TiO2 thin films for low and high temperature. The biocompatibility experiments of these films indicated that they were appropriated for culture of living neurons which displayed normal electrical behavior. PMID:28788667

  17. Chemically deposited In2S3–Ag2S layers to obtain AgInS2 thin films by thermal annealing

    International Nuclear Information System (INIS)

    Lugo, S.; Peña, Y.; Calixto-Rodriguez, M.; López-Mata, C.; Ramón, M.L.; Gómez, I.; Acosta, A.

    2012-01-01

    Highlights: ► We obtained polycrystalline silver indium sulfide thin films through the annealing of chemically deposited In 2 S 3 –Ag 2 S films. ► According to XRD chalcopyrite structure of AgInS 2 was obtained. ► AgInS 2 thin film has a band gap of 1.86 eV and a conductivity value of 1.2 × 10 −3 (Ω cm) −1 . - Abstract: AgInS 2 thin films were obtained by the annealing of chemical bath deposited In 2 S 3 –Ag 2 S layers at 400 °C in N 2 for 1 h. According to the XRD and EDX results the chalcopyrite structure of AgInS 2 has been obtained. These films have an optical band gap, E g , of 1.86 eV and an electrical conductivity value of 1.2 × 10 −3 (Ω cm) −1 .

  18. Investigation of pentacene growth on SiO2 gate insulator after photolithography for nitrogen-doped LaB6 bottom-contact electrode formation

    Science.gov (United States)

    Maeda, Yasutaka; Hiroki, Mizuha; Ohmi, Shun-ichiro

    2018-04-01

    Nitrogen-doped (N-doped) LaB6 is a candidate material for the bottom-contact electrode of n-type organic field-effect transistors (OFETs). However, the formation of a N-doped LaB6 electrode affects the surface morphology of a pentacene film. In this study, the effects of surface treatments and a N-doped LaB6 interfacial layer (IL) were investigated to improve the pentacene film quality after N-doped LaB6 electrode patterning with diluted HNO3, followed by resist stripping with acetone and methanol. It was found that the sputtering damage during N-doped LaB6 deposition on a SiO2 gate insulator degraded the crystallinity of pentacene. The H2SO4 and H2O2 (SPM) and diluted HF treatments removed the damaged layer on the SiO2 gate insulator surface. Furthermore, the N-doped LaB6 IL improved the crystallinity of pentacene and realized dendritic grain growth. Owing to these surface treatments, the hole mobility improved from 2.8 × 10-3 to 0.11 cm2/(V·s), and a steep subthreshold swing of 78 mV/dec for the OFET with top-contact configuration was realized in air even after bottom-contact electrode patterning.

  19. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Como, N. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Martinez-Landeros, V. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Mejia, I. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Aguirre-Tostado, F.S. [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Nascimento, C.D.; Azevedo, G. de M; Krug, C. [Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre, 91509-900 (Brazil); Quevedo-Lopez, M.A., E-mail: mquevedo@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States)

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10{sup −1} to 10{sup 4} Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10{sup 19} to 10{sup 13} cm{sup −3} and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm{sup 2}/V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10{sup 19} to 10{sup 13} cm{sup −3}. • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied.

  20. Screen-Printed Photochromic Textiles through New Inks Based on SiO2@naphthopyran Nanoparticles.

    Science.gov (United States)

    Pinto, Tânia V; Costa, Paula; Sousa, Céu M; Sousa, Carlos A D; Pereira, Clara; Silva, Carla J S M; Pereira, Manuel Fernando R; Coelho, Paulo J; Freire, Cristina

    2016-10-26

    Photochromic silica nanoparticles (SiO 2 @NPT), fabricated through the covalent immobilization of silylated naphthopyrans (NPTs) based on 2H-naphtho[1,2-b]pyran (S1, S2) and 3H-naphtho[2,1-b]pyran (S3, S4) or through the direct adsorption of the parent naphthopyrans (1, 3) onto silica nanoparticles (SiO 2 NPs), were successfully incorporated onto cotton fabrics by a screen-printing process. Two aqueous acrylic- (AC-) and polyurethane- (PU-) based inks were used as dispersing media. All textiles exhibited reversible photochromism under UV and solar irradiation, developing fast responses and intense coloration. The fabrics coated with SiO 2 @S1 and SiO 2 @S2 showed rapid color changes and high contrasts (ΔE* ab = 39-52), despite presenting slower bleaching kinetics (2-3 h to fade to the original color), whereas the textiles coated with SiO 2 @S3 and SiO 2 @S4 exhibited excellent engagement between coloration and decoloration rates (coloration and fading times of 1 and 2 min, respectively; ΔE* ab = 27-53). The PU-based fabrics showed excellent results during the washing fastness tests, whereas the AC-based textiles evidenced good results only when a protective transfer film was applied over the printed design.

  1. Effect of N{sub 2} flow rate on the properties of N doped TiO{sub 2} films deposited by DC coupled RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Peng, Shou [State Key Laboratory of Silicate Materials for Architectures, Wuhan University of Technology, Wuhan 430000 (China); State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); Yang, Yong, E-mail: 88087113@163.com [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); Li, Gang; Jiang, Jiwen; Jin, Kewu; Yao, TingTing; Zhang, Kuanxiang [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); Cao, Xin [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China); School of Materials Science and Engineering, Dalian Jiaotong University, Dalian 116000 (China); Wang, Yun; Xu, Genbao [State Key Laboratory of Advanced Technology for Float Glass, Bengbu Design & Research Institute for Glass Industry, Bengbu 233000 (China)

    2016-09-05

    N doped TiO{sub 2} films were deposited on glass substrates at room temperature using DC coupled RF magnetron sputtering with a TiO{sub 2} ceramic target. The influences of N{sub 2} flow rate on the deposition rate, crystal structure, chemical composition and band gap of the deposited films were investigated by Optical profiler, X-ray diffraction, X-ray photoelectron spectroscope and ultraviolet-visible spectrophotometer. The film growth rate gradually decreased with increasing N{sub 2} flow rate. As N{sub 2} flow rate increased, the crystallization of the films deteriorated, and the films tended to form amorphous structure. XPS analysis revealed that N dopant atoms were added at the substitutional sites into TiO{sub 2} lattice structure. FE-SEM results showed that the grain size of the film decreased and the crystallinity degraded as N{sub 2} flow rate increases. In addition, N doping caused an obvious red shift in the optical absorption edge. - Highlights: • N doped TiO{sub 2} films were deposited by DC coupled RF magnetron reactive sputtering. • As N{sub 2} flow rate increases, the crystallization of the deposited films degrades. • The higher N{sub 2} flow rate is beneficial to form more substituted N in the film. • N doping causes an obvious red shift in the absorption wavelength.

  2. Spontaneous dissociation of Co2(CO8 and autocatalytic growth of Co on SiO2: A combined experimental and theoretical investigation

    Directory of Open Access Journals (Sweden)

    Kaliappan Muthukumar

    2012-07-01

    Full Text Available We present experimental results and theoretical simulations of the adsorption behavior of the metal–organic precursor Co2(CO8 on SiO2 surfaces after application of two different pretreatment steps, namely by air plasma cleaning or a focused electron beam pre-irradiation. We observe a spontaneous dissociation of the precursor molecules as well as autodeposition of cobalt on the pretreated SiO2 surfaces. We also find that the differences in metal content and relative stability of these deposits depend on the pretreatment conditions of the substrate. Transport measurements of these deposits are also presented. We are led to assume that the degree of passivation of the SiO2 surface by hydroxyl groups is an important controlling factor in the dissociation process. Our calculations of various slab settings, using dispersion-corrected density functional theory, support this assumption. We observe physisorption of the precursor molecule on a fully hydroxylated SiO2 surface (untreated surface and chemisorption on a partially hydroxylated SiO2 surface (pretreated surface with a spontaneous dissociation of the precursor molecule. In view of these calculations, we discuss the origin of this dissociation and the subsequent autocatalysis.

  3. Optical properties of YbF3-CaF2 composite thin films deposited by electron-beam evaporation

    Science.gov (United States)

    Wang, Songlin; Mi, Gaoyuan; Zhang, Jianfu; Yang, Chongmin

    2018-03-01

    We studied electron-beam evaporated YbF3-CaF2 composite films on ZnS substrate at different deposition parameters. The optical properties of films have been fitted, the surface roughness have been measured by AFM. The results of experiments indicated that increased the refractive indices, extinction coefficients, and surface roughness at higher deposition rate. The refractive index of composite film deposited by electron-beam evaporation with assisted-ion source was obviously higher than it without assisted-ion source.

  4. Optical characterization of hydrogen-free CeO2 doped DLC films deposited by unbalanced magnetron sputtering

    International Nuclear Information System (INIS)

    Zhang Zhenyu; Zhou Hongxiu; Guo Dongming; Gao Hang; Kang Renke

    2008-01-01

    A novel kind of hydrogen-free CeO 2 doped diamond-like carbon (DLC) films with thickness of 180-200 nm were deposited on silicon by unbalanced magnetron sputtering. Reduced reflectance and increased lifetime are expected with respect to pure DLC films, making these coatings good candidates as optical protective coatings for IR windows and solar cells. X-ray photoelectron spectroscopy confirms that CeO 2 is formed within the DLC films. Auger electron spectroscopy exhibits that the C, O, and Ce elements distribute uniformly across the film thickness, and C element diffuses into the Si substrate at the interface between the substrate and film. AFM shows that nanoparticles with diameter of around 50 nm are formed on the surface of deposited films, whose surface roughness is in the range of 1.3-2.3 nm. Raman spectra show the CeO 2 doped DLC films are amorphous DLC films, and both the G frequency and relative intensity ratio I D /I G are higher than those of pure DLC films. The photoluminescence of CeO 2 doped DLC films is obviously more intense than that of a pure DLC film, which indicates a promising potential as optical protective films for solar cells and IR window

  5. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    Science.gov (United States)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  6. Zirconium doped TiO{sub 2} thin films deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Juma, A. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Department of Physics and Astronomy, Botswana International University of Science and Technology, Private bag 16, Palapye (Botswana); Oja Acik, I., E-mail: ilona.oja@ttu.ee [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Oluwabi, A.T.; Mere, A. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Mikli, V.; Danilson, M. [Chair of Semiconductor Materials Technology, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Krunks, M. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia)

    2016-11-30

    Highlights: • Mean crystallite size of TiO{sub 2}:Zr film decreases with increasing [Zr] in the solution. • Zr doping supresses the anatase to rutile transformation process in TiO{sub 2} films. • Band gap of TiO{sub 2}:Zr film is 3.4 eV irrespective of the annealing temperature. - Abstract: Chemical spray pyrolysis (CSP) is a flexible deposition technique that allows for mixing of the precursor solutions in different proportions suitable for doping thin films. The CSP method was used to dope TiO{sub 2} thin films with Zr by adding zirconium(IV) acetylacetonate into a solution of titanium(IV) isopropoxide in ethanol stabilized by acetylacetone at [Zr]/[Ti] of 0, 5, 10 and 20 at%. The Zr-doped TiO{sub 2} thin films were uniform and homogeneous showing much smaller grains than the undoped TiO{sub 2} films. Zr stabilized the anatase phase to temperatures above 800 °C depending on Zr concentration in the spray solution. The concentration of Zr determined by XPS was 6.4 at% for the thin film deposited from the 20 at% solution. According to AFM studies, Zr doping decreased the root mean square roughness of TiO{sub 2} film from 5.9 to 1.1 nm. An XRD study of samples with the highest Zr amount showed the ZrTiO{sub 4} phase started forming after annealing at 800 °C. The optical band gap for TiO{sub 2} decreased from 3.3 eV to 3.0 eV after annealing at 800 °C but for the TiO{sub 2}:Zr(20) film it remained at 3.4 eV. The dielectric constant increased by more than four times with Zr-doping and this was associated with the change in the bond formations caused by substitution of Ti by Zr in the lattice.

  7. Studies on thin film materials on acrylics for optical applications

    Indian Academy of Sciences (India)

    Unknown

    single layer films of MgF2 and SiO2 have good optical transmittance ... increased from 76°C to 108°C during a period of 12 min of deposition. ... the film to PMMA substrate is also good. The difference ... We tried a 4-layer coating of design, consisting of Sub. .... Coating Materials brochure of E Merck, Germany 1998 and of.

  8. Synthesis and Luminescence Properties of Yellow-emitting SiO2/Zn2SiO4: Mn Nanocomposite

    Directory of Open Access Journals (Sweden)

    Karim OMRI

    2014-05-01

    Full Text Available Yellow light emitting Mn2+-doped b-Zn2SiO4 phosphor nanoparticles embedded in SiO2 host matrix, were prepared by a simple solid-phase reaction under natural atmosphere at 1500 °C for 2 hours after the incorporation of manganese doped zinc oxide nanoparticles in silica using sol-gel method. The SiO2/Zn2SiO4:Mn nanocomposite was characterized by X-ray diffraction (XRD, transmission electron microscopy (TEM, scanning electron microscopy (SEM and photoluminescence (PL. The nanopowder was crystallized in triclinic b-Zn2SiO4 phase with a particles size varies between 70 nm and 84 nm. The SiO2/b-Zn2SiO4:Mn nanocomposite exhibited a broad yellow emission band at 575 nm under UV excitation light. The dependence of the intensity and energy position of the obtained PL band on measurement temperature and power excitation will be discussed.

  9. Structure and optical band-gap energies of Ba0.5Sr0.5TiO3 thin films fabricated by RF magnetron plasma sputtering

    International Nuclear Information System (INIS)

    Xu, Zhimou; Suzuki, Masato; Yokoyama, Shin

    2005-01-01

    The structure and optical band-gap energies of Ba 0.5 Sr 0.5 TiO 3 (BST0.5) thin films prepared on SiO 2 /Si and fused quartz substrates by RF magnetron plasma sputtering were studied in terms of deposition temperature and film thickness. Highly (100)-oriented BST0.5 thin films were successfully sputtered on a Si substrate with an approximately 1.0-μm-thick SiO 2 layer at a deposition temperature of above 450degC. The optical transmittance of BST0.5 thin films weakly depended on the magnitude of X-ray diffraction (XRD) peak intensity. This is very helpful for monolithic integration of BST0.5 films for electrooptical functions directly onto a SiO 2 /Si substrate. The band-gap energies showed a strong dependence on the deposition temperature and film thickness. It was mainly related to the quantum size effect and the influence of the crystallinity of thin films, such as grain boundaries, grain size, oriented growth, and the existence of an amorphous phase. The band-gap energy values, which were much larger than those of single crystals, decreased with the increase in the deposition temperature and the thickness of BST0.5 thin films. The band-gap energy of 311-nm-thick amorphous BST0.5 thin film was about 4.45 eV and that of (100)-oriented BST0.5 thin film with a thickness of 447 nm was about 3.89 eV. It is believed that the dependence of the band-gap energies of the thin films on the crystallinity for various values of deposition temperature and film thickness means that there could be application in integrated optical devices. (author)

  10. Formation of {beta}-FeSi{sub 2} thin films by partially ionized vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Harada, Noriyuki; Takai, Hiroshi

    2003-05-01

    The partially ionized vapor deposition (PIVD) is proposed as a new method to realize low temperature formation of {beta}-FeSi{sub 2} thin films. In this method, Fe is evaporated by E-gun and a few percents of Fe atoms are ionized. We have investigated influences of the ion content and the accelerating voltage of Fe ions on the structural properties of {beta}-FeSi{sub 2} films deposited on Si substrates. It was confirmed that {beta}-FeSi{sub 2} can be formed on Si(1 0 0) substrate by PIVD even at substrate temperature as low as 350, while FeSi by the conventional vacuum deposition. It was concluded that the influence of Fe ions on preferential orientation of {beta}-FeSi{sub 2} depends strongly on the content and the acceleration energy of ions.

  11. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    Science.gov (United States)

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  12. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  13. Reaction Mechanisms of the Atomic Layer Deposition of Tin Oxide Thin Films Using Tributyltin Ethoxide and Ozone.

    Science.gov (United States)

    Nanayakkara, Charith E; Liu, Guo; Vega, Abraham; Dezelah, Charles L; Kanjolia, Ravindra K; Chabal, Yves J

    2017-06-20

    Uniform and conformal deposition of tin oxide thin films is important for several applications in electronics, gas sensing, and transparent conducting electrodes. Thermal atomic layer deposition (ALD) is often best suited for these applications, but its implementation requires a mechanistic understanding of the initial nucleation and subsequent ALD processes. To this end, in situ FTIR and ex situ XPS have been used to explore the ALD of tin oxide films using tributyltin ethoxide and ozone on an OH-terminated, SiO 2 -passivated Si(111) substrate. Direct chemisorption of tributyltin ethoxide on surface OH groups and clear evidence that subsequent ligand exchange are obtained, providing mechanistic insight. Upon ozone pulse, the butyl groups react with ozone, forming surface carbonate and formate. The subsequent tributyltin ethoxide pulse removes the carbonate and formate features with the appearance of the bands for CH stretching and bending modes of the precursor butyl ligands. This ligand-exchange behavior is repeated for subsequent cycles, as is characteristic of ALD processes, and is clearly observed for deposition temperatures of 200 and 300 °C. On the basis of the in situ vibrational data, a reaction mechanism for the ALD process of tributyltin ethoxide and ozone is presented, whereby ligands are fully eliminated. Complementary ex situ XPS depth profiles confirm that the bulk of the films is carbon-free, that is, formate and carbonate are not incorporated into the film during the deposition process, and that good-quality SnO x films are produced. Furthermore, the process was scaled up in a cross-flow reactor at 225 °C, which allowed the determination of the growth rate (0.62 Å/cycle) and confirmed a self-limiting ALD growth at 225 and 268 °C. An analysis of the temperature-dependence data reveals that growth rate increases linearly between 200 and 300 °C.

  14. The Role of SiO2 Gas in the Operation of Anti-Corrosion Coating Produced by PVD

    Directory of Open Access Journals (Sweden)

    Meysam Zarchi

    2015-09-01

    Full Text Available This study examined theSiO2 gas present in the coatings used in corrosion industry.These layers have been created by physical vapor deposition (PVD, with an appropriate performance. Sublimation of SiO2is used to protect PVD aluminum flakes from water corrosionand to generate highly porous SiO2 flakes with holes in the nanometer range. SiOx/Al/SiOx sandwiches were made as well as Ag loaded porous SiO2 as antimicrobial filler.

  15. A flexible angle sensor made from MWNT/CuO/Cu{sub 2}O nanocomposite films deposited by an electrophoretic co-deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Toboonsung, Buppachat, E-mail: buppachattt@yahoo.co.th [Physics and General Science Program, Faculty of Science and Technology, Nakhon Ratchasima Rajabhat University, Nakhon Ratchasima 30000 (Thailand); Singjai, Pisith, E-mail: singjai@hotmail.com [Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Materials Science Research Center, Faculty of Science, Chiang Mai University, Chiang Mai, 50200 (Thailand)

    2012-08-25

    Highlights: Black-Right-Pointing-Pointer MWNT/CuO/Cu{sub 2}Onanocomposite films were coated on a PET sheet. Black-Right-Pointing-Pointer The film resistance and application as angle sensor were investigated. Black-Right-Pointing-Pointer Thesensor showed a linear relation between the film resistance and the bending angle. Black-Right-Pointing-Pointer A minimum loop area and a high stability in sensitivity over a thousand bending cycles were obtained. - Abstract: A flexible angle sensor was prepared using an electrophoretic co-deposition process to form nanocomposite networks of multi-wall carbon nanotube/cupric oxide/cuprous oxide (MWNT/CuO/Cu{sub 2}O) on a polyethylene terephthalate (PET) sheet. The deposition method used copper and stainless steel electrodes, and the effects of varying of electrode separation, MWNT concentration in deionized water, voltage and deposition time were studied. The film resistance of the as-deposited samples decreased with increasing the MWNT concentration up to 0.3 mg/ml. The angle sensor showed a linear relation between the film resistance and the bending angle, a relationship that was illustrated with loop area and sensitivity data. The best angle sensor was successfully made with an electrode separation of 8 mm, a concentration of 0.3 mg/ml, a voltage of 10 V and a deposition time of 3 h, parameters that resulted in a minimum loop area and the most stability in sensitivity over a thousand bending cycles.

  16. Photocatalytically active Au/TiO2 films deposited by two-step spray pyrolysis

    International Nuclear Information System (INIS)

    Balashev, Konstantin; Georgiev, Petar; Simeonova, Sylvia; Stambolova, Irina; Blaskov, Vladimir; Vassilev, Sasho; Eliyas, Alexander

    2016-01-01

    Nanocrystalline TiO 2 and surface gold-modified films (Au/TiO 2 ) are obtained by two step spray pyrolysis process. Titanium tetrachloride (TiCl 4 ) was used as inorganic titanium precursor. The Au nanoparticles were deposited on the surface of sprayed TiO 2 films, obtained by the classical Turkevich method. The AFM analyses have revealed that the roughness of Au/TiO 2 is twice lower than that of the reference titania film. Some globular species are visible on the surface, which could be either individual Au nanoparticles or Au nanoparticles’ agglomerates embedded into the TiO 2 film. The photocatalytic activity in the oxidative degradation of Reactive Black 5 dye under visible light of the Au/TiO 2 films was estimated in a semi-batch reactor. Surface gold modified TiO 2 films revealed higher photocatalytic efficiency than the reference sample. Key words: Au nanoparticles, photocatalysis, azo dye, titania, nanosized

  17. A high-efficiency solution-deposited thin-film photovoltaic device

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)

    2008-10-02

    High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  18. Positron annihilation studies of the AlOx/SiO2/Si interface in solar cell structures

    International Nuclear Information System (INIS)

    Edwardson, C. J.; Coleman, P. G.; Li, T.-T. A.; Cuevas, A.; Ruffell, S.

    2012-01-01

    Film and film/substrate interface characteristics of 30 and 60 nm-thick AlO x films grown on Si substrates by thermal atomic layer deposition (ALD), and 30 nm-thick AlO x films by sputtering, have been probed using variable-energy positron annihilation spectroscopy (VEPAS) and Doppler-broadened spectra ratio curves. All samples were found to have an interface which traps positrons, with annealing increasing this trapping response, regardless of growth method. Thermal ALD creates an AlO x /SiO x /Si interface with positron trapping and annihilation occurring in the Si side of the SiO x /Si boundary. An induced positive charge in the Si next to the interface reduces diffusion into the oxides and increases annihilation in the Si. In this region there is a divacancy-type response (20 ± 2%) before annealing which is increased to 47 ± 2% after annealing. Sputtering seems to not produce samples with this same electrostatic shielding; instead, positron trapping occurs directly in the SiO x interface in the as-deposited sample, and the positron response to it increases after annealing as an SiO 2 layer is formed. Annealing the film has the effect of lowering the film oxygen response in all film types. Compared to other structural characterization techniques, VEPAS shows larger sensitivity to differences in film preparation method and between as-deposited and annealed samples.

  19. Properties of TiO{sub 2} thin films deposited by rf reactive magnetron sputtering on biased substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nezar, Sawsen, E-mail: snezar@cdta.dz [Equipe Plasma & Applications, Division des Milieux Ionisés et Lasers, Centre de Développement des Technologies Avancées, Cité du 20 Aout 1956, Baba Hassen, Alger (Algeria); Laboratoire des phénomènes de transfert, génie chimique, Faculté de Génie des procèdes, USTHB, BP 32 El-alia, Bab Ezzouar, Alger (Algeria); Saoula, Nadia [Equipe Plasma & Applications, Division des Milieux Ionisés et Lasers, Centre de Développement des Technologies Avancées, Cité du 20 Aout 1956, Baba Hassen, Alger (Algeria); Sali, Samira [Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique (CRTSE Algiers) (Algeria); Faiz, Mohammed; Mekki, Mogtaba [Physics Department, King Fahd University of Petroleum and Minerals, Dhahran (Saudi Arabia); Laoufi, Nadia Aïcha [Laboratoire des phénomènes de transfert, génie chimique, Faculté de Génie des procèdes, USTHB, BP 32 El-alia, Bab Ezzouar, Alger (Algeria); Tabet, Nouar [Qatar Environment and Energy Research Institute, Hamad Bin Khalifa University (HBKU), Doha (Qatar)

    2017-02-15

    Highlights: • TiO{sub 2} thin films were deposited on negatively biased substrates by rf magnetron sputtering technique. • The bias favors the formation of TiO{sub 2} crystalline phase. • The roughness of the films increases and the grain size decreases as the bias voltage is varied between (0 and −100 V). • XPS reveals the presence of adsorbed humidity of the surface and Ti{sup 4+} oxidation state in the as prepared samples. - Abstract: TiO{sub 2} thin films are of paramount importance due to their pervasive applications. In contrast to previous published works where the substrate was heated at high temperatures to obtain TiO{sub 2} crystalline phase, we show in this study that it is possible to deposit crystalline TiO{sub 2} thin films on biased and unbiased substrate at room temperature using reactive rf magnetron sputtering. The bias voltage was varied from 0 V to −100 V. The deposited films were characterized using X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), UV–vis spectroscopy, Raman spectroscopy, X-ray Photoelectron Spectroscopy (XPS) and atomic force microscopy (AFM). The average crystallite size was estimated using x-ray diffraction. The results showed that the application of negative bias affects the surface roughness of the films and favors the formation of the rutile phase. The root mean square roughness (R{sub rms}), the average grain size and the optical band gap of the films decreased as the substrate bias voltage was varied from 0 to −100 V. The UV–visible transmittance spectra showed that the films were transparent in the visible range and absorb strongly in the UV range. This study shows that biasing the substrate could be a promising and effective alternative to deposit TiO{sub 2} crystallized thin films of engineered properties at room temperature.

  20. An Investigation of Structural and Electrical Properties of Nano Crystalline SnO2:Cu Thin Films Deposited by Spray Pyrolysis

    Directory of Open Access Journals (Sweden)

    J. Podder

    2011-11-01

    Full Text Available Pure tin oxide (SnO2 and Cu doped SnO2 thin films have been deposited onto glass substrates by a simple spray pyrolysis technique under atmospheric pressure at temperature 350 °C. The doping concentration of Cu was varied from 1 to 8 wt. % while all other deposition parameters such as spray rate, carrier air gas pressure, deposition time, and distance between spray nozzle to substrate were kept constant. Surface morphology of the as-deposited thin films has been studied by Scanning Electron Microscopy (SEM. The SEM micrograph of the films shows uniform deposition. The structural properties of the as-deposited and annealed thin films have been studied by XRD and the electrical characterization was performed by Van-der Pauw method. The as-deposited films are found polycrystalline in nature with tetragonal crystal structure. Average grain sizes of pure and Cu doped SnO2 thin film have been obtained in the range of 7.2445 Å to 6.0699 Å, which indicates the nanometric size of SnO2 grains developed in the film. The resistivity of SnO2 films was found to decrease initially from 4.5095×10−4 Ωm to 1.1395× 10−4 Ωm for concentration of Cu up to 4 % but it was increased further with increasing of Cu concentrations. The experimental results depict the suitability of this material for using as transparent and conducting window materials in solar cells and gas sensors.

  1. Report on cascade energy relaxation from PVP to Tb3+:Bi2SiO5 nanophosphor through salicylic acid in composite polymeric film

    Science.gov (United States)

    Kumari, Pushpa; Dwivedi, Y.

    2018-05-01

    The present article reports structural and spectroscopic properties of Tb:Bi2SiO5 nanophosphors dispersed in Polyvinylpyrrolidone polymer film, in presence of Salicylic acid (SA) molecule, which acts as a sensitizer. Detailed structural and spectroscopic characterizations were carried out using X-ray diffraction patterns, Scanning Electron Microscope, Fourier Transform Infrared and Excitation and photoluminescence techniques. The mean crystallite size of Tb3+:Bi2SiO5 nanophosphor and Tb3+:Bi2SiO5 in Polyvinylpyrrolidone polymer composite was estimated ∼22 nm and ∼28 nm, respectively. We have report atleast two times enhancement in Tb3+ ions emission intensity due to the efficient energy transfer from salicylic acid molecule to Tb ions. In addition to energy transfer from salicylic acid, the Polyvinylpyrrolidone polymeric host was also reported to serve as a sensitizer for SA molecule and Tb3+ ions through a cascade energy relaxation process while exciting with 248 nm photons. On 248 nm photon excitation, atleast five improvements in Tb3+ ion emission intensity are reported. Presence of SA molecule facilitates precise colour tuning as obvious from the CIE coordinates.

  2. Effect of both deposition temperature and indium doping on the properties of sol-gel dip-coated SnO2 films.

    Science.gov (United States)

    Caglar, Mujdat; Atar, Kadir Cemil

    2012-10-01

    Using indium chloride as an In source, In-doped SnO(2) films were fabricated by sol-gel method through dip-coating on borofloat glass substrates. The undoped SnO(2) films were deposited in air between 400 and 600 °C to get optimum deposition temperature in terms of crystal quality and hence In-doped SnO(2) films were deposited in air at 600 °C. The effect of both deposition temperature and In content on structural, morphological, optical and electrical properties was investigated. The crystalline structure and orientation of the films were investigated by X-ray diffraction (XRD) and surface morphology was studied by a field emission scanning electron microscope (FESEM). The compositional analysis of the films was confirmed by energy dispersive X-ray spectrometer (EDS). The absorption band edge of the SnO(2) films shifted from 3.88 to 3.66 eV with In content. The van der Pauw method was used to measure the sheet resistance of the films. The sheet resistance was affected significantly by deposition temperature and In content. Copyright © 2012 Elsevier B.V. All rights reserved.

  3. Interface formation and defect structures in epitaxial La2Zr2O7 thin films on (111) Si

    International Nuclear Information System (INIS)

    Seo, J.W.; Fompeyrine, J.; Guiller, A.; Norga, G.; Marchiori, C.; Siegwart, H.; Locquet, J.-P.

    2003-01-01

    We have studied the growth of epitaxial La 2 Zr 2 O 7 thin films on (111) Si. Although the interface structure can be strongly affected by the Si oxidation during the deposition process, epitaxial growth of La 2 Zr 2 O 7 was obtained. A detailed study by means of transmission electron microscopy reveals two types of structures (pyrochlore and fluorite) with the same average chemical composition but strong differences in reactivity and interface formation. The structural complexity of the ordered pyrochlore structure seems to prevent excess oxygen diffusion and interfacial SiO 2 formation

  4. Enhanced antioxidation and microwave absorbing properties of SiO2-coated flaky carbonyl iron particles

    Science.gov (United States)

    Zhou, Yingying; Xie, Hui; Zhou, Wancheng; Ren, Zhaowen

    2018-01-01

    SiO2 was successfully coated on the surface of flaky carbonyl iron particles using a chemical bath deposition method in the presence of 3-aminopropyl triethoxysilane (APTES). The morphologies, composition, valence states of elements, as well as antioxidation and electromagnetic properties of the samples were characterized by scanning electron microscope (SEM), energy dispersive spectrometer (EDS), X-ray photoelectron spectroscopy (XPS), thermogravimetric (TG) and microwave network analyzer. TG curve shows the obvious weight gain of carbonyl iron was deferred to 360 °C after SiO2-coated, which can be ascribed to the exits of SiO2 overlayer. Compared with the raw carbonyl iron, SiO2-coated sample shows good wave absorption performance due to its impedance matching. The electromagnetic properties of raw and SiO2-coated carbonyl iron particles were characterized in X band before and after heat treatment at 250 °C for 10 h. It was established that SiO2-coated carbonyl iron demonstrate good thermal stability, indicating SiO2-coating is useful in the usage of microwave absorbers operating at temperature up to 250 °C.

  5. Evidence of room temperature ferromagnetism in argon/oxygen annealed TiO2 thin films deposited by electron beam evaporation technique

    International Nuclear Information System (INIS)

    Mohanty, P.; Kabiraj, D.; Mandal, R.K.; Kulriya, P.K.; Sinha, A.S.K.; Rath, Chandana

    2014-01-01

    TiO 2 thin films deposited by electron beam evaporation technique annealed in either O 2 or Ar atmosphere showed ferromagnetism at room temperature. The pristine amorphous film demonstrates anatase phase after annealing under Ar/O 2 atmosphere. While the pristine film shows a super-paramagnetic behavior, both O 2 and Ar annealed films display hysteresis at 300 K. X-ray photo emission spectroscopy (XPS), Raman spectroscopy, Rutherford’s backscattering spectroscopy (RBS), cross-sectional transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS) were used to refute the possible role of impurities/contaminants in magnetic properties of the films. The saturation magnetization of the O 2 annealed film is found to be higher than the Ar annealed one. It is revealed from shifting of O 1s and Ti 2p core level spectra as well as from the enhancement of high binding energy component of O 1s spectra that the higher magnetic moment is associated with higher oxygen vacancies. In addition, O 2 annealed film demonstrates better crystallinity, uniform deposition and smoother surface than that of the Ar annealed one from glancing angle X-ray diffraction (GAXRD) and atomic force microscopy (AFM). We conclude that although ferromagnetism is due to oxygen vacancies, the higher magnetization in O 2 annealed film could be due to crystallinity, which has been observed earlier in Co doped TiO 2 film deposited by pulsed laser deposition (Mohanty et al., 2012 [10]). - Highlights: • TiO 2 films were deposited by e-beam evaporation technique and post annealed under O 2 /Ar at 500 °C. • The pristine film shows SPM behavior where as O 2 and Ar annealed films demonstrate RTFM. • The presence of magnetic impurities has been discarded by various characterization techniques. • The magnetic moment is found to be higher in O 2 annealed film than the Ar annealed one. • The higher M s in O 2 annealed film is attributed to oxygen vacancies as well as crystallinity

  6. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  7. Fabrication and characterization of vacuum deposited fluorescein thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)

    2011-03-31

    Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.

  8. Characterization of diamond thin films deposited by a CO{sub 2} laser-assisted combustion-flame method

    Energy Technology Data Exchange (ETDEWEB)

    McKindra, Travis, E-mail: mckindra@mst.edu [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); O' Keefe, Matthew J. [Department of Materials Science and Engineering, Missouri University of Science and Technology, Rolla, MO 65409 (United States); Xie Zhiqiang; Lu Yongfeng [Department of Electrical Engineering, University of Nebraska-Lincoln, Lincoln, NE 68588 (United States)

    2010-06-15

    Diamond thin films were deposited by a CO{sub 2} laser-assisted O{sub 2}/C{sub 2}H{sub 2}/C{sub 2}H{sub 4} combustion-flame process. The effect of the deposition parameters, in particular the laser wavelength and power, on the film surface morphology, microstructure and phases present was the primary focus of the work. The laser power was set at 100, 400 and 800 W while the wavelength was varied and set at 10.591 {mu}m in the untuned condition and set at 10.532 {mu}m to resonantly match the CH{sub 2}-wagging vibrational mode of the C{sub 2}H{sub 4} molecule when in the tuned condition. When the laser was coupled to the combustion flame during deposition the diamond film growth was enhanced as the lateral grain size increased from 1 {mu}m to greater than 5 {mu}m. The greatest increase in grain size occurred when the wavelength was in the tuned condition. Scanning transmission electron microscopy images from focused-ion beam cross-sectioned samples revealed a sub-layer of smaller grains less than 1 {mu}m in size near the substrate surface at the lower laser powers and untuned wavelength. X-ray diffraction results showed a more intense Diamond (111) peak as the laser power increased from 100 to 800 W for the films deposited with the tuned laser wavelength. Micro-Raman spectra showed a diamond peak nearly twice as intense from the films with the tuned laser wavelength.

  9. TiO2 nanoparticle thin film deposition by matrix assisted pulsed laser evaporation for sensing applications

    International Nuclear Information System (INIS)

    Caricato, A.P.; Capone, S.; Ciccarella, G.; Martino, M.; Rella, R.; Romano, F.; Spadavecchia, J.; Taurino, A.; Tunno, T.; Valerini, D.

    2007-01-01

    The MAPLE technique has been used for the deposition of nanostructured titania (TiO 2 ) nanoparticles thin films to be used for gas sensors applications. An aqueous solution of TiO 2 nanoparticles, synthesised by a novel chemical route, was frozen at liquid nitrogen temperature and irradiated with a pulsed ArF excimer laser in a vacuum chamber. A uniform distribution of TiO 2 nanoparticles with an average size of about 10 nm was deposited on Si and interdigitated Al 2 O 3 substrates as demonstrated by high resolution scanning electron microscopy-field emission gun inspection (SEM-FEG). Energy dispersive X-ray (EDX) analysis revealed the presence of only the titanium and oxygen signals and FTIR (Fourier transform infra-red) revealed the TiO 2 characteristic composition and bond. A comparison with a spin coated thin film obtained from the same solution of TiO 2 nanoparticles is reported. The sensing properties of the films deposited on interdigitated substrates were investigated, too

  10. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  11. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  12. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  13. Photoluminescent characteristics of ion beam synthesized Ge nanoparticles in thermally grown SiO2 films

    International Nuclear Information System (INIS)

    Yu, C.F.; Chao, D.S.; Chen, Y.-F.; Liang, J.H.

    2013-01-01

    Prospects of developing into numerous silicon-based optoelectronic applications have prompted many studies on the optical properties of Ge nanoparticles within a silicon oxide (SiO 2 ) matrix. Even with such abundant studies, the fundamental mechanism underlying the Ge nanoparticle-induced photoluminescence (PL) is still an open question. In order to elucidate the mechanism, we dedicate this study to investigating the correlation between the PL properties and microstructure of the Ge nanoparticles synthesized in thermally grown SiO 2 films. Our spectral data show that the peak position, at ∼3.1 eV or 400 nm, of the PL band arising from the Ge nanoparticles was essentially unchanged under different Ge implantation fluences and the temperatures of the following annealing process, whereas the sample preparation parameters modified or even fluctuated (in the case of the annealing temperature) the peak intensity considerably. Given the microscopically observed correlation between the nanoparticle structure and the sample preparation parameters, this phenomenon is consistent with the mechanism in which the oxygen-deficiency-related defects in the Ge/SiO 2 interface act as the major luminescence centers; this mechanism also successfully explains the peak intensity fluctuation with the annealing temperature. Moreover, our FTIR data indicate the formation of GeO x upon ion implantation. Since decreasing of the oxygen-related defects by the GeO x formation is expected to be correlated with the annealing temperature, presence of the GeO x renders further experimental support to the oxygen defect mechanism. This understanding may assist the designing of the manufacturing process to optimize the Ge nanoparticle-based PL materials for different technological applications

  14. Influence of annealing temperature on the structural, mechanical and wetting property of TiO2 films deposited by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Pradhan, Swati S.; Sahoo, Sambita; Pradhan, S.K.

    2010-01-01

    TiO 2 films have been deposited on silicon substrates by radio frequency magnetron sputtering of a pure Ti target in Ar/O 2 plasma. The TiO 2 films deposited at room temperature were annealed for 1 h at different temperatures ranging from 400 o C to 800 o C. The structural, morphological, mechanical properties and the wetting behavior of the as deposited and annealed films were obtained using Raman spectroscopy, atomic force microscopy, transmission electron microscopy, nanoindentation and water contact angle (CA) measurements. The as deposited films were amorphous, and the Raman results showed that anatase phase crystallization was initiated at annealing temperature close to 400 o C. The film annealed at 400 o C showed higher hardness than the film annealed at 600 o C. In addition, the wettability of film surface was enhanced with an increase in annealing temperature from 400 o C to 800 o C, as revealed by a decrease in water CA from 87 o to 50 o . Moreover, the water CA of the films obtained before and after UV light irradiation revealed that the annealed films remained more hydrophilic than the as deposited film after irradiation.

  15. Induced Recrystallization of CdTe Thin Films Deposited by Close-Spaced Sublimation

    International Nuclear Information System (INIS)

    Mayo, B.

    1998-01-01

    We have deposited CdTe thin films by close-spaced sublimation at two different temperature ranges. The films deposited at the lower temperature partially recrystallized after CdCl2 treatment at 350C and completely recrystallized after the same treatment at 400C. The films deposited at higher temperature did not recrystallize at these two temperatures. These results confirmed that the mechanisms responsible for changes in physical properties of CdTe films treated with CdCl2 are recrystallization and grain growth, and provided an alternative method to deposit CSS films using lower temperatures

  16. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  17. Ecofriendly and Nonvacuum Electrostatic Spray-Assisted Vapor Deposition of Cu(In,Ga)(S,Se)2 Thin Film Solar Cells.

    Science.gov (United States)

    Hossain, Md Anower; Wang, Mingqing; Choy, Kwang-Leong

    2015-10-14

    Chalcopyrite Cu(In,Ga)(S,Se)2 (CIGSSe) thin films have been deposited by a novel, nonvacuum, and cost-effective electrostatic spray-assisted vapor deposition (ESAVD) method. The generation of a fine aerosol of precursor solution, and their controlled deposition onto a molybdenum substrate, results in adherent, dense, and uniform Cu(In,Ga)S2 (CIGS) films. This is an essential tool to keep the interfacial area of thin film solar cells to a minimum value for efficient charge separation as it helps to achieve the desired surface smoothness uniformity for subsequent cadmium sulfide and window layer deposition. This nonvacuum aerosol based approach for making the CIGSSe film uses environmentally benign precursor solution, and it is cheaper for producing solar cells than that of the vacuum-based thin film solar technology. An optimized CIGSSe thin film solar cell with a device configuration of molybdenum-coated soda-lime glass substrate/CIGSSe/CdS/i-ZnO/AZO shows the photovoltaic (j-V) characteristics of Voc=0.518 V, jsc=28.79 mA cm(-2), fill factor=64.02%, and a promising power conversion efficiency of η=9.55% under simulated AM 1.5 100 mW cm(-2) illuminations, without the use of an antireflection layer. This demonstrates the potential of ESAVD deposition as a promising alternative approach for making thin film CIGSSe solar cells at a lower cost.

  18. Porous nanostructured ZnO films deposited by picosecond laser ablation

    International Nuclear Information System (INIS)

    Sima, Cornelia; Grigoriu, Constantin; Besleaga, Cristina; Mitran, Tudor; Ion, Lucian; Antohe, Stefan

    2012-01-01

    Highlights: ► We deposite porous nanostructured ZnO films by picoseconds laser ablation (PLA). ► We examine changes of the films structure on the experimental parameter deposition. ► We demonstrate PLA capability to produce ZnO nanostructured films free of particulates. - Abstract: Porous nanostructured polycrystalline ZnO films, free of large particulates, were deposited by picosecond laser ablation. Using a Zn target, zinc oxide films were deposited on indium tin oxide (ITO) substrates using a picosecond Nd:YVO 4 laser (8 ps, 50 kHz, 532 nm, 0.17 J/cm 2 ) in an oxygen atmosphere at room temperature (RT). The morpho-structural characteristics of ZnO films deposited at different oxygen pressures (150–900 mTorr) and gas flow rates (0.25 and 10 sccm) were studied. The post-deposition influence of annealing (250–550 °C) in oxygen on the film characteristics was also investigated. At RT, a mixture of Zn and ZnO formed. At substrate temperatures above 350 °C, the films were completely oxidized, containing a ZnO wurtzite phase with crystallite sizes of 12.2–40.1 nm. At pressures of up to 450 mTorr, the porous films consisted of well-distinguished primary nanoparticles with average sizes of 45–58 nm, while at higher pressures, larger clusters (3.1–14.7 μm) were dominant, leading to thicker films; higher flow rates favored clustering.

  19. One step process of decomposition and polymerization to fabricate SiO_2 hollow spheres/polyimide composite for foldable OLEDs

    International Nuclear Information System (INIS)

    Kim, Min Kyu; Kim, Dong Won; Moon, Soo Hyun; Shin, Dong-Wook; Oh, Tae Sik; Yoo, Ji Beom

    2017-01-01

    Graphical abstract: The SiO_2 hollow spheres and polyimide hybrid synthesized using one step process, simultaneous occurrence of decomposition and polymerization (SODP) is useful with a ultra-low dielectric constant and high thermal stability for flexible OLED. - Highlights: • We fabricated hybrid films of SHS/PI by using a one step process with SODP. • The film has ultralow dielectric constant (50 vol% at k = 1.67). • There is no collapse of SiO_2 hollow spheres in the PI matrix after bending test for 50,000 cycles. - Abstract: The fabrication of interlayer dielectrics (ILDs) in flexible organic light-emitting diodes (OLEDs) requires flexible materials with a low dielectric constant as well as materials with excellent electrical, thermal, and mechanical properties for optimal device performance. Hybrid films of SiO_2 hollow spheres (SHS)/polyimide (PI) were prepared using a one-step process, with simultaneous occurrence of decomposition (polystyrene, PS) and polymerization (PI) (SODP). No collapse of SiO_2 hollow spheres in PI was observed from 10 vol% to 60 vol% SHS in hybrid films. The dielectric constant of hybrid films was reduced from 3.45 to 1.67 and was saturated at above 50 vol% of SHS due to the maximum fill factor of SHS in the PI matrix. The thermal stability was excellent up to 500 °C due to the inherent thermal property of PI. After a bending test for 50,000 cycles at a bending radius of 1 mm, the SHS/PI hybrid films retained their dielectric constant and current density. These results indicate the hybrid film to be the most promising candidate for flexible ILDs with a low dielectric constant and high thermal stability for foldable OLEDs.

  20. Effect of SiO2 Overlayer on WO3 Sensitivity to Ammonia

    Directory of Open Access Journals (Sweden)

    Vibha Srivastava

    2010-06-01

    Full Text Available Ammonia gas sensing properties of tungsten trioxide thick film sensor was investigated. The doping of noble catalysts such as Pt, Pd, Au enhanced the gas sensitivity. Platinum doping was found to result in highest sensitivity. Remarkable sensitivity enhancement was realized by coating WO3 thick film sensors with SiO2 overlayer. Sol gel process derived silica overlayer increased ammonia gas sensitivity for doped as well as undoped sensor.

  1. Effect of deposition parameter on hardness of amorphous carbon film prepared by plasma immersion ion implantation using C2H2

    International Nuclear Information System (INIS)

    Mitsuo, A.; Uchida, S.; Morikawa, K.; Kawaguchi, M.; Shiotani, K.; Suzuki, H.

    2007-01-01

    Carbon films were deposited on a cemented carbide substrate and silicon wafer at various bias voltages, acetylene (C 2 H 2 ) pressures and process times by plasma immersion ion implantation (PIII). In order to investigate the substrate temperature, the tool steel substrate was also simultaneously treated. The final substrate temperature was estimated from the hardness of the tool steel substrate. The surface and cross-sectional morphology of the deposited films were observed using a scanning electron microscope (SEM). Depth profiles of the carbon were obtained by Auger electron spectroscopy (AES). Raman spectroscopy was employed for the structural evaluation of the films. The hardness of the deposited films was measured using a nano-indenter with the maximum load of 0.5 mN. A variety of film hardnesses between 10 to 24 GPa was obtained. The hardness of the carbon films decreased with the increasing bias voltage, C 2 H 2 pressure and process time, although the intensity ratio of the disordered peak to graphitic peak in the Raman spectrum increased. It was considered that the decrease in the film hardness was caused by a stress reduction accompanied by a heating effect during the process as each PIII process parameter significantly influenced the substrate temperature

  2. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2009-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyldisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ0 2 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated green-blue and yellow-green PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices. (authors)

  3. Microstructure and phase composition of sputter-deposited zirconia-yttria films

    International Nuclear Information System (INIS)

    Knoll, R.W.; Bradley, E.R.

    1983-11-01

    Thin ZrO 2 -Y 2 O 3 coatings ranging in composition from 3 to 15 mole % Y 2 O 3 were produced by rf sputter deposition. This composition range spanned the region on the equilibrium ZrO 2 -Y 2 O 3 phase diagram corresponding to partially stabilized zirconia (a mixture of tetragonal ZrO 2 and cubic solid solution). Microstructural characteristics and crystalline phase composition of as-deposited and heat treated films (1100 0 C and 1500 0 C) were determined by transmission electron microscopy (TEM) and by x-ray diffraction (XRD). Effects of substrate bias (0 approx. 250 volts), which induced ion bombardment of the film during growth, were also studied. The as-deposited ZrO 2 -Y 2 O 3 films were single phase over the composition range studied, and XRD data indicated considerable local atomic disorder in the lattice. Films produced at low bias contained intergranular voids, pronounced columnar growth, and porosity between columns. At high bias, the microstructure was denser, and films contained high compressive stress. After heat treatment, all deposits remained single phase, therefore a microstructure and precipitate distribution characteristic of toughened, partially stabilized zirconia appear to be difficult to achieve in vapor deposited zirconia coatings

  4. Effect of Different Deposition Power of In2O3 Target on the Characteristics of IGZO Thin Films Using the Cosputtering Method

    Directory of Open Access Journals (Sweden)

    Shang-Chao Hung

    2014-01-01

    Full Text Available The (In, Ga, ZnOx (IGZO thin films were deposited on glass substrates using cosputtering method in radio frequency magnetron sputtering system. Zn2Ga2O5 (Ga2O3-2 ZnO, GZO and In2O3 ceramics were used as targets and dual guns were used to deposit the IGZO thin films. Deposition power of GZO target was 80 W and deposition power of pure In2O3 target was changed from 70 W to 100 W, and the deposition time was 30 min. The effect of deposition power of In2O3 target on the crystalline, surface, electrical, and optical properties of the IGZO thin films was investigated at room temperature in a pure Ar atmosphere. The cosputtered IGZO thin films showed a very smooth and featureless surface and an amorphous structure regardless of the deposition power of In2O3 target due to the room temperature sputtering process. However, the cosputtered IGZO thin films exhibited transparent electrode properties because they had high transmittance ratio and low resistivity. The value variations in the optical band gap (Eg values of the IGZO thin film were evaluated from the plots of (αhν2=c(hν-Eg. We would also show that the deposition power of In2O3 target would have a large effect on mobility and Eg value of the IGZO thin films.

  5. Improving the electrical properties of lanthanum silicate films on ge metal oxide semiconductor capacitors by adopting interfacial barrier and capping layers.

    Science.gov (United States)

    Choi, Yu Jin; Lim, Hajin; Lee, Suhyeong; Suh, Sungin; Kim, Joon Rae; Jung, Hyung-Suk; Park, Sanghyun; Lee, Jong Ho; Kim, Seong Gyeong; Hwang, Cheol Seong; Kim, HyeongJoon

    2014-05-28

    The electrical properties of La-silicate films grown by atomic layer deposition (ALD) on Ge substrates with different film configurations, such as various Si concentrations, Al2O3 interfacial passivation layers, and SiO2 capping layers, were examined. La-silicate thin films were deposited using alternating injections of the La[N{Si(CH3)3}2]3 precursor with O3 as the La and O precursors, respectively, at a substrate temperature of 310 °C. The Si concentration in the La-silicate films was further controlled by adding ALD cycles of SiO2. For comparison, La2O3 films were also grown using [La((i)PrCp)3] and O3 as the La precursor and oxygen source, respectively, at the identical substrate temperature. The capacitance-voltage (C-V) hysteresis decreased with an increasing Si concentration in the La-silicate films, although the films showed a slight increase in the capacitance equivalent oxide thickness. The adoption of Al2O3 at the interface as a passivation layer resulted in lower C-V hysteresis and a low leakage current density. The C-V hysteresis voltages of the La-silicate films with Al2O3 passivation and SiO2 capping layers was significantly decreased to ∼0.1 V, whereas the single layer La-silicate film showed a hysteresis voltage as large as ∼1.0 V.

  6. Growth and structure of hyperthin SiO2 coatings on polymers

    International Nuclear Information System (INIS)

    Dennler, G.; Houdayer, A.; Segui, Y.; Wertheimer, M.R.

    2001-01-01

    Transparent inorganic oxide coatings on polymers are playing an increasingly important role in pharmaceutical, food, and beverage packaging, and more recently in encapsulation of organic, light-emitting display devices. Such coatings are being prepared by physical or by chemical vacuum-deposition methods. They possess barrier properties against permeation of gases or vapors when they are thicker than a certain critical thickness, d c ; for d c , the 'oxygen transmission rate' (in standard cm 3 /m 2 /day/bar), for example, is roughly the same as that of the uncoated polymer. This fact is commonly attributed in the literature to a 'nucleation' phase of the coating's growth, during which it is thought to present an island-like structure. In order to test this hypothesis, we have deposited hyperthin SiO 2 coatings on various flexible polymeric substrates using plasma-enhanced chemical vapor deposition. The film thicknesses investigated here, well below d c (typically in the range 1-10 nm), were determined by Rutherford backscattering spectroscopy, which allows us to determine the surface concentration of silicon. This was found to be a linear function of the deposition time, t, for t≥0.5 s. Then, combining reactive ion etching in oxygen plasma with scanning electron and optical microscopy, we have been able to characterize the structure of the coatings: even for d≤2 nm, no island structure has been observed. Instead, we found continuous coatings which contain large concentrations, n, of tiny pinhole defects (with typical radii in the range of tens of nanometers), where n increases with decreasing d. These assertions are confirmed by grazing angle (80 deg. ) angle-resolved x-ray photoelectron spectroscopy, which shows that even for d=2 nm, the structural features of the polymer substrate cannot be detected

  7. Microwave electromagnetic and absorption properties of SiO2/C core/shell composites plated with metal cobalt

    Science.gov (United States)

    Shen, Guozhu; Fang, Xumin; Wu, Hongyan; Wei, Hongyu; Li, Jingfa; Li, Kaipeng; Mei, Buqing; Xu, Yewen

    2017-04-01

    A facile method has been developed to fabricate magnetic core/shell SiO2/C/Co sub-microspheres via the pyrolysis of SiO2/PANI (polyaniline) and electroless plating method. The electromagnetic parameters of these SiO2/C and SiO2/C/Co composites were measured and the microwave reflection loss properties were evaluated in the frequency range of 2-18 GHz. The results show that the dielectric loss of SiO2/C composite increases with the increase of carbonization temperature and the magnetic loss enhances due to the deposition of cobalt on the SiO2/C sub-microspheres. The reflection loss results exhibit that the microwave absorption properties of the SiO2/C/Co composites are more excellent than those of SiO2/C composites for each thickness. The maximum effective absorption bandwidth (reflection loss ≤ -10 dB) arrives at 5.0 GHz (13.0-18 GHz) for SiO2/C/Co composite with 1.5 mm of thickness and the minimum reflection loss value is -24.0 dB at 5.0 GHz with 4.0 mm of thickness. The microwave loss mechanism of the SiO2/C/Co composites was also discussed in this paper.

  8. Evidence of Plasmonic Induced Photocatalytic Hydrogen Production on Pd/TiO2 Upon Deposition on Thin Films of Gold

    KAUST Repository

    Khan, M. A.

    2017-02-28

    H2-production from renewables using sunlight is probably the holy grail of modern science and technology. Among the many approaches for increasing reaction rates, by increasing light absorption, plasmonic materials are often invoked. Yet, most plasmonic metals on semiconductors are also good for Schottky barrier formation. In this work, we are presenting evidences of de-coupling the plasmonic from Schottky effects on photoreaction. To conduct this we have systematically changed the under-layer gold film thickness and associated particle size. On top of the thin film layer, we have deposited the exact amount of a prototypical Schottky-based photo-catalyst (Pd/TiO2). We found up to 4 times increase in the H2-production rate at a critical Au film thickness (8 nm-thick). Below this thickness, the plasmonic response is not too strong while above it, the PR decays in favor of the Drude absorption mode. The reaction requires the presence of both UV (to excite the semiconductor) and visible light (to excite Au particles) in order to obtain high hydrogen production, 800 µmol/gCatal.min (probably the highest direct hydrogen (not current) production rate reported on a performing catalyst). The enhancement origin is quantitatively traced to its computed electric field strength (EFS). Adding a dielectric (SiO2) in between the Au thin layer and the catalyst exponentially decreased the reaction rate and EFS, with increasing its thickness. This work indicates the possibility of making an active and stable photo-catalyst from fundamental concepts yet further progress on the structural (technological) front is needed to make a practical catalyst.Graphical abstract

  9. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    Science.gov (United States)

    Naddaf, M.; Saloum, S.

    2008-09-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions ( \\chi _{O_2 } =0 , 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at \\chi _{O_2 } =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (~one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm-1) in the spectral range of their PL emission, attractive for possible integrated optics devices.

  10. Visible photoluminescence from plasma-polymerized-organosilicone thin films deposited from HMDSO/O2 induced remote plasma: effect of oxygen fraction

    International Nuclear Information System (INIS)

    Naddaf, M; Saloum, S

    2008-01-01

    Visible photoluminescence (PL) from thin films deposited on silicon wafers by remote plasma polymerization of the hexamethyledisiloxane (HMDSO)/O 2 mixture in a radio-frequency hollow cathode discharge reactor has been investigated as a function of different oxygen fractions (χ O 2 =0, 0.38, 0.61, 0.76 and 0.9). At room temperature, the film deposited at (χ O 2 =0 exhibits a strong, broad PL band peak centred at around 537.6 nm. A blue shift and a considerable decrease (∼one order) in the intensity of the PL peak are observed after the addition of oxygen. Furthermore, in contrast to the film deposited from pure HMDSO, the low temperature (15 K) PL spectra of the film deposited from different HMDSO/O 2 mixtures exhibit two separated 'green-blue' and 'yellow-green' PL peaks. The PL behaviour of the deposited films is correlated with their structural and morphological properties, investigated by using Fourier transform infrared, atomic force microscope and contact angle techniques. In addition, it is found from spectrophotometry measurements that the deposited films have relatively low absorption coefficients (in the range 100-500 cm -1 ) in the spectral range of their PL emission, attractive for possible integrated optics devices

  11. Thin films of thermoelectric compound Mg2Sn deposited by co-sputtering assisted by multi-dipolar microwave plasma

    International Nuclear Information System (INIS)

    Le-Quoc, H.; Lacoste, A.; Hlil, E.K.; Bes, A.; Vinh, T. Tan; Fruchart, D.; Skryabina, N.

    2011-01-01

    Highlights: → Mg 2 Sn thin films deposited by plasma co-sputtering, on silicon and glass substrates. → Formation of nano-grained polycrystalline films on substrates at room temperature. → Structural properties vary with target biasing and target-substrate distance. → Formation of the hexagonal phase of Mg 2 Sn in certain deposition conditions. → Power factor ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn films doped with ∼1 at.% Ag. - Abstract: Magnesium stannide (Mg 2 Sn) thin films doped with Ag intended for thermoelectric applications are deposited on both silicon and glass substrates at room temperature by plasma assisted co-sputtering. Characterization by scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction confirms the formation of fine-grained polycrystalline thin films with thickness of 1-3 μm. Stoichiometry, microstructure and crystal structure of thin films are found to vary with target biasing and the distance from targets to substrate. Measurements of electrical resistivity and Seebeck coefficient at room temperature show the maximum power factor of ∼5.0 x 10 -3 W K -2 m -1 for stoichiometric Mg 2 Sn thin films doped with ∼1 at.% Ag.

  12. Smooth YBa2Cu3O7-x thin films prepared by pulsed laser deposition in O2/Ar atmosphere

    DEFF Research Database (Denmark)

    Kyhle, Anders; Skov, Johannes; Hjorth, Søren

    1994-01-01

    We report on pulsed laser deposition of YBa2Cu3O7-x in a diluted O2/Ar gas resulting in thin epitaxial films which are almost outgrowth-free. Films were deposited on SrTiO3 or MgO substrates around 800-degrees-C at a total chamber pressure of 1.0 mbar, varying the argon partial pressure from 0 to 0.......6 mbar. The density of boulders and outgrowths usual for laser deposited films varies strongly with Ar pressure: the outgrowth density is reduced from 1.4 x 10(7) to 4.5 x 10(5) cm-2 with increasing Ar partial pressure, maintaining a critical temperature T(c,zero) almost-equal-to 90 K and a transport...... critical current density J(c)(77 K) greater-than-or-equal-to 10(6) A/cm2 by extended oxygenation time during cool down....

  13. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    Science.gov (United States)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  14. Wetting - Dewetting Transitions of Au/Ni Bilayer Films

    Science.gov (United States)

    Cen, Xi

    Thin films deposited at low temperatures are often kinetically constrained and will dewet the underlying substrate when annealed. Solid state dewetting is driven by the minimization of the total free energy of thin film-substrate interface and free surface, and mostly occurs through surface diffusion. Dewetting is a serious concern in microelectronics reliability. However, it can also be utilized for the self-assembly of nanostructures with potentials in storage, catalysis, or transistors. Therefore, a fundamental understanding of the dewetting behavior of thin metal films is critical for improving the thermal stability of microelectronics and controlling the order of self-assembled nanostructures. Mechanisms for dewetting of single layer films have been studied extensively. However little work has been reported on multilayer or alloyed thin films. In the thesis, the solid state dewetting of Au/Ni bilayer films deposited on SiO2/Si substrates was investigated by scanning electron microscopy (SEM), transmission electron microscopy (TEM) and aberration corrected scanning TEM (STEM). Ex-situ SEM and TEM studies were performed with in-situ TEM heating characterization to identify the mechanisms during the dewetting process of Au/Ni bilayer films. The solid state dewetting of Au/Ni bilayer films from SiO2/Si substrates exhibits both homogeneous and localized dewetting of Ni and long-edge retraction for Au under isothermal annealing condition. The top Au layer retracts up to 1 mm from the edge of the substrate wafer to reduce the energetically unfavored Au/Ni interface. In contrast, Ni dewets and agglomerates locally due to its limited diffusivity compared to Au. Film morphology and local chemical composition varies significantly across hundreds of microns along the direction normal to the retracting edge. Besides long range edge receding, localized dewetting shows significant changes in film morphology and chemical distribution. Both Au and Ni shows texturing. Despite

  15. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  16. Uniform deposition of ternary chalcogenide nanoparticles onto mesoporous TiO{sub 2} film using liquid carbon dioxide-based coating

    Energy Technology Data Exchange (ETDEWEB)

    Nursanto, Eduardus Budi [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Park, Se Jin [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Jeon, Hyo Sang; Hwang, Yun Jeong [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Kim, Jaehoon, E-mail: jaehoonkim@skku.edu [School of Mechanical Engineering, Sungkyunkwan University, 2066, Seobu-Ro, Jangan-Gu, Suwon, GyeongGi-Do 440–746 (Korea, Republic of); SKKU Advanced Institute of Nano Technology (SAINT), 2066, Seobu-Ro, Jangan-Gu, Suwon, GyeongGi-Do 440–746 (Korea, Republic of); Min, Byoung Koun, E-mail: bkmin@kist.re.kr [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Green School, Korea University, 145,Anam-ro, Seongbuk-gu, Seoul 136–713 (Korea, Republic of)

    2014-08-28

    We report the simultaneous deposition of two different metal precursors dissolved in liquid carbon dioxide (l-CO{sub 2}), aiming to the synthesis of ternary chalcopyrite (e.g. CuInS{sub 2}) nanoparticles on a mesoporous TiO{sub 2} film. The l-CO{sub 2}-based deposition of Cu and In precursors and subsequent reaction with a dilute H{sub 2}S gas resulted in Cu{sub x}In{sub y}S{sub z} nanoparticles uniformly deposited across the entire thickness of a mesoporous TiO{sub 2} film. Further heat treatment (air annealing and sulfurization) led to the formation of more stoichiometric CuInS{sub 2} nanoparticles. The formation of CuInS{sub 2} on TiO{sub 2} was confirmed by scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction, and Raman spectroscopy. The crystal growth of CuInS{sub 2} was also found to be controllable by adjusting the number of coating cycles of the l-CO{sub 2}-based deposition. - Highlights: • Simultaneous deposition of two different metal precursors dissolved in l-CO{sub 2}. • Uniform deposition of CuInS{sub 2} nanoparticles across mesoporous TiO{sub 2} film. • Highly crystalline CuInS{sub 2} formed on mesoporous TiO{sub 2} film. • Nearly stoichiometric ratio of Cu:In:S was obtained.

  17. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  18. Negative differential resistance effect induced by metal ion implantation in SiO2 film for multilevel RRAM application

    Science.gov (United States)

    Wu, Facai; Si, Shuyao; Shi, Tuo; Zhao, Xiaolong; Liu, Qi; Liao, Lei; Lv, Hangbing; Long, Shibing; Liu, Ming

    2018-02-01

    Pt/SiO2:metal nanoparticles/Pt sandwich structure is fabricated with the method of metal ion (Ag) implantation. The device exhibits multilevel storage with appropriate R off/R on ratio, good endurance and retention properties. Based on transmission electron microscopy and energy dispersive spectrometer analysis, we confirm that Pt nanoparticles are spurted into SiO2 film from Pt bottom electrode by Ag implantation; during electroforming, the local electric field can be enhanced by these Pt nanoparticles, meanwhile the Ag nanoparticles constantly migrate toward the Pt nanoparticles. The implantation induced nanoparticles act as trap sites in the resistive switching layer and play critical roles in the multilevel storage, which is evidenced by the negative differential resistance effect in the current-voltage (I-V) measurements.

  19. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  20. Improvement in the electronic quality of pulsed laser deposited CuIn{sub 0.7}Ga{sub 0.3}Se{sub 2} thin films via post-deposition elemental sulfur annealing process

    Energy Technology Data Exchange (ETDEWEB)

    Beres, M., E-mail: matthewcberes@gmail.com [University of California, Department of Mechanical Engineering, 6141 Etcheverry Hall, Berkeley, CA 94720 (United States); Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States); Yu, K.M., E-mail: kinmanyu@cityu.edu.hk [Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States); City University of Hong Kong, Department of Physics and Materials Science, 83 Tat Chee Avenue, Kowloon, Hong Kong Special Administrative Region (Hong Kong); Syzdek, J., E-mail: jego.mejl@gmail.com [Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States); Bio-Logic USA, 9050 Executive Park Dr NW, Knoxville, TN 37923 (United States); Mao, S.S., E-mail: ssmao@me.berkeley.edu [University of California, Department of Mechanical Engineering, 6141 Etcheverry Hall, Berkeley, CA 94720 (United States); Lawrence Berkeley National Laboratory, 1 Cyclotron Rd, Berkeley, CA 94720 (United States)

    2016-06-01

    We synthesized CuIn{sub 0.7}Ga{sub 0.3}Se{sub 2} thin films on soda lime glass substrates using pulsed laser deposition and post-annealing under different conditions. Increasing substrate temperature during deposition and vacuum annealing after deposition both increased grain size but had negligible effect on the electronic properties of the films. As-deposited films demonstrated P-type conductivities with high carrier concentrations and low Hall mobilities, but annealing in elemental sulfur environment significantly improved the electronic properties of the films. We found that the incorporation of even small quantities of sulfur into the films reduced carrier concentrations by over three orders of magnitude and increased Hall mobilities by an order of magnitude. This resulted in films with resistivity ~ 5 Ω·cm suitable for photovoltaic applications. - Highlights: • CIGSe thin films were deposited by pulsed laser deposition. • Laser deposition parameters and annealing parameters were investigated. • As-deposited films demonstrated high hole concentrations and low Hall mobilities. • Elemental sulfur annealing significantly enhanced the electronic quality of films.

  1. Epitaxial growth of ReS2(001) thin film via deposited-Re sulfurization

    Science.gov (United States)

    Urakami, Noriyuki; Okuda, Tetsuya; Hashimoto, Yoshio

    2018-02-01

    In this paper, we present the formation of large-size rhenium disulfide (ReS2) films via the sulfurization of Re films deposited on sapphire substrates. The effects of sulfurization temperature and pressure on the crystal quality were investigated. A [001]-oriented single crystal of ReS2 films with 6 × 10 mm2 area was realized. By sulfurizing Re films at 1100 °C, ReS2 films with well-defined sharp interfaces to c-plane sapphire substrates could be formed. Below and above the sulfurization temperature of 1100 °C, incomplete sulfurization and film degradation were observed. The twofold symmetry of the monocrystalline in-plane structure composed of Re-Re bonds along with Re-S bonds pointed to a distorted 1T structure, indicating that this structure is the most stable atomic arrangement for ReS2. For a S/Re compositional ratio equal to or slightly lower than 2.0, characteristic Raman vibrational modes with the narrowest line widths were observed. The typical absorption peak of ReS2 can be detected at 1.5 eV.

  2. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  3. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  4. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  5. Characterization of Cr2O3 thin films obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Pillis, M.F.; Galego, E.; Serna, M.M.; Correa, O.V.; Ramanathan, L.V.; Franco, A.C.

    2010-01-01

    The goal of this work was the synthesis and characterization of Cr 2 O 3 thin films, obtained by chemical vapor deposition, using chromium acetylacetonate as chromium precursor. The growth of the films was carried out in a conventional horizontal MOCVD equipment, under pressures varying from 2 to 10 mbar, and temperature of 600 deg C. It was observed that the growth of the films only occurs when oxygen is present in the atmosphere. Under growth pressures of 2 and 5 mbar the growth takes place but under 10 mbar of pressure the precursor is dragged and the growth does not occur. The characterization of the films was performed by using scanning electron microscopy and X-ray diffraction. The films presented a columnar structure, and thickness varying from 40 to 250 nm. The influence of some process parameters is discussed. (author)

  6. Local optical spectroscopy of opaline photonic crystal films

    Science.gov (United States)

    Bakhia, T.; Baranchikov, A. E.; Gorelik, V. S.; Klimonsky, S. O.

    2017-09-01

    The homogeneity of opaline films obtained by vertical deposition of colloidal SiO2 microparticles has been studied by scanning electron microscopy (SEM) and local optical spectroscopy. It was found that the particle size distribution is narrowed during the deposition, the microstructure of the films improves, and the reflection peak in the first photonic stop band increases and narrows. These changes may be due to the fact that large microparticles, whose mass significantly exceeds the average mass, leave the solution in the course of time, falling on the bottom of the vessel under gravity. It is established that the microstructure of opaline films is improved with a decrease in thickness.

  7. Growth parameter enhancement for MoS{sub 2} thin films synthesized by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Serna, Martha I.; Higgins, Marissa; Quevedo-Lopez, Manuel A. [Materials Science and Engineering Department, The University of Texas at Dallas, 800 W Campbell Road RL 10, Richardson TX 75080 (United States); Moreno, Salvador [Department of Mechanical Engineering, The University of Texas at Dallas, Richardson, TX 75080 (United States); Choi, Hyunjoo [Department of Advanced Materials Engineering, Kookmin University, Jeongneung-dong Seongbuk-gu, Seoul 136-702 (Korea, Republic of); Minary-Jolandan, Majid [Department of Mechanical Engineering, The University of Texas at Dallas, Richardson, TX 75080 (United States); Alan MacDiarmid Nanotech Institute, The University of Texas at Dallas, 800 W Campbell Road RL 10, Richardson TX 75080 (United States)

    2016-12-15

    Two-dimensional materials such as graphene and MoS{sub 2} have been the main focus of intense research efforts over the past few years. The most common method of exfoliating these materials, although efficient for lab-scale experiments, is not acceptable for large area and practical applications. Here, we report the deposition of MoS{sub 2} layered films on amorphous (SiO{sub 2}) and crystalline substrates (sapphire) using a pulsed laser deposition (PLD) method. Increased substrate temperature (∝700 C) and laser energy density (>530 mJ /cm{sup 2}) promotes crystalline MoS{sub 2} films < 20 nm, as demonstrated by fast Fourier transform (FFT) and transmission electron microscopy (TEM). The method reported here opens the possibility for large area layered MoS{sub 2} films by using a laser ablation processes. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Chemical bath deposition of thin semiconductor films for use as buffer layers in CuInS2 thin film solar cells

    International Nuclear Information System (INIS)

    Kaufmann, C.A.

    2002-01-01

    A CulnS 2 thin film solar cell is a multilayered semiconductor device. The solar cells discussed have a layer sequence Mo/CulnS 2 /buffer/i-ZnO/ZnO:Ga, where a heterojunction establishes between the p-type absorber and the n-type front contact. Conventionally the buffer consists of CdS, deposited by chemical bath deposition (CBD). Apart from providing process oriented benefits the buffer layer functions as a tool for engineering the energy band line-up at the heterojunction interface. Motivated through environmental concern and EU legislation it is felt necessary to substitute this potentially toxic layer by an alternative, Cd-free component. This thesis investigates the suitability of various Zn- and In-compounds, in particular In(OH,O) x S y , as alternative buffer layer materials using CBD. Initial experiments were carried out depositing Zn-based compounds from aqueous solutions. Characterization of the layers, the solution and the processed solar cells was performed. This thesis focuses on the investigation of the CBD process chemistry for the deposition of In-compound thin films. A careful study of the morphology and composition of the deposited thin films was conducted using electron microscopy (SEM, HREM), elastic recoil detection analysis, X-ray photoelectron spectroscopy and optical transmission spectroscopy. This allowed conclusions concerning the nucleation and film growth mechanism from the chemical bath. Connections between bath chemistry, different growth phases, layer morphology and solar cell performance were sought and an improved deposition process was developed. As a result, Cd-free CulnS 2 thin film solar cells with efficiencies of up to 10.6%) (total area) could be produced. Overall the substitution of CdS is shown to be possible by different alternative compounds, such as Zn(OH,O) x S y or In(OH,O) x S y . In the case of In(OH,O) x S y , an understanding of the CBD process and the effect of different growth phases on the resulting solar cell

  9. Influence of substrate temperature and annealing on structural and optical properties of TiO{sub 2} films deposited by reactive e-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Pjević, D., E-mail: dejanp@vinca.rs [VINČA Institute of Nuclear Sciences, University of Belgrade, PO Box 522, 11001 Belgrade (Serbia); Marinković, T.; Savić, J.; Bundaleski, N.; Obradović, M.; Milosavljević, M. [VINČA Institute of Nuclear Sciences, University of Belgrade, PO Box 522, 11001 Belgrade (Serbia); Kulik, M. [Frank Laboratory of Neutron Physics, JINR, Joliot-Curie St. 6, Dubna 141980, Moscow Region (Russian Federation)

    2015-09-30

    The influence of deposition and post-deposition annealing parameters on the structure and optical properties of TiO{sub 2} thin films synthesized by reactive e-beam evaporation is reported. Pure Ti (99.9%) was evaporated in oxygen atmosphere to form thin films on Si (100) and glass substrates. Depositions were conducted on substrates held at room temperature and at 200–400 °C heated substrates. Post-deposition annealing was done for 3 h at 500 °C in air. Compositional and structural studies were performed by Rutherford backscattering spectrometry, X-ray diffraction, and X-ray photoelectron spectroscopy, and optical properties were studied by ultraviolet–visible spectroscopy and analytically by pointwise unconstrained minimization approach method. It was found that both the structure and optical properties of the films are strongly influenced by the deposition and processing parameters. All deposited samples showed good stoichiometry of Ti:O ~ 1:2. Depending on the substrate temperature and oxygen pressure in the chamber during the deposition, anatase–rutile mixed films were obtained, and in some cases TiO and Ti{sub 2}O{sub 3} phases were observed. Substrate deposition temperature appears to play the major role on the final structure of the films, while post-deposition annealing adds up for the lack of oxygen in some cases and invokes crystal grain growth of already initiated phases. The results can be interesting towards the development of TiO{sub 2} thin films with defined structure and optical properties. - Highlights: • TiO{sub 2} films were deposited by reactive e-beam evaporation. • Structure and properties were studied as a function of deposition temperature. • Stoichiometry of as-deposited films was Ti:O ~ 1:2, containing different Ti-O phases. • Post-deposition annealing yielded phase transformation, affecting the properties. • Refractive index increases with the substrate deposition temperature.

  10. Growth of Fe2O3 thin films by atomic layer deposition

    International Nuclear Information System (INIS)

    Lie, M.; Fjellvag, H.; Kjekshus, A.

    2005-01-01

    Thin films of α-Fe 2 O 3 (α-Al 2 O 3 -type crystal structure) and γ-Fe 2 O 3 (defect-spinel-type crystal structure) have been grown by the atomic layer deposition (ALD) technique with Fe(thd) 3 (iron derivative of Hthd = 2,2,6,6-tetramethylheptane-3,5-dione) and ozone as precursors. It has been shown that an ALD window exists between 160 and 210 deg. C. The films have been characterized by various techniques and are shown to comprise (001)-oriented columns of α-Fe 2 O 3 with no in-plane orientation when grown on soda-lime-glass and Si(100) substrates. Good quality films have been made with thicknesses ranging from 10 to 130 nm. Films grown on α-Al 2 O 3 (001) and MgO(100) substrates have the α-Fe 2 O 3 and γ-Fe 2 O 3 crystal structure, respectively, and consist of highly oriented columns with in-plane orientations matching those of the substrates

  11. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  12. Thermochromic VO2 thin films deposited by magnetron sputtering for smart window applications

    Science.gov (United States)

    Fortier, Jean-Philippe

    "Smart" windows are a perfect innovative example of technology that reduces our energy dependence and our impact on the environment while saving on the economical point of view. With the use of vanadium dioxide (VO2), a thermochromic compound, and this, as a thin coating, it would in fact be possible to control the sun's transmission of infrared light (heat) as a function of the surrounding environment temperature. In other words, its optical behavior would allow a more effective management of heat exchanges between a living venue and the outdoor environment. However, this type of window is still in a developmental stage. First, the oxide's deposition is not simple in nature. Based on a conventional deposition technique called magnetron sputtering mainly used in the fenestration industry, several factors such as the oxygen concentration and the substrate temperature during deposition can affect the coating's thermochromic behavior, and this, by changing its composition and crystallinity. Other control parameters such as the deposition rate, the pressure in the sputtering chamber and the choice of substrate may also modify the film microstructure, thereby varying its optical and electrical properties. In addition, several issues still persist as to its commercial application. For starters, the material's structural transition, related to the change of its optical properties, only occurs around 68°C. In addition, its low transparency and natural greenish colour are not visually appealing. Then, to this day, the deposition temperature required to crystallize and form the thermochromic oxide remains an obstacle for a possible large-scale application. Ultimately, although the material's change in temperature has been shown to be advantageous in situations of varying climate, the existing corrective solutions to these issues generate a deterioration of the thermochromic behavior. With no practical expertise on the material, this project was undertaken with certain

  13. Electrical and optical characteristics of ITO films by pulsed laser deposition using a 10 wt.% SnO2-doped In2O3 ceramic target

    International Nuclear Information System (INIS)

    Kim, Sang Hyeob; Park, Nae-Man; Kim, TaeYoub; Sung, GunYong

    2005-01-01

    We have investigated the effect of the oxygen pressure and the deposition temperature on the electrical and optical properties of the Sn-doped indium oxide (ITO) films on quartz glass substrate by pulsed laser deposition (PLD) using a 10 wt.% SnO 2 -doped In 2 O 3 target. The resistivity and the carrier concentration of the films were decreased due to the decrease of the oxygen vacancy while increasing the oxygen pressure. With increasing deposition temperature, the resistivity of the films was decreased and the carrier concentration was increased due to the grain growth and the enhancement of the Sn diffusion. We have optimized the PLD process to deposit a highly conductive and transparent ITO film, which shows the optical transmittance of 88% and the resistivity of 2.49x10 -4 Ω cm for the film thickness of 180 nm

  14. Synthesis and photocatalytic properties of porous TiO2 films prepared by ODA/sol-gel method

    International Nuclear Information System (INIS)

    Zhang Wenjie; Bai Jiawei

    2012-01-01

    Porous TiO 2 films were deposited on SiO 2 pre-coated glass-slides by sol-gel method using octadecylamine (ODA) as template. The amount of ODA in the sol played an important role on the physicochemical properties and photocatalytic performance of the TiO 2 films. The films prepared at different conditions were all composed of anatase titanium dioxide crystals, and TiO 2 crystalline size got larger with increasing ODA amount. The maximum specific surface area of 41.5 m 2 /g was obtained for TiO 2 powders prepared from titanium sol containing 2.0 g ODA. Methyl orange degradation rate was enhanced along with increasing ODA amount and reached the maximal value at 2.0 g addition of ODA. After 40 min of UV-light irradiation, methyl orange degradation rate reached 30.5% on the porous film, which was about 10% higher than that on the smooth film. Porous TiO 2 film showed almost constant activity with slight decrease from 30.5% to 28.5% after 4 times of recycles.

  15. Optimization of Pb(Zr0.53,Ti0.47)O3 films for micropower generation using integrated cantilevers

    KAUST Repository

    Fuentes-Fernandez, E. M A; Baldenegro-Pé rez, Leonardo Aurelio; Quevedo-Ló pez, Manuel Angel Quevedo; Gnade, Bruce E.; Hande, Abhiman; Shah, Pradeep; Alshareef, Husam N.

    2011-01-01

    Lead zirconate titanate, Pb(Zr0.53,Ti0.47)O 3 or PZT, thin films and integrated cantilevers have been fabricated for energy harvesting applications. The PZT films were deposited on PECVD SiO2/Si substrates with a sol-gel derived ZrO2 buffer layer

  16. Characterization, modeling and physical mechanisms of different surface treatment methods at room temperature on the oxide and interfacial quality of the SiO2 film using the spectroscopic scanning capacitance microscopy

    Directory of Open Access Journals (Sweden)

    Kin Mun Wong

    Full Text Available In this article, a simple, low cost and combined surface treatment method [pre-oxidation immersion of the p-type silicon (Si substrate in hydrogen peroxide (H2O2 and post oxidation ultra-violet (UV irradiation of the silicon-dioxide (SiO2 film] at room temperature is investigated. The interface trap density at midgap [Dit(mg] of the resulting SiO2 film (denoted as sample 1A is quantified from the full width at half-maximum of the scanning capacitance microscopy (SCM differential capacitance (dC/dV characteristics by utilizing a previously validated theoretical model. The Dit(mg of sample 1A is significantly lower than the sample without any surface treatments which indicates that it is a viable technique for improving the interfacial quality of the thicker SiO2 films prepared by wet oxidation. Moreover, the proposed combined surface treatment method may possibly complement the commonly used forming gas anneal process to further improve the interfacial quality of the SiO2 films. The positive shift of the flatband voltage due to the overall oxide charges (estimated from the probe tip dc bias at the peak dC/dV spectra of sample 1A suggests the presence of negative oxide fixed charge density (Nf in the oxide. In addition, an analytical formula is derived to approximate the difference of the Nf values between the oxide samples that are immersed in H2O2 and UV irradiated from their measured SCM dC/dV spectra. Conversely, some physical mechanisms are proposed that result in the ionization of the SiO− species (which are converted from the neutral SiOH groups that originate from the pre-oxidation immersion in H2O2 and ensuing wet oxidation during the UV irradiation as well as the UV photo-injected electrons from the Si substrate (which did not interact with the SiOH groups. They constitute the source of mobile electrons which partially passivate the positively charged empty donor-like interface traps at the Si-SiO2 interface. Keywords: Dielectrics

  17. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    Science.gov (United States)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  18. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  19. The influence of oxygen partial pressure on material properties of Eu{sup 3+}-doped Y{sub 2}O{sub 2}S thin film deposited by Pulsed Laser Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ali, A.G., E-mail: aliag@qwa.ufs.ac.za [Department of Physics, University of the Free State (Qwaqwa Campus), Private Bag X13, Phuthaditjhaba 9866 (South Africa); Dejene, B.F. [Department of Physics, University of the Free State (Qwaqwa Campus), Private Bag X13, Phuthaditjhaba 9866 (South Africa); Swart, H.C. [Department of Physics, University of the Free State, P.O. Box 339, Bloemfontein 9300 (South Africa)

    2016-01-01

    Eu{sup 3+}-doping has been of interest to improve the luminescent characteristics of thin-film phosphors. Y{sub 2}O{sub 2}S:Eu{sup 3+} films have been grown on Si (100) substrates by using a Pulsed Laser Deposition technique. The thin films grown under different oxygen deposition pressure conditions have been characterized using structural and luminescent measurements. The X-ray diffraction patterns showed mixed phases of cubic and hexagonal crystal structures. As the oxygen partial pressure increased, the crystallinity of the films improved. Further increase of the O{sub 2} pressure to 140 mtorr reduced the crystallinity of the film. Similarly, both scanning electron microscopy and Atomic Force Microscopy confirmed that an increase in O{sub 2} pressure affected the morphology of the films. The average band gap of the films calculated from diffuse reflectance spectra using the Kubelka–Munk function was about 4.75 eV. The photoluminescence measurements indicated red emission of Y{sub 2}O{sub 2}S:Eu{sup 3+} thin films with the most intense peak appearing at 619 nm, which is assigned to the {sup 5}D{sub 0}–{sup 7}F{sub 2} transition of Eu{sup 3+}. This most intense peak was totally quenched at higher O{sub 2} pressures. This phosphor may be a promising material for applications in the flat panel displays.

  20. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap