WorldWideScience

Sample records for sio2 film induced

  1. Damage performance of TiO2/SiO2 thin film components induced by a long-pulsed laser

    International Nuclear Information System (INIS)

    Wang Bin; Dai Gang; Zhang Hongchao; Ni Xiaowu; Shen Zhonghua; Lu Jian

    2011-01-01

    In order to study the long-pulsed laser induced damage performance of optical thin films, damage experiments of TiO 2 /SiO 2 films irradiated by a laser with 1 ms pulse duration and 1064 nm wavelength are performed. In the experiments, the damage threshold of the thin films is measured. The damages are observed to occur in isolated spots, which enlighten the inducement of the defects and impurities originated in the films. The threshold goes down when the laser spot size decreases. But there exists a minimum threshold, which cannot be further reduced by decreasing the laser spot size. Optical microscopy reveals a cone-shaped cavity in the film substrate. Changes of the damaged sizes in film components with laser fluence are also investigated. The results show that the damage efficiency increases with the laser fluence before the shielding effects start to act.

  2. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  3. In situ observation of electron-beam-induced dewetting of CdSe thin film embedded in SiO2

    DEFF Research Database (Denmark)

    Fabrim, Zacarias Eduardo; Kjelstrup-Hansen, Jakob; Fichtner, Paulo F. P.

    In this work we show the dewetting process of the CdSe thin films induced by electron beam irradiation. A multilayer heterostructure of SiO2/CdSe/SiO2 was made by a magnetron sputtering process. A plan-view (PV) sample was irradiated with 200 kV electrons in the TEM with two current densities: 0.......33 A.cm2 and 1.0 A.cm2 and at 80 kV with 0.37 A.cm2. The dewetting of the CdSe film is inferred by a number of micrographs taken during the irradiation. The microstructural changes were analyzed under the assumption of being induced by ballistic collision effects in the absence of sample heating....

  4. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  5. Highly efficient transparent Zn2SiO4:Mn2+ phosphor film on quartz glass

    International Nuclear Information System (INIS)

    Seo, K.I.; Park, J.H.; Kim, J.S.; Kim, G.C.; Yoo, J.H.

    2009-01-01

    Highly efficient transparent Zn 2 SiO 4 :Mn 2+ film phosphors on quartz substrates were deposited by the thermal diffusion of sputtered ZnO:Mn film. They show a textured structure with some preferred orientations. Our film phosphor shows, for the best photoluminescence (PL) brightness, a green PL brightness of about 20% of a commercial Zn 2 SiO 4 :Mn 2+ powder phosphor screen. The film shows a high transmittance of more than 10% at the red-color region. The excellence in PL brightness and transmittance can be explained in terms of the textured crystal growth with a continuous gradient of Zn 2 SiO 4 : Mn 2+ crystals.

  6. Preparation and characterization of layer-by-layer self-assembled polyelectrolyte multilayer films doped with surface-capped SiO2 nanoparticles.

    Science.gov (United States)

    Yang, Guangbin; Ma, Hongxia; Yu, Laigui; Zhang, Pingyu

    2009-05-15

    SiO(2) nanoparticles capped with gamma-aminopropyltrimethoxysilane were doped into polyelectrolyte (poly(allylamine hydrochloride), PAH, and poly(acrylic acid), PAA) multilayer films via spin-assisted layer-by-layer self-assembly. The resulting as-prepared multilayer films were heated at a proper temperature to generate cross-linked composite films with increased adhesion to substrates. The tribological behavior of the multilayer films was evaluated on a microtribometer. It was found that SiO(2)-doped composite films had better wear resistance than pure polyelectrolyte multilayers, possibly because doped SiO(2) nanoparticles were capable of enhancing load-carrying capacity and had "miniature ball bearings" effect. Moreover, heat-treatment had significant effect on the morphology of the composite films. Namely, heat-treated (SiO(2)/PAA)(9) film had a larger roughness than the as-prepared one, due to heat-treatment-induced agglomeration of SiO(2) nanoparticles and initiation of defects. However, heat-treated (PAH/PAA)(3)/(SiO(2)/PAA)(3)(PAH/PAA)(3) film had greatly reduced roughness than the as-prepared one, and it showed considerably improved wear resistance as well. This could be closely related to the "sandwich-like" structure of the composite multilayer film. Namely, the outermost strata of composite multilayer film were able to eliminate defects associated with the middle strata, allowing nanoparticles therein to maintain strength and robustness while keeping soft and fluid-like exposed surface. And the inner strata were well anchored to substrate and acted as an initial "bed" for SiO(2) nanoparticles to be inhabited, resulting in good antiwear ability.

  7. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  8. Potentiodynamical deposition of nanostructured MnO2 film at the assist of electrodeposited SiO2 as template

    International Nuclear Information System (INIS)

    Wu, Lian-Kui; Xia, Jie; Hou, Guang-Ya; Cao, Hua-Zhen; Tang, Yi-Ping; Zheng, Guo-Qu

    2016-01-01

    Highlights: • MnO 2 -SiO 2 composite film is prepared by potentiodynamical deposition. • Hierarchical porous MnO 2 films is obtained after the etching of SiO 2 . • The obtained MnO 2 film electrode exhibit high specific capacitance. - Abstract: We report a novel silica co-electrodeposition route to prepare nanostructured MnO 2 films. Firstly, MnO 2 -SiO 2 composite film was fabricated on a stainless steel substrate by potentiodynamical deposition, i.e. cyclic deposition, and then the SiO 2 template was removed by simple immersion in concentrated alkaline solution, leading to the formation of a porous MnO 2 (po-MnO 2 ) matrix. The structure and morphology of the obtained films were characterized using Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The electrochemical properties of the po-MnO 2 film were evaluated by cyclic voltammetry (CV), galvanostatic charge–discharge (GCD) and electrochemical impedance spectroscopy (EIS). Results showed that this porous MnO 2 derived from the MnO 2 -SiO 2 composite film exhibits good electrochemical performance for potential use as a supercapacitor material.

  9. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  10. Effect of SiO2 passivation overlayers on hillock formation in Al thin films

    International Nuclear Information System (INIS)

    Kim, Deok-kee

    2012-01-01

    Hillock formation in Al thin films with varying thicknesses of SiO 2 as a passivation layer was investigated during thermal cycling. Based on the stress measurements and the number of hillocks, 250 nm thick SiO 2 was thick enough to suppress the hillock formation and the suppression of hillock at 250 nm passivation and the lack of suppression at thinner passivation is related to the presence/absence of protection against the diffusive flow of atoms from the surrounding area to the surface due to the biaxial compressive stresses present in the film through the weak spots in the passivation layer. The stress state of Al films measured during annealing (the driving force for hillock formation) did not vary much with SiO 2 thickness. A small number of hillocks formed during the plasma enhanced chemical vapor deposition of SiO 2 overlayers at 300 °C. - Highlights: ► We examined the effect of SiO 2 overlayers on hillock formation in Al thin films. ► Thin overlayers were not effective in suppressing diffusive flow to the surface. ► A thick overlayer suppressed the diffusive flow from the interior to the surface. ► The stress state of Al films did not vary much with SiO 2 passivation thickness. ► High mechanical strength provided a large driving force for the large grain growth.

  11. Excellent Brightness with Shortening Lifetime of Textured Zn2SiO4:Mn2+ Phosphor Films on Quartz Glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Kim, Seongsin Margaret; Kung, Patrick

    2010-04-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor films were fabricated by the thermal diffusion of ZnO:Mn on quartz glass. The Zn2SiO4:Mn2+ phosphor films became textured along several hexagonal directions and their chemical composition was continuously graded at the interface. The decay time of Mn2+ was as short as 4.4 ms, and the optical transition probability of the films defined as the inverse of decay time showed a strong correlation with film texture degree as a function of annealing temperature. The brightest Zn2SiO4:Mn2+ film showed a photoluminescent brightness as high as 65% compared with a commercial Zn2SiO4:Mn2+ phosphor powder screen and a maximum absolute transparency of 70%. These excellent optical properties are explained by the combination of the unique textured structure and continuous grading of the Zn2SiO4:Mn2+ chemical composition at the interface.

  12. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  13. An amorphous Si-O film tribo-induced by natural hydrosilicate powders on ferrous surface

    International Nuclear Information System (INIS)

    Zhang, Baosen; Xu, Binshi; Xu, Yi; Ba, Zhixin; Wang, Zhangzhong

    2013-01-01

    The tribological properties of surface-coated serpentine powders suspended in oil were evaluated using an Optimal SRV-IV oscillating friction and wear tester. The worn surface and the tribo-induced protective film were characterized by scanning electron microscope and focused ion beam (SEM/FIB) work station, energy dispersive spectroscopy (EDS) and transmission electron microscope (TEM). Results indicate that with 0.5 wt% addition of serpentine powders to oil, the friction coefficient and wear rate significantly decrease referenced to those of the base oil alone. An amorphous SiO x film with amorphous SiO x particles inserted has formed on the worn surface undergoing the interactions between serpentine particles and friction surfaces. The protective film with excellent lubricating ability and mechanical properties is responsible for the reduced friction and wear.

  14. Synthesis of TiO2-doped SiO2 composite films and its applications

    Indian Academy of Sciences (India)

    Wintec

    structure of the titanium oxide species in the TiO2-doped SiO2 composite films and the photocatalytic reactiv- ity in order to ... gaku D-max γA diffractometer with graphite mono- chromized ... FT–IR absorption spectra of TiO2-doped SiO2 com-.

  15. Laser conditioning effect on HfO2/SiO2 film

    International Nuclear Information System (INIS)

    Wei Yaowei; Zhang Zhe; Liu Hao; Ouyang Sheng; Zheng Yi; Tang Gengyu; Chen Songlin; Ma Ping

    2013-01-01

    Laser conditioning is one of the important methods to improve the laser damage threshold of film optics. Firstly, a large aperture laser was used to irradiate the HfO 2 /SiO 2 reflectors, which were evaporated from hafnia and silica by e-beam. Secondly, a laser calorimeter was used to test the film absorption before and after laser irradiation. Focused ion beam (FIB) was few reported using on laser film, it was used to study the damage morphology and explore the cause of damage. The shooting of the partial ejection on nodule was obtained for the first time, which provided the basis for study the damage process. The results show that film absorption was decreased obviously after the laser irradiation, laser conditioning can raise the laser damage threshold by the 'cleaning mechanism'. For the HfO 2 /SiO 2 reflectors, laser conditioning was effective to eject the nodules on substrate. It resulted from the nodule residue not to affect the subsequent laser. In addition, laser conditioning was not effective to the nodule in the film, which might be from the material spatter in coating process. In this case, other method could be used to get rid of the nodules. (authors)

  16. Water droplet behavior on superhydrophobic SiO2 nanocomposite films during icing/deicing cycles

    NARCIS (Netherlands)

    Lazauskas, A.; Guobiene, A.; Prosycevas, I.; Baltrusaitis, V.; Grigaliunas, V.; Narmontas, P.; Baltrusaitis, Jonas

    2013-01-01

    This work investigates water droplet behavior on superhydrophobic (water contact angle value of 162 ± 1°) SiO2 nanocomposite films subjected to repetitive icing/deicing treatments, changes in SiO2 nanocomposite film surface morphology and their non-wetting characteristics. During the experiment,

  17. Regulating effect of SiO2 interlayer on optical properties of ZnO thin films

    International Nuclear Information System (INIS)

    Xu, Linhua; Zheng, Gaige; Miao, Juhong; Su, Jing; Zhang, Chengyi; Shen, Hua; Zhao, Lilong

    2013-01-01

    ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. Regulating effect of SiO 2 interlayer with various thicknesses on the optical properties of ZnO/SiO 2 thin films was investigated deeply. The analyses of X-ray diffraction show that the ZnO layers in ZnO/SiO 2 nanocomposite films have a wurtzite structure and are preferentially oriented along the c-axis while the SiO 2 layers are amorphous. The scanning electron microscope images display that the ZnO layers are composed of columnar grains and the thicknesses of ZnO and SiO 2 layers are all very uniform. The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films, which is reflected in the following two aspects: (1) the transmittance of ZnO/SiO 2 nanocomposite films is increased; (2) the photoluminescence (PL) of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays. -- Highlights: ► ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. ► The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films. ► The photoluminescence of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. ► The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays

  18. Thickness measurement of SiO2 films thinner than 1 nm by X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Joong Kim, Kyung; Park, Ki Tae; Lee, Jong Wan

    2006-01-01

    The thickness measurement of ultra-thin SiO 2 films thinner than 1 nm was studied by X-ray photoelectron spectroscopy (XPS). Amorphous SiO 2 thin films were grown on amorphous Si films to avoid the thickness difference due to the crystalline structure of a substrate. SiO 2 thin films were grown by ion beam sputter deposition under oxygen gas flow and the thickness was measured by in situ XPS. The attenuation length was determined experimentally by a SiO 2 film with a known thickness. The straight line fit between the measured thickness using XPS and the nominal thickness showed a good linear relation with a gradient of 0.969 and a small offset of 0.126 nm. The gradient measured at the range of 3.4-0.28 nm was very close to that measured at sub-nanometer range of 1.13-0.28 nm. This result means that the reliable measurement of SiO 2 film thickness below 1 nm is possible by XPS

  19. Surface properties of SiO2 with and without H2O2 treatment as gate dielectrics for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of H2O2 treatment on the surface properties of SiO2 is studied. H2O2 treatment leads to the formation of Si(sbnd OH)x at the SiO2 surface that serves to reduce the number of trap states, inducing the shift of the Fermi level toward the conduction band minimum. H2O2 treatment also leads to a noticeable reduction in the value of the SiO2 capacitance per unit area. The effect of SiO2 layers with H2O2 treatment on the behavior of carrier transports for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. Experimental identification confirms that the shift of the threshold voltage towards negative gate-source voltages is due to the reduced number of trap states in SiO2 near the pentacene/SiO2 interface. The existence of a hydrogenated layer between pentacene and SiO2 leads to a change in the pentacene-SiO2 interaction, increasing the value of the carrier mobility.

  20. Super-bright and short-lived photoluminescence of textured Zn2SiO4:Mn2+ phosphor film on quartz glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Seo, Kwangil; Kwon, Kevin; Kung, Patrick; Kim, Seongsin M.

    2010-02-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor film was fabricated by a thermal diffusion of ZnO:Mn on quartz glass. The characterization has been performed in terms of Mn2+ ions concentration (Mn/Zn=1~9 mol %). As an increase of Mn2+ ions concentration in the Zn2SiO4:Mn2+ phosphor film, the emission peak was red shifted from 519 nm to 526 nm, and the decay time to 10% of the maximum intensity was shorter from 20 ms to 0.5 ms. All annealed Zn2SiO4:Mn2+ phosphor films became textured along some hexagonal directions on the amorphous quartz glass. The brightest Zn2SiO4:Mn2+ film at optimal Mn2+ concentration of 5 % showed the photoluminescence brightness of 65 % and the shortened decay time of 4.4 ms in comparison with a commercially Zn2SiO4: Mn2+ powder phosphor screen. The excellencies can be attributed to a unique textured structure.

  1. Compositional and optical properties of SiO x films and (SiO x /SiO y ) junctions deposited by HFCVD

    Science.gov (United States)

    2014-01-01

    In this work, non-stoichiometric silicon oxide (SiO x ) films and (SiO x /SiO y ) junctions, as-grown and after further annealing, are characterized by different techniques. The SiO x films and (SiO x /SiO y ) junctions are obtained by hot filament chemical vapor deposition technique in the range of temperatures from 900°C to 1,150°C. Transmittance spectra of the SiO x films showed a wavelength shift of the absorption edge thus indicating an increase in the optical energy band gap, when the growth temperature decreases; a similar behavior is observed in the (SiO x /SiO y ) structures, which in turn indicates a decrease in the Si excess, as Fourier transform infrared spectroscopy (FTIR) reveals, so that, the film and junction composition changes with the growth temperature. The analysis of the photoluminescence (PL) results using the quantum confinement model suggests the presence of silicon nanocrystal (Si-nc) embedded in a SiO x matrix. For the case of the as-grown SiO x films, the absorption and emission properties are correlated with quantum effects in Si-nc and defects. For the case of the as-grown (SiO x /SiO y ) junctions, only the emission mechanism related to some kinds of defects was considered, but silicon nanocrystal embedded in a SiO x matrix is present. After thermal annealing, a phase separation into Si and SiO2 occurs, as the FTIR spectra illustrates, which has repercussions in the absorption and emission properties of the films and junctions, as shown by the change in the A and B band positions on the PL spectra. These results lead to good possibilities for proposed novel applications in optoelectronic devices. PACS 61.05.-a; 68.37.Og; 61.05.cp; 78.55.-m; 68.37.Ps; 81.15.Gh PMID:25342935

  2. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    Directory of Open Access Journals (Sweden)

    Jung-Hoon Yu

    2016-07-01

    Full Text Available This paper presents the preparation of high-quality vanadium dioxide (VO2 thermochromic thin films with enhanced visible transmittance (Tvis via radio frequency (RF sputtering and plasma enhanced chemical vapor deposition (PECVD. VO2 thin films with high Tvis and excellent optical switching efficiency (Eos were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58% compared with the pristine samples (λ 650 nm, 43%. This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications.

  3. Negative differential resistance effect induced by metal ion implantation in SiO2 film for multilevel RRAM application

    Science.gov (United States)

    Wu, Facai; Si, Shuyao; Shi, Tuo; Zhao, Xiaolong; Liu, Qi; Liao, Lei; Lv, Hangbing; Long, Shibing; Liu, Ming

    2018-02-01

    Pt/SiO2:metal nanoparticles/Pt sandwich structure is fabricated with the method of metal ion (Ag) implantation. The device exhibits multilevel storage with appropriate R off/R on ratio, good endurance and retention properties. Based on transmission electron microscopy and energy dispersive spectrometer analysis, we confirm that Pt nanoparticles are spurted into SiO2 film from Pt bottom electrode by Ag implantation; during electroforming, the local electric field can be enhanced by these Pt nanoparticles, meanwhile the Ag nanoparticles constantly migrate toward the Pt nanoparticles. The implantation induced nanoparticles act as trap sites in the resistive switching layer and play critical roles in the multilevel storage, which is evidenced by the negative differential resistance effect in the current-voltage (I-V) measurements.

  4. Pulsed ion-beam induced nucleation and growth of Ge nanocrystals on SiO2

    International Nuclear Information System (INIS)

    Stepina, N. P.; Dvurechenskii, A. V.; Armbrister, V. A.; Kesler, V. G.; Novikov, P. L.; Gutakovskii, A. K.; Kirienko, V. V.; Smagina, Zh. V.; Groetzschel, R.

    2007-01-01

    Pulsed low-energy (200 eV) ion-beam induced nucleation during Ge deposition on thin SiO 2 film was used to form dense homogeneous arrays of Ge nanocrystals. The ion-beam action is shown to stimulate the nucleation of Ge nanocrystals when being applied after thin Ge layer deposition. Temperature and flux variation was used to optimize the nanocrystal size and array density required for memory device. Kinetic Monte Carlo simulation shows that ion impacts open an additional channel of atom displacement from a nanocrystal onto SiO 2 surface. This results both in a decrease in the average nanocrystal size and in an increase in nanocrystal density

  5. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    Science.gov (United States)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  6. A comparative study on omnidirectional anti-reflection SiO2 nanostructure films coating by glancing angle deposition

    Science.gov (United States)

    Prachachet, R.; Samransuksamer, B.; Horprathum, M.; Eiamchai, P.; Limwichean, S.; Chananonnawathorn, C.; Lertvanithphol, T.; Muthitamongkol, P.; Boonruang, S.; Buranasiri, P.

    2018-02-01

    Fabricated omnidirectional anti-reflection nanostructure films as a one of the promising alternative solar cell applications have attracted enormous scientific and industrial research benefits to their broadband, effective over a wide range of incident angles, lithography-free and high-throughput process. Recently, the nanostructure SiO2 film was the most inclusive study on anti-reflection with omnidirectional and broadband characteristics. In this work, the three-dimensional silicon dioxide (SiO2) nanostructured thin film with different morphologies including vertical align, slant, spiral and thin films were fabricated by electron beam evaporation with glancing angle deposition (GLAD) on the glass slide and silicon wafer substrate. The morphological of the prepared samples were characterized by field-emission scanning electron microscope (FE-SEM) and high-resolution transmission electron microscope (HRTEM). The transmission, omnidirectional and birefringence property of the nanostructure SiO2 films were investigated by UV-Vis-NIR spectrophotometer and variable angle spectroscopic ellipsometer (VASE). The spectrophotometer measurement was performed at normal incident angle and a full spectral range of 200 - 2000 nm. The angle dependent transmission measurements were investigated by rotating the specimen, with incidence angle defined relative to the surface normal of the prepared samples. This study demonstrates that the obtained SiO2 nanostructure film coated on glass slide substrate exhibits a higher transmission was 93% at normal incident angle. In addition, transmission measurement in visible wavelength and wide incident angles -80 to 80 were increased in comparison with the SiO2 thin film and glass slide substrate due to the transition in the refractive index profile from air to the nanostructure layer that improve the antireflection characteristics. The results clearly showed the enhanced omnidirectional and broadband characteristic of the three dimensional SiO

  7. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  8. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  9. Effects of substrate temperature on structural and electrical properties of SiO2-matrix boron-doped silicon nanocrystal thin films

    International Nuclear Information System (INIS)

    Huang, Junjun; Zeng, Yuheng; Tan, Ruiqin; Wang, Weiyan; Yang, Ye; Dai, Ning; Song, Weijie

    2013-01-01

    In this work, silicon-rich SiO 2 (SRSO) thin films were deposited at different substrate temperatures (T s ) and then annealed by rapid thermal annealing to form SiO 2 -matrix boron-doped silicon-nanocrystals (Si-NCs). The effects of T s on the micro-structure and electrical properties of the SiO 2 -matrix boron-doped Si-NC thin films were investigated using Raman spectroscopy and Hall measurements. Results showed that the crystalline fraction and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films both increased significantly when the T s was increased from room temperature to 373 K. When the T s was further increased from 373 K to 676 K, the crystalline fraction of 1373 K-annealed thin films decreased from 52.2% to 38.1%, and the dark conductivity reduced from 8 × 10 −3 S/cm to 5.5 × 10 −5 S/cm. The changes in micro-structure and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films were most possibly due to the different amount of Si-O 4 bond in the as-deposited SRSO thin films. Our work indicated that there was an optimal T s , which could significantly increase the crystallization and conductivity of Si-NC thin films. Also, it was illumined that the low-resistivity SiO 2 -matrix boron-doped Si-NC thin films can be achieved under the optimal substrate temperatures, T s .

  10. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  11. Resistive switching of organic–inorganic hybrid devices of conductive polymer and permeable ultra-thin SiO2 films

    Science.gov (United States)

    Yamamoto, Shunsuke; Kitanaka, Takahisa; Miyashita, Tokuji; Mitsuishi, Masaya

    2018-06-01

    We propose a resistive switching device composed of conductive polymer (PEDOT:PSS) and SiO2 ultra-thin films. The SiO2 film was fabricated from silsesquioxane polymer nanosheets as a resistive switching layer. Devices with metal (Ag or Au)∣SiO2∣PEDOT:PSS architecture show good resistive switching performance with set–reset voltages as low as several hundred millivolts. The device properties and the working mechanism were investigated by varying the electrode material, surrounding atmosphere, and SiO2 film thickness. Results show that resistive switching is based on water and ion migration at the PEDOT:PSS∣SiO2 interface.

  12. Reflection Enhancement Using TiO2/SiO2 Bilayer Films Prepared by Cost-Effective Sol-gel Method

    Directory of Open Access Journals (Sweden)

    R. Ajay Kumar

    2017-04-01

    Full Text Available Multilayer dielectric thin film structure has been demanded for its application in optoelectronic devices such as optical waveguides, vertical cavity surface-emitting devices, biosensors etc. In this paper, we present the fabrication and characterization of bilayer thin films of TiO2/SiO2 using sol-gel spin coating method. Ellipsometer measurement showed refractive index values 1.46, 2.1 corresponding to the SiO2 and TiO2 films respectively. The FTIR transmittance peaks observed at ~970 cm-1, ~1100 cm-1 and ~1400 cm-1 are attributed to the Ti-O-Si, Si-O-Si and Ti-O-Ti bonds respectively. Maximum reflectance is observed from two bilayer film structure which can be further optimized to get the high reflection to a broad wavelength range.

  13. Effect of SiO2, PVA and glycerol concentrations on chemical and mechanical properties of alginate-based films.

    Science.gov (United States)

    Yang, Manli; Shi, Jinsheng; Xia, Yanzhi

    2018-02-01

    Sodium alginate (SA)/polyvinyl alcohol (PVA)/SiO 2 nanocomposite films were prepared by in situ polymerization through solution casting and solvent evaporation. The effect of different SA/PVA ratios, SiO 2 , and glycerol content on the mechanical properties, water content, water solubility, and water vapor permeability were studied. The nanocomposite films were characterized by Fourier transform infrared, X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and thermal stability (thermogravimetric analysis/differential thermogravimetry) analyses. The nanocomposites showed the highest values of mechanical properties, such as SA/PVA ratio, SiO 2 , and glycerol content was 7:3, 6wt.%, and 0.25g/g SA, respectively. The tensile strength and elongation at break (E%) of the nanocomposites increased by 525.7% and 90.7%, respectively, compared with those of the pure alginate film. The Fourier transform infrared spectra showed a new SiOC band formed in the SA/PVA/SiO 2 nanocomposite film. The scanning electron microscopy image revealed good adhesion between SiO 2 and SA/PVA matrix. After the incorporation of PVA and SiO 2 , the water resistance of the SA/PVA/SiO 2 nanocomposite film was markedly improved. Transparency decreased with increasing PVA content but was enhanced by adding SiO 2 . Copyright © 2017. Published by Elsevier B.V.

  14. Temperature stability of c-axis oriented LiNbO3/SiO2/Si thin film layered structures

    International Nuclear Information System (INIS)

    Tomar, Monika; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K.

    2001-01-01

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO 3 thin film layered structures on passivated silicon (SiO 2 /Si) substrate with and without a non-piezoelectric SiO 2 overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO 2 overlayer on LiNbO 3 film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K 2 =3.45% and a zero TCD can be obtained in the SiO 2 /LiNbO 3 /SiO 2 /Si structure with a 0.235λ thick LiNbO 3 layer sandwiched between 0.1λ thick SiO 2 layers. (author)

  15. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  16. Structural and optical properties of (Sr,Ba)2SiO4:Eu2+ thin films grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Li, Leliang; Zheng, Jun; Zuo, Yuhua; Cheng, Buwen; Wang, Qiming

    2014-01-01

    (Sr,Ba) 2 SiO 4 :Eu 2+ thin films were deposited on Si at different substrate temperatures by magnetron sputtering. The morphology and crystalline phases of the films were studied by scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, respectively. The silicate crystal phase was presented when films were annealed above 900 °C and the annealing temperature had great impact on the film morphology. The samples annealed at 1000 °C in a non-reducing atmosphere for 30 s show intense room temperature Eu 2+ emission. These findings may open a promising way to prepare efficient phosphor thin films for on-chip light emitting diodes application. - Highlights: • The (Sr, Ba) 2 SiO 4 :Eu 2+ films are fabricated by magnetron sputtering. • A very strong RT PL emission at 540 nm is achieved. • The morphology and optical properties dependent on temperature are studied

  17. A preliminary study on the etching behavior of SiO sub 2 aerogel film with CHF sub 3 gas

    CERN Document Server

    Wang, S J; Yeom, G Y

    1998-01-01

    Etching behavior of SiO sub 2 aerogel film has been investigated in order to examine the feasibility of its application to an interlevel dielectric material. Low dielectric property of SiO sub 2 aerogel film is simply originated from its highly porous structure, but interconnected particles are covered with surface chemical bondings (-OH, -OC sub 2 H sub 5 , etc). Etching experiments have been performed with high density inductively coupled CHF sub 3 plasma. The effects of porous structure and surface chemical bondings on the etching of SiO sub 2 aerogel film have been analyzed. The changes of surface morphology were observed using scanning electron microscopy. X-ray photoelectron spectroscopic analyses revealed compositions and chemical bonding states of reaction layer. From the analyses, 3-dimensional etching was not feasible macroscopically in SiO sub 2 aerogel film even with its porous nature because network structure was maintained through the etching process. Internal surface chemicals seemed to act an ...

  18. Influence of plasma-generated negative oxygen ion impingement on magnetron sputtered amorphous SiO2 thin films during growth at low temperatures

    International Nuclear Information System (INIS)

    Macias-Montero, M.; Garcia-Garcia, F. J.; Alvarez, R.; Gil-Rostra, J.; Gonzalez, J. C.; Gonzalez-Elipe, A. R.; Palmero, A.; Cotrino, J.

    2012-01-01

    Growth of amorphous SiO 2 thin films deposited by reactive magnetron sputtering at low temperatures has been studied under different oxygen partial pressure conditions. Film microstructures varied from coalescent vertical column-like to homogeneous compact microstructures, possessing all similar refractive indexes. A discussion on the process responsible for the different microstructures is carried out focusing on the influence of (i) the surface shadowing mechanism, (ii) the positive ion impingement on the film, and (iii) the negative ion impingement. We conclude that only the trend followed by the latter and, in particular, the impingement of O - ions with kinetic energies between 20 and 200 eV, agrees with the resulting microstructural changes. Overall, it is also demonstrated that there are two main microstructuring regimes in the growth of amorphous SiO 2 thin films by magnetron sputtering at low temperatures, controlled by the amount of O 2 in the deposition reactor, which stem from the competition between surface shadowing and ion-induced adatom surface mobility.

  19. High-resolution ellipsometric study of an n-alkane film, dotriacontane, adsorbed on a SiO2 surface

    DEFF Research Database (Denmark)

    Volkmann, U.G.; Pino, M.; Altamirano, L.A.

    2002-01-01

    -crystal substrates. Our results suggest a model of a solid dotriacontane film that has a phase closest to the SiO2 surface in which the long-axis of the molecules is oriented parallel to the interface. Above this "parallel film" phase, a solid monolayer adsorbs in which the molecules are oriented perpendicular...... at higher coverages. In addition, we have performed high-resolution ellipsometry and stray-light measurements on dotriacontane films deposited from solution onto highly oriented pyrolytic graphite substrates. After film deposition, these substrates proved to be less stable in air than SiO2....

  20. Luminescence and origin of lead-related centers in single crystalline films of Y2SiO5 and Lu2SiO5

    International Nuclear Information System (INIS)

    Babin, V.; Gorbenko, V.; Krasnikov, A.; Mihokova, E.; Nikl, M.; Zazubovich, S.; Zorenko, Yu.

    2013-01-01

    In the temperature range 4.2–350 K, the steady-state and time-resolved emission and excitation spectra and luminescence decay kinetics are studied for the undoped Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films grown by liquid phase epitaxy method from the PbO-based flux and, owing to that, containing lead ions substituting for Y 3+ or Lu 3+ ions. Luminescence characteristics of Pb-related centers of different types are identified. On the basis of the results obtained, we suggest that the ultraviolet emission of Pb-related centers arises from the Pb 2+ ions substituting for Y 3+ or Lu 3+ ions in the Y1 and Lu1 lattice sites of the X 2 structure. Possible hypotheses on the origin of the intense complex lead-related blue emission are discussed. We propose phenomenological models describing the excited-state dynamics of the studied luminescence centers. We also determine characteristic parameters of the corresponding relaxed excited states, in particular, the energy separations between the excited states and the rates of the radiative and non-radiative transitions from these states. -- Highlights: •Emission of lead centers in Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films is studied. •The ultraviolet emission arises from Pb 2+ ions located in Y1 or Lu1 lattice sites. •Possible hypotheses on the origin of the blue emission are proposed and discussed. •The relaxed excited states parameters of various Pb-related centers are determined

  1. Suppression of dewetting phenomena during excimer laser melting of thin metal films on SiO2

    International Nuclear Information System (INIS)

    Kline, J.E.; Leonard, J.P.

    2005-01-01

    Pulsed excimer laser irradiation has been used to fully melt 200 nm films of elemental Au and Ni on SiO 2 substrates. With the use of a capping layer of SiO 2 and line irradiation via projection optics, the typical liquid-phase dewetting processes associated with these metals on SiO 2 has been suppressed. In a series of experiments varying line widths and fluence, a process region is revealed immediately above the complete melting threshold for which the films remain continuous and smooth after melting and resolidification. Simple energetic arguments for mechanisms leading to initiation of dewetting support these observations, and a gas-mediated model is proposed to describe the process conditions that are necessary for the suppression of dewetting

  2. The Effects of SiO2 Nanoparticles on Mechanical and Physicochemical Properties of Potato Starch Films

    Directory of Open Access Journals (Sweden)

    Z. Torabi

    2013-06-01

    Full Text Available In this paper effect of SiO2 nanoparticles was investigated on potato starch films. Potato starch films were prepared by casting method with addition of nano-silicon dioxide and a mixture of sorbitol/glycerol (weight ratio of 3 to 1 as plasticizers. SiO2 nanoparticles incorporated to the potato starch films at different concentrations 0, 1, 2, 3, and 5% of total solid, and the films were dried under controlled conditions.  Physicochemical properties such as water absorption capacity (WAC, water vapor permeability (WVP and mechanical properties of the films were measured. Results show that by increasing the concentration of silicon dioxide nanoparticles, mechanical properties of films can be improved. Also incorporation of silicon dioxide nanoparticles in the structure of biopolymer decrease permeability of the gaseous molecules such as water vapor. In summary, addition of silicon dioxide nanoparticles improves functional properties of potato starch films and these bio Nano composites can be used in food packaging.

  3. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    Science.gov (United States)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  4. Effects of (NH4)2S x treatment on the surface properties of SiO2 as a gate dielectric for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of (NH4)2S x treatment on the surface properties of SiO2 is studied. (NH4)2S x treatment leads to the formation of S-Si bonds on the SiO2 surface that serves to reduce the number of donor-like trap states, inducing the shift of the Fermi level toward the conduction band minimum. A finding in this case is the noticeably reduced value of the SiO2 capacitance as the sulfurated layer is formed at the SiO2 surface. The effect of SiO2 layers with (NH4)2S x treatment on the carrier transport behaviors for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. The pentacene/as-cleaned SiO2-based OTFT shows depletion-mode behavior, whereas the pentacene/(NH4)2S x -treated SiO2-based OTFT exhibits enhancement-mode behavior. Experimental identification confirms that the depletion-/enhancement-mode conversion is due to the dominance competition between donor-like trap states in SiO2 near the pentacene/SiO2 interface and acceptor-like trap states in the pentacene channel. A sulfurated layer between pentacene and SiO2 is expected to give significant contributions to carrier transport for pentacene/SiO2-based OTFTs.

  5. Atmospheric Plasma Deposition of SiO2 Films for Adhesion Promoting Layers on Titanium

    Directory of Open Access Journals (Sweden)

    Liliana Kotte

    2014-12-01

    Full Text Available This paper evaluates the deposition of silica layers at atmospheric pressure as a pretreatment for the structural bonding of titanium (Ti6Al4V, Ti15V3Cr3Sn3Al in comparison to an anodizing process (NaTESi process. The SiO2 film was deposited using the LARGE plasma source, a linearly extended DC arc plasma source and applying hexamethyldisiloxane (HMDSO as a precursor. The morphology of the surface was analyzed by means of SEM, while the characterization of the chemical composition of deposited plasma layers was done by XPS and FTIR. The long-term durability of bonded samples was evaluated by means of a wedge test in hot/wet condition. The almost stoichiometric SiO2 film features a good long-term stability and a high bonding strength compared to the films produced with the wet-chemical NaTESi process.

  6. New intelligent multifunctional SiO2/VO2 composite films with enhanced infrared light regulation performance, solar modulation capability, and superhydrophobicity.

    Science.gov (United States)

    Wang, Chao; Zhao, Li; Liang, Zihui; Dong, Binghai; Wan, Li; Wang, Shimin

    2017-01-01

    Highly transparent, energy-saving, and superhydrophobic nanostructured SiO 2 /VO 2 composite films have been fabricated using a sol-gel method. These composite films are composed of an underlying infrared (IR)-regulating VO 2 layer and a top protective layer that consists of SiO 2 nanoparticles. Experimental results showed that the composite structure could enhance the IR light regulation performance, solar modulation capability, and hydrophobicity of the pristine VO 2 layer. The transmittance of the composite films in visible region ( T lum ) was higher than 60%, which was sufficient to meet the requirements of glass lighting. Compared with pristine VO 2 films and tungsten-doped VO 2 film, the near IR control capability of the composite films was enhanced by 13.9% and 22.1%, respectively, whereas their solar modulation capability was enhanced by 10.9% and 22.9%, respectively. The water contact angles of the SiO 2 /VO 2 composite films were over 150°, indicating superhydrophobicity. The transparent superhydrophobic surface exhibited a high stability toward illumination as all the films retained their initial superhydrophobicity even after exposure to 365 nm light with an intensity of 160 mW . cm -2 for 10 h. In addition, the films possessed anti-oxidation and anti-acid properties. These characteristics are highly advantageous for intelligent windows or solar cell applications, given that they can provide surfaces with anti-fogging, rainproofing, and self-cleaning effects. Our technique offers a simple and low-cost solution to the development of stable and visible light transparent superhydrophobic surfaces for industrial applications.

  7. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  8. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  9. Periodically ordered meso – and macroporous SiO2 thin films and their induced electrochemical activity as a function of pore hierarchy

    Czech Academy of Sciences Publication Activity Database

    Sel, O.; Sallard, S.; Brezesinski, T.; Rathouský, Jiří; Dunphy, D. R.; Collord, A.; Smarsly, B. M.

    2007-01-01

    Roč. 17, č. 16 (2007), s. 3241-3250 ISSN 1616-301X Institutional research plan: CEZ:AV0Z40400503 Keywords : SiO2 * thin films * pore hierarchy * electrochemistry Subject RIV: CG - Electrochemistry Impact factor: 7.496, year: 2007

  10. Optical properties of ZrO2, SiO2 and TiO2-SiO2 xerogels and coatings doped with Eu3+ and Eu2+

    Directory of Open Access Journals (Sweden)

    Gonçalves Rogéria R.

    1999-01-01

    Full Text Available Eu3+ doped bulk monoliths and thin films were obtained by sol-gel methods in the ZrO2, SiO2 and SiO2-TiO2 systems. Eu3+ 5D0 ® 7FJ emission and decay time characteristics were measured during the entire experimental preparation route from the initial sol to the final xerogels. The crystalline phases identified were tetragonal ZrO2 and mixtures of rutile and anatase TiO2 at high temperature treatments in bulk samples. Good quality thin films were obtained for all systems by dip-coating optical glasses (Schott BK270. The same spectroscopic features were observed either for the bulk monoliths or the films. By appropriate heat treatments under H2 atmosphere Eu2+ containing samples could be obtained in the SiO2-TiO2 system.

  11. Prediction of ultraviolet-induced damage during plasma processes in dielectric films using on-wafer monitoring techniques

    International Nuclear Information System (INIS)

    Ishikawa, Yasushi; Katoh, Yuji; Okigawa, Mitsuru; Samukawa, Seiji

    2005-01-01

    We measured electron-hole pairs generated in dielectric film using our developed on-wafer monitoring technique to detect electrical currents in the film during the plasma etching processes. The electron-hole pairs were generated by plasma induced ultraviolet (UV) photons, and the number of electron-hole pairs depends on the UV wavelength. In SiO 2 film, UV light, which has a wavelength of less than 140 nm, generates electron-hole pairs, because the band gap energy of the film is 8.8 eV. On the other hand, in Si 3 N 4 film, which has a band gap energy level of 5.0 eV, UV light below 250 nm induces the electron-hole pairs. Additionally, we evaluated the fluorocarbon gas plasma process that induces UV radiation damage using multilayer sensors that consisted of both SiO 2 and Si 3 N 4 stacked films. In these cases, electron-hole pair generation depended on the dielectric film structure. There were more electron-hole pairs generated in the SiO 2 deposited on the Si 3 N 4 film than in the Si 3 N 4 deposited on the SiO 2 film. As a result, our developed on-wafer monitoring sensor was able to predict electron-hole pair generation and the device characteristics

  12. Formation of thin DLC films on SiO2/Si substrate using FCVAD technique

    International Nuclear Information System (INIS)

    Bootkul, D.; Intarasiri, S.; Aramwit, C.; Tippawan, U.; Yu, L.D.

    2013-01-01

    Diamond-like carbon (DLC) films deposited on SiO 2 /Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO 2 /Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I D /I G ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I D /I G ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp 3 site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp 3 fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO 2 substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC film showed changes in the edge shape

  13. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  14. PECVD SiO2 dielectric for niobium Josephson IC process

    International Nuclear Information System (INIS)

    Lee, S.Y.; Nandakumar, V.; Murdock, B.; Hebert, D.

    1991-01-01

    PECVD SiO 2 dielectric has been evaluated as an insulator for a Nb-based, all-refractory Josephson integrated circuit process. First, the properties of PECVD SiO 2 films were measured and compared with those of evaporated SiO films. Second, the PECVD SiO 2 dielectric film was used in our Nb-based Josephson integrated circuit process. The main problem was found to be the deterioration of the critical temperature of the superconducting niobium adjacent to the SiO 2 . The cause and a solution of the problem were investigated. Finally, a Josephson integrated sampler circuit was fabricated and tested. This paper shows acceptable junction I-V characteristics and a measured time resolution of a 4.9 ps pulse in liquid helium

  15. Electronic and surface properties of pentacene films deposited on SiO2 prepared by the sol–gel and thermally grown methods

    International Nuclear Information System (INIS)

    Dai, Chi-Jie; Tsao, Hou-Yen; Lin, Yow-Jon; Liu, Day-Shan

    2014-01-01

    This study investigates the effect of different types of SiO 2 on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO 2 surfaces than sol–gel SiO 2 surfaces, suggesting that the thermally grown SiO 2 dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO 2 dielectrics is higher than that in pentacene on sol–gel SiO 2 dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO 2 was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films

  16. UV-VUV laser induced phenomena in SiO2 glass

    International Nuclear Information System (INIS)

    Kajihara, Koichi; Ikuta, Yoshiaki; Oto, Masanori; Hirano, Masahiro; Skuja, Linards; Hosono, Hideo

    2004-01-01

    Creation and annihilation of point defects were studied for SiO 2 glass exposed to ultraviolet (UV) and vacuum UV (VUV) lights to improve transparency and radiation toughness of SiO 2 glass to UV-VUV laser light. Topologically disordered structure of SiO 2 glass featured by the distribution of Si-O-Si angle is a critical factor degrading transmittance near the fundamental absorption edge. Doping with terminal functional groups enhances the structural relaxation and reduces the number of strained Si-O-Si bonds by breaking up the glass network without creating the color centers. Transmittance and laser toughness of SiO 2 glass for F 2 laser is greatly improved in fluorine-doped SiO 2 glass, often referred as 'modified silica glass'. Interstitial hydrogenous species are mobile and reactive at ambient temperature, and play an important role in photochemical reactions induced by exposure to UV-VUV laser light. They terminate the dangling-bond type color centers, while enhancing the formation of the oxygen vacancies. These findings are utilized to develop a deep-UV optical fiber transmitting ArF laser photons with low radiation damage

  17. Optical transparency and mechanical properties of semi-refined iota carrageenan film reinforced with SiO2 as food packaging material

    Science.gov (United States)

    Aji, Afifah Iswara; Praseptiangga, Danar; Rochima, Emma; Joni, I. Made; Panatarani, Camellia

    2018-02-01

    Food packaging is important for protecting food from environmental influences such as heat, light, water vapor, oxygen, dirt, dust particles, gas emissions and so on, which leads to decrease the quality of food. The most widely used type of packaging in the food industry is plastic which is made from synthetic polymers and takes hundreds of years to biodegrade. Recently, food packaging with high bio-degradability is being developed using biopolymer combined with nanoparticles as reinforcing agent (filler) to improve its properties. In this study, semi-refined iota carrageenan films were prepared by incorporating SiO2 nanoparticles as filler at different concentrations (0%, 0.5%, 1.0% and 1.5% w/w carrageenan) using solution casting method. The optical transparency and mechanical properties (tensile strength and elongation at break) of the films were analyzed. The results showed that incorporation of SiO2 nanoparticles to carrageenan matrix on optical transparency of the films. For the mechanical properties, the highest tensile strength was found for incorporation of 0.5% SiO2, while the elongation at break of the films improved with increasing SiO2 concentration.

  18. Effects of Mev Si Ions and Thermal Annealing on Thermoelectric and Optical Properties of SiO2/SiO2+Ge Multi-nanolayer thin Films

    Science.gov (United States)

    Budak, S.; Alim, M. A.; Bhattacharjee, S.; Muntele, C.

    Thermoelectric generator devices have been prepared from 200 alternating layers of SiO2/SiO2+Ge superlattice films using DC/RF magnetron sputtering. The 5 MeV Si ionsbombardmenthasbeen performed using the AAMU Pelletron ion beam accelerator to formquantum dots and / or quantum clusters in the multi-layer superlattice thin films to decrease the cross-plane thermal conductivity, increase the cross-plane Seebeck coefficient and increase the cross-plane electrical conductivity to increase the figure of merit, ZT. The fabricated devices have been annealed at the different temperatures to tailor the thermoelectric and optical properties of the superlattice thin film systems. While the temperature increased, the Seebeck coefficient continued to increase and reached the maximum value of -25 μV/K at the fluenceof 5x1013 ions/cm2. The decrease in resistivity has been seen between the fluence of 1x1013 ions/cm2 and 5x1013 ions/cm2. Transport properties like Hall coefficient, density and mobility did not change at all fluences. Impedance spectroscopy has been used to characterize the multi-junction thermoelectric devices. The loci obtained in the C*-plane for these data indicate non-Debye type relaxation displaying the presence of the depression parameter.

  19. Light emissions from LiNbO sub 3 /SiO sub 2 /Si structures

    CERN Document Server

    Wu, X L; Tang, N; Deng, S S; Bao, X M

    2003-01-01

    LiNbO sub 3 (LN) films with a high degree of (006) texture were deposited on Si-based dense SiO sub 2 layers by pulsed laser deposition. After annealing, the LN/SiO sub 2 /Si structures were revealed to have ultraviolet-, green-, and red-emitting properties related to self-trapped excitons and E' defect pairs in the SiO sub 2 surface, which are induced by the photorefractive effect of the LN films. The emission wavelength can be tuned by introducing different dopants into the LN films. Waveguiding properties of the structures were demonstrated. The results obtained indicate that the LN/SiO sub 2 /Si structures could be expected to have important applications in modern optoelectronic integration. (letter to the editor)

  20. Synthesis and characterization of Al2O3 and SiO2 films with fluoropolymer content using rf-plasma magnetron sputtering technique

    International Nuclear Information System (INIS)

    Islam, Mohammad; Inal, Osman T.

    2008-01-01

    Pure and molecularly mixed inorganic films for protection against atomic oxygen in lower earth orbit were prepared using radio-frequency (rf) plasma magnetron sputtering technique. Alumina (Al 2 O 3 ) and silica (SiO 2 ) films with average grain size in the range of 30-80 nm and fully dense or dense columnar structure were synthesized under different conditions of pressure and power. Simultaneous oxide sputtering and plasma polymerization (PP) of hexafluoropropylene (HFP) led to the formation of molecularly mixed films with fluoropolymer content. The degree of plasma polymerization was strongly influenced by total chamber pressure and the argon to HFP molar ratio (n Ar /n M ). An order of magnitude increase in pressure due to argon during codeposition changed the plasma-polymerization mechanism from radical-chain- to radical-radical-type processes. Subsequently, a shift from linear CH 2 group based chain polymerization to highly disordered fluoropolymer content with branching and cross-linking was observed. Fourier transform infrared spectroscopy studies revealed chemical interaction between depositing SiO 2 and PP-HFP through appearance of absorption bands characteristic of Si-F stretching and expansion of SiO 2 network. The relative amount and composition of plasma-polymerized fluoropolymer in such films can be controlled by changing argon to HFP flow ratio, total chamber pressure, and applied power. These films offer great potential for use as protective coatings in aerospace applications

  1. Target swapping in PLD: An efficient approach for CdS/SiO2 and CdS:Ag(1%)/SiO2 nanocomposite thin films with enhanced luminescent properties

    International Nuclear Information System (INIS)

    Saxena, Nupur; Kumar, Pragati; Gupta, Vinay

    2017-01-01

    A novel synthesis method for luminescent and by-products (like CdO) free CdS/SiO 2 and CdS:Ag(1%)/SiO 2 (i.e. 1%Ag doped CdS/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS, CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency ratio of 2:8 laser pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (i.e. 2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS/ CdS:Ag(1%) nanocrystals in nanocomposite systems after annealing at 500 °C. Highly intense and broad red emission is achieved from CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiencies of emission from pristine CdS:SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites are found to be enhanced by approximately two times as compared to sole nanocrystalline CdS and CdS:Ag(1%) thin films respectively and further enhanced upto 7 times on annealing the nanocomposite systems at 500 °C. - Graphical abstract: A modified synthesis method for luminescent and by-products (like CdO) free undoped &1% Ag doped CdS/SiO 2 (deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS or CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency of 2:8 pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS nanocrystals in nanocomposite systems after annealing at 500 °C. Intense and broad red emission is achieved from deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiency of

  2. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  3. Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO2 nanogranular films

    International Nuclear Information System (INIS)

    Zhu, Li Qiang; Chao, Jin Yu; Xiao, Hui

    2014-01-01

    Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO 2 nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics

  4. Morphology of SiO2 films as a key factor in alignment of liquid crystals with negative dielectric anisotropy

    Directory of Open Access Journals (Sweden)

    Volodymyr Tkachenko

    2016-11-01

    Full Text Available Control of liquid crystal (LC orientation using a proper SiO2 alignment layer is essential for the optimization of vertically aligned nematic (VAN displays. With this aim, we studied the optical anisotropy of thin SiO2 films by generalized ellipsometry as a function of deposition angle. The columnar SiO2 structure orientation measured by a noninvasive ellipsometry technique is reported for the first time, and its morphology influence on the LC alignment is demonstrated for large deposition angles.

  5. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  6. Electromigration study of Al thin films deposited on low dielectric polyimide and SiO sub 2 ILD

    CERN Document Server

    Eun, B S

    1999-01-01

    The electromigration characteristics of Al-1 %Si-0.5 %Cu films deposited onto three kinds of polyimides (PI-2734, PI-2611, and BG-2480) and onto SiO sub 2 prepared by low pressure chemical vapor deposition have been investigated. The Al lines deposited onto SiO sub 2 showed about a one-order higher electromigration lifetime than those deposited onto polyimide interlayer dielectrics (ILDs). The electromigration characteristics degraded as the polyimide thickness increased. Joule heat which accumulated at the Al/polyimide interface was the main cause of the decrease in the electromigration reliability because the thermal conductivity of the polyimides was about one order lower than that of SiO sub 2.

  7. Physical and Electrical Properties of SiO2 Layer Synthesized by Eco-Friendly Method

    Science.gov (United States)

    Kim, Jong-Woong; Kim, Young-Seok; Hong, Sung-Jei; Hong, Tae-Hwan; Han, Jeong-In

    2010-05-01

    SiO2 thin film has a wide range of applications, including insulation layers in microelectronic devices, such as semiconductors and flat panel displays, due to its advantageous characteristics. Herein, we developed a new eco-friendly method for manufacturing SiO2 nanoparticles and, thereby, SiO2 paste to be used in the digital printing process for the fabrication of SiO2 film. By excluding harmful Cl- and NO3- elements from the SiO2 nanoparticle synthetic process, we were able to lower the heat treatment temperature for the SiO2 precursor from 600 to 300 °C and the diameter of the final SiO2 nanoparticles to about 14 nm. The synthesized SiO2 nanoparticles were dispersed in an organic solvent with additives to make a SiO2 paste for feasibility testing. The SiO2 paste was printed onto a glass substrate to test the feasibility of using it for digital printing. The insulation resistance of the printed film was high enough for it to be used as an insulation layer for passivation.

  8. Characterisation of NdFeB thin films prepared on (100)Si substrates with SiO2 barrier layers

    International Nuclear Information System (INIS)

    Sood, D.K.; Muralidhar, G.K.

    1998-01-01

    This work presents a systematic study of the deposition and characterization of NdFeB films on substrates of Si(100) and of SiO2 layer thermally grown on Si(100) held at RT, 360 deg C or 440 deg C. The post-deposition annealing is performed at 600 or 800 deg C in vacuum. The films are characterised using the analytical techniques of RBS, SIMS, XRD, OM and SEM. Results indicate that SiO2 is, in deed, an excellent diffusion barrier layer till 600 deg C but becomes relatively less effective at 800 deg C. Without this barrier layer, interdiffusion at the Si-NdFeB film interface leads to formation of iron silicides, α-Fe and B exclusion from the diffusion zone, in competition with the formation of the magnetic NdFeB phase. (authors)

  9. SiO2-induced release of sVEGFRs from pulmonary macrophages.

    Science.gov (United States)

    Chao, Jie; Lv, Yan; Chen, Jin; Wang, Jing; Yao, Honghong

    2018-01-01

    The inhalation of silicon dioxide (SiO 2 ) particles causes silicosis, a stubborn pulmonary disease that is characterized by alveolar inflammation during the early stage. Soluble cytokine receptors (SCRs) play important roles in regulating inflammation by either attenuating or promoting cytokine signaling. However, the role of SCRs in silicosis remains unknown. Luminex assays revealed increased soluble vascular endothelial growth factor receptor (sVEGFR) family levels in the plasma of silicosis patients. In an enzyme-linked immunosorbent assay (ELISA), cells from the differentiated human monocytic cell line U937 released sVEGFR family proteins after exposure to SiO 2 (50μg/cm 2 ). Further Western blot experiments revealed that VEGFR expression was also elevated in U937 cells. In contrast, levels of sVEGFR family members did not change in the supernatants of human umbilical vein endothelial cells (HUVECs) after exposure to SiO 2 (50μg/cm 2 ). Interestingly, VEGFR expression in HUVECs decreased after SiO 2 treatment. In a scratch assay, HUVECs exhibited cell migration ability, indicating the acquisition of mesenchymal properties. Our findings highlight the important role of sVEGFRs in both inflammation and fibrosis induced by SiO 2 , suggesting a possible mechanism for the fibrogenic effects observed in pulmonary diseases associated with fibrosis. Copyright © 2017 Elsevier B.V. All rights reserved.

  10. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  11. Ti-catalyzed HfSiO4 formation in HfTiO4 films on SiO2 studied by Z-contrast scanning electron microscopy

    Directory of Open Access Journals (Sweden)

    Elizabeth Ellen Hoppe

    2013-08-01

    Full Text Available Hafnon (HfSiO4 as it is initially formed in a partially demixed film of hafnium titanate (HfTiO4 on fused SiO2 is studied by atomic number (Z contrast high resolution scanning electron microscopy, x-ray diffraction, and Raman spectroscopy and microscopy. The results show exsoluted Ti is the catalyst for hafnon formation by a two-step reaction. Ti first reacts with SiO2 to produce a glassy Ti-silicate. Ti is then replaced by Hf in the silicate to produce HfSiO4. The results suggest this behavior is prototypical of other Ti-bearing ternary or higher order oxide films on SiO2 when film thermal instability involves Ti exsolution.

  12. Coupling between Ge-nanocrystals and defects in SiO2

    International Nuclear Information System (INIS)

    Skov Jensen, J.; Franzo, G.; Leervad Petersen, T.P.; Pereira, R.; Chevallier, J.; Christian Petersen, M.; Bech Nielsen, B.; Nylandsted Larsen, A.

    2006-01-01

    Room temperature photoluminescence (PL) at around 600 nm from magnetron-sputtered SiO 2 films co-doped with Ge is reported. The PL signal is observed in pure SiO 2 , however, its intensity increases significantly in the presence of Ge-nanocrystals (Ge-nc). The PL intensity has been optimized by varying the temperature of heat treatment, type of gas during heat treatment, concentration of Ge in the SiO 2 films, and gas pressure during deposition. Maximum intensity occurs when Ge-nc of around 3.5 nm are present in large concentration in SiO 2 layers deposited at fairly high gas pressure. Based on time resolved PL, and PL measurements after α-particle irradiation or H passivation, we attribute the origin of the PL to a defect in SiO 2 (probably an O deficiency) that is excited through an energy transfer from Ge-nc. There is no direct PL from the Ge-nc; however, there is a strong coupling between excitons created in the Ge-nc and the SiO 2 defect

  13. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    Science.gov (United States)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  14. Characterization of anodic SiO2 films on P-type 4H-SiC

    International Nuclear Information System (INIS)

    Woon, W.S.; Hutagalung, S.D.; Cheong, K.Y.

    2009-01-01

    The physical and electronic properties of 100-120-nm thick anodic silicon dioxide film grown on p-type 4H-SiC wafer and annealed at different temperatures (500, 600, 700, and 800 deg. C ) have been investigated and reported. Chemical bonding of the films has been analyzed by Fourier transform infra red spectroscopy. Smooth and defect-free film surface has been revealed under field emission scanning electron microscope. Atomic force microscope has been used to study topography and surface roughness of the films. Electronic properties of the film have been investigated by high frequency capacitance-voltage and current-voltage measurements. As the annealing temperature increased, refractive index, dielectric constant, film density, SiC surface roughness, effective oxide charge, and leakage current density have been reduced until 700 deg. C . An increment of these parameters has been observed after this temperature. However, a reversed trend has been demonstrated in porosity of the film and barrier height between conduction band edge of SiO 2 and SiC

  15. Simultaneous ultra-long data retention and low power based on Ge10Sb90/SiO2 multilayer thin films

    Science.gov (United States)

    You, Haipeng; Hu, Yifeng; Zhu, Xiaoqin; Zou, Hua; Song, Sannian; Song, Zhitang

    2018-02-01

    In this article, Ge10Sb90/SiO2 multilayer thin films were prepared to improve thermal stability and data retention for phase change memory. Compared with Ge10Sb90 monolayer thin film, Ge10Sb90 (1 nm)/SiO2 (9 nm) multilayer thin film had higher crystallization temperature and resistance contrast between amorphous and crystalline states. Annealed Ge10Sb90 (1 nm)/SiO2 (9 nm) had uniform grain with the size of 15.71 nm. After annealing, the root-mean-square surface roughness for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film increased slightly from 0.45 to 0.53 nm. The amorphization time for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film (2.29 ns) is shorter than Ge2Sb2Te5 (3.56 ns). The threshold voltage of a cell based on Ge10Sb90 (1 nm)/SiO2 (9 nm) (3.57 V) was smaller than GST (4.18 V). The results indicated that Ge10Sb90/SiO2 was a promising phase change thin film with high thermal ability and low power consumption for phase change memory application.

  16. Au nanoparticles decorated SiO2 nanowires by dewetting on curved surfaces: facile synthesis and nanoparticles–nanowires sizes correlation

    International Nuclear Information System (INIS)

    Ruffino, F.; Grimaldi, M. G.

    2013-01-01

    We report a solid-state synthesis for SiO 2 nanowires (NWs) (up to 20 microns in length and from about 40 to about 150 nm in diameter) coated by Au nanoparticles (NPs) (from about 20 to about 80 nm in diameter). This protocol is based on three steps: (1) large area production of very long SiO 2 NWs on a Si surface exploiting a simple Au/Si solid-state reaction at high temperature; (2) coating of the SiO 2 NWs by a Au film of desired thickness using sputtering depositions; and (3) a thermal process to induce a dewetting process of the Au-film coating the SiO 2 NWs to obtain Au NPs on the curved surface of the NWs. The morphology evolution of the SiO 2 NWs was followed, in each step, by scanning electron microscopy analyses. They allowed to correlate the evolution of the NPs size with the NWs sizes for different thicknesses of the starting Au-film coating the NWs and different annealing temperatures of the dewetting process. Some theoretical concepts, related to the dewetting process of a film on a curved surface were used to describe the experimental data. The main advantages of the proposed protocols include: (i) simplicity and low-cost (it is based only on sputtering depositions and thermal processes), and (ii) versatility based on the possibility of tuning Au-film thickness and annealing temperature to tune the NPs–NWs sizes ratio. These advantages can make this technique suitable for the mass production of Au NPs-coated SiO 2 NWs toward applications in electronic devices, biosensors, and nanoscale optical devices

  17. Effect of a SiO2 buffer layer on the characteristics of In2O3-ZnO-SnO2 films deposited on PET substrates

    International Nuclear Information System (INIS)

    Woo, B.-J.; Hong, J.-S.; Kim, S.-T.; Kim, H.-M.; Park, S.-H.; Kim, J.-J.; Ahn, J.-S.

    2006-01-01

    Transparent and conducting In 2 O 3 -ZnO-SnO 2 (IZTO) thin films were prepared on flexible PET substrates at room temperature by using an ion-gun-assisted sputtering technique. We mainly investigated the effect of a SiO 2 buffer layer, deposited in-between the film and the PET substrate, on the electrical stability of the film under various external stresses caused by moist-heat or violent temperature variations. The insertion of the SiO 2 layer improves structural, optical and electrical properties of the films: The IZTO/SiO 2 /PET film with a buffer shows a change (∼4 %) in the sheet resistance much smaller than that of the IZTO/PET film without a buffer (∼22 %), against a severe thermal stress of the repeated processes between quenching at -25 .deg. C and annealing at 100 .deg. C for 5 min at each process. Under a moist-heat stress at 90 % relative humidity at 80 .deg. C, the IZTO/SiO 2 /PET film responds with only a slight change (∼8.5 %) in the sheet resistance from 30.2 to 33.0 Ω/□ after being exposed for 240 h. The enhanced stability is understood to be the result of the buffer layers acting as a blocking barrier to water vapor or organic solvents diffusing from the PET substrate during deposition or annealing.

  18. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  19. Electronic states of SiO2-MxOy (MxOy=P205, TiO2 and ZrO2) glasses

    Energy Technology Data Exchange (ETDEWEB)

    Kowada, Y [Hyogo Univ. of Teacher Education, Hyogo (Japan); Adachi, H [Kyoto Univ. (Japan). Faculty of Engineering; Minami, T [Univ. of Osaka Prefecture, Osaka (Japan). Faculty of Engineering

    1993-12-01

    Using the sol-gel method the surface of metal and glass substrates can be modified. For example, stainless steel sheets coated with the SiO2-ZrO2 glass films have higher resistance to corrosion and oxidation. The coating films contain high concentration of alkali ions diffusing from the glass substrates. It suggests that the sodium ions are trapped strongly within the coating films and are blocked to further diffuse to the surface. This behavior must be associated with the chemical bonding around the sodium ions in the SiO2-TiO2 and SiO2-ZrO2 films. For better understanding of the chemical bonding in the glasses, the electronic states of the SiO2-MxOy glasses were calculated by means of the DV-Xa cluster method. In this paper, the calculation method is explained, the results are discussed and the conclusion is stated. 17 refs., 6 figs.

  20. Compositional dependence of absorption coefficient and band-gap for Nb2O5-SiO2 mixture thin films

    International Nuclear Information System (INIS)

    Sancho-Parramon, Jordi; Janicki, Vesna; Zorc, Hrvoje

    2008-01-01

    The absorption coefficient of composite films consisting of niobia (Nb 2 O 5 ) and silica (SiO 2 ) mixtures is studied for photon energies around the band gap. The films were deposited by co-evaporation and their composition was varied by changing the ratio of deposition rates of the two materials. Both, as-deposited and thermally annealed films were characterized by different techniques: the absorption coefficient was determined by spectrophotometric measurements and the structural properties were investigated using infrared spectroscopy, transmission electron microscopy and X-ray diffraction. The correlation between the variations of absorption properties and film composition and structure is established. The absorption coefficients determined experimentally are compared with the results derived from effective medium theories in order to evaluate the suitability of these theories for the studied composites

  1. Optical absorption properties of Ag/SiO sub 2 composite films induced by gamma irradiation

    CERN Document Server

    Pan, A L; Yang, Z P; Liu, F X; Ding, Z J; Qian, Y T

    2003-01-01

    Mesoporous SiO sub 2 composite films with small Ag particles or clusters dispersed in them were prepared by a new method: first the matrix SiO sub 2 films were prepared by the sol-gel process combined with the dip-coating technique; then they were soaked in AgNO sub 3 solutions; this was followed by irradiation with gamma-rays at room temperature and ambient pressure. The structure of these films was examined by high-resolution transmission electron microscopy, and their optical absorption spectra were examined. It has been shown that the Ag particles grown within the porous SiO sub 2 films are very small and are highly dispersed. On increasing the soaking concentration and subjecting the samples to an additional annealing, a different peak-shift effect for the surface plasmon resonance was observed in the optical absorption measurement. Possible mechanisms of this behaviour are discussed in this paper.

  2. SiO2 sol-gel films after ammonia and heat two-step treatments

    International Nuclear Information System (INIS)

    Zhang Chunlai; Wang Biyi; Tian Dongbin; Yin Wei; Jiang Xiaodong; Yuan Xiaodong; Yan Lianghong; Zhang Hongliang; Zhao Songnan; Lv Haibing

    2008-01-01

    SiO 2 thin films were deposited using tetraethoxylsilane as precursor, ammonia as catalyst on K9 glass by sol-gel method. These films were post-treated by ammonia and heat. The properties of the coatings were characterized by ellipsometer, UV-vis spectrophotometry, FTIR-spectroscopy, scanning probe microscope and contact angle measurement apparatus. The resuits indicate that the thickness of the films with ammonia and heat treatment tend to decrease. Both the refractive index and water contact angle increase after ammonia treatment. However, they both decrease after heat treatment. The former increases by 0.236 for the first step, then decreases by 0.202 for the second. The latter increases to 58.92 degree, then decreases to 38.07 degree. The transmittance of the coatings turn to be better and continuously shift to short wave by UV-vis spectrophotometry. The surface becomes smoother by AFM after the two-step treatment. (authors)

  3. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  4. Alpha-particle irradiation induced defects in SiO2 films of Si-SiO2 structures

    International Nuclear Information System (INIS)

    Koman, B.P.; Gal'chynskyy, O.V.; Kovalyuk, R.O.; Shkol'nyy, A.K.

    1996-01-01

    The aim of the work was to investigate alpha-particle irradiation induced defects in Si-SiO 2 structures by means of the thermostimulated discharge currents (TSDC) analysis. The object of investigation were (p-Si)-SiO 2 structures formed by a combined oxidation of the industrial p-Si wafers in dry and wet oxygen at temperature of 1150 C. The TSD currents were investigated in the temperature range between 90 and 500 K under linear heating rate. Pu 238 isotopes were the source of alpha-particles with an energy of 4-5 MeV and a density of 5.10 7 s -1 cm -2 . The TSD current curves show two peculiar maxima at about 370 and 480 K. Alpha-particle irradiation doesn't affect the general shape of the TSDC curves but leads to a shift of the maximum at 370 K and reduces the total electret charge which is accumulated in the Si-SiO 2 structures during polarization. The energy distribution function of the defects which are involved in SiO 2 polarization has been calculated. It showes that defects with activation energies of about 0.8 and 1.0 eV take part in forming the electret state, and these activation energies have certain energy distributions. It has been found that the TSDC maximum at 370 K has space charge nature and is caused by migration of hydrogen ions. In irradiated samples hydrogen and natrium ions localize on deeper trapping centres induced by alpha-particle irradiation. (orig.)

  5. Annealing temperature effect on structure and electrical properties of films formed of Ge nanoparticles in SiO2

    International Nuclear Information System (INIS)

    Stavarache, Ionel; Lepadatu, Ana-Maria; Stoica, Toma; Ciurea, Magdalena Lidia

    2013-01-01

    Ge–SiO 2 films with high Ge/Si atomic ratio of about 1.86 were obtained by co-sputtering of Ge and SiO 2 targets and subsequently annealed at different temperatures between 600 and 1000 °C in a conventional furnace in order to show how the annealing process influences the film morphology concerning the Ge nanocrystal and/or amorphous nanoparticle formation and to study their electrical behaviour. Atomic force microscopy (AFM) imaging, Raman spectroscopy and electrical conductance measurements were performed in order to find out the annealing effect on the film surface morphology, as well as the Ge nanoparticle formation in correlation with the hopping conductivity of the films. AFM images show that the films annealed at 600 and 700 °C present a granular surface with particle height of about 15 nm, while those annealed at higher temperatures have smoother surface. The Raman investigations evidence Ge nanocrystals (including small ones) coexisting with amorphous Ge in the films annealed at 600 °C and show that almost all Ge is crystallized in the films annealed at 700 °C. The annealing at 800 °C disadvantages the Ge nanocrystal formation due to the strong Ge diffusion. This transition in Ge nanocrystals formation process by annealing temperature increase from 700 to 800 °C revealed by AFM and Raman spectroscopy measurements corresponds to a change in the electrical transport mechanism. Thus, in the 700 °C annealed films, the current depends on temperature according to a T −1/2 law which is typical for a tunnelling mechanism between neighbour Ge nanocrystals. In the 800 °C annealed films, the current–temperature characteristic has a T −1/4 dependence showing a hopping mechanism within an electronic band of localized states related to diffused Ge in SiO 2 .

  6. Manufacturing and investigation of surface morphology and optical properties of composite thin films reinforced by TiO2, Bi2O3 and SiO2 nanoparticles

    Science.gov (United States)

    Jarka, Paweł; Tański, Tomasz; Matysiak, Wiktor; Krzemiński, Łukasz; Hajduk, Barbara; Bilewicz, Marcin

    2017-12-01

    The aim of submitted paper is to present influence of manufacturing parameters on optical properties and surface morphology of composite materials with a polymer matrix reinforced by TiO2 and SiO2 and Bi2O3 nanoparticles. The novelty proposed by the authors is the use of TiO2 and SiO2 and Bi2O3 nanoparticles simultaneously in polymeric matrix. This allows using the combined effect of nanoparticles to a result composite material. The thin films of composite material were prepared by using spin-coating method with various spinning rates from solutions of different concentration of nanoparticles. In order to prepare the spinning solution polymer, Poly(methyl methacrylate) (PMMA) was used as a matrix. The reinforcing phase was the mixture of the nanoparticles of SiO2, TiO2 and B2O3. In order to identify the surface morphology of using thin films and arrangement of the reinforcing phase Atomic Force Microscope (AFM) and Scanning Electron Microscope (SEM) were used. In order to study the optical properties of the obtained thin films, the thin films of composites was subjected to an ellipsometry analysis. The measurements of absorbance of the obtained materials, from which the value of the band gap width was specified, were carried out using the UV/VIS spectroscopy. The optical properties of obtain composite thin films depend not only on the individual components used, but also on the morphology and the interfacial characteristics. Controlling the participation of three kinds of nanoparticles of different sizes and optical parameters allows to obtaining the most optimal optical properties of nanocomposites and also controlling the deposition parameters allows to obtaining the most optimal surface morphology of nanocomposites.

  7. Photoluminescence and excited state structure in Bi3+-doped Y2SiO5 single crystalline films

    International Nuclear Information System (INIS)

    Babin, V.; Gorbenko, V.; Krasnikov, A.; Mihokova, E.; Nikl, M.; Zazubovich, S.; Zorenko, Yu.

    2013-01-01

    Single crystalline films of Bi-doped Y 2 SiO 5 are studied at 4.2–350 K by the time-resolved luminescence methods under excitation in the 3.8–6.2 eV energy range. Ultraviolet luminescence of Y 2 SiO 5 :Bi (≈3.6 eV) is shown to arise from the radiative decay of the metastable and radiative minima of the triplet relaxed excited state (RES) of Bi 3+ centers which are related to the 3 P 0 and 3 P 1 levels of a free Bi 3+ ion, respectively. The lowest-energy excitation band of this emission, located at ≈4.5 eV, is assigned to the 1 S 0 → 3 P 1 transitions of a free Bi 3+ ion. The phenomenological model is proposed to describe the excited-state dynamics of Bi 3+ centers in Y 2 SiO 5 :Bi, and parameters of the triplet RES are determined. -- Highlights: •Luminescence of Y 2 SiO 5 :Bi is investigated for the first time. •Ultraviolet emission arises from Bi 3+ ions located in Y lattice sites. •The triplet relaxed excited states parameters of Bi 3+ centers are determined

  8. The structural studies of aluminosilicate gels and thin films synthesized by the sol-gel method using different Al2O3 and SiO2 precursors

    Directory of Open Access Journals (Sweden)

    Adamczyk Anna

    2015-12-01

    Full Text Available Aluminosilicate materials were obtained by sol-gel method, using different Al2O3 and SiO2 precursors in order to prepare sols based on water and organic solvents. As SiO2 precursors, Aerosil 200TM and tetraethoxysilane TEOS: Si(OC2H54 were applied, while DisperalTM and aluminium secondary butoxide ATSB: Al(OC4H93 were used for Al2O3 ones. Bulk samples were obtained by heating gels at 500 °C, 850 °C and at 1150 °C in air, while thin films were synthesized on carbon, steel and alundum (representing porous ceramics substrates by the dip coating method. Thin films were annealed in air (steel and alundum and in argon (carbon at different temperatures, depending on the substrate type. The samples were synthesized as gels and coatings of the composition corresponding the that of 3Al2O3·2SiO2 mullite because of the specific valuable properties of this material. The structure of the annealed bulk samples and coatings was studied by FT-IR spectroscopy and XRD method (in standard and GID configurations. Additionally, the electron microscopy (SEM together with EDS microanalysis were applied to describe the morphology and the chemical composition of thin films. The analysis of FT-IR spectra and X-ray diffraction patterns of bulk samples revealed the presence of γ-Al2O3 and δ-Al2O3 phases, together with the small amount of SiO2 in the particulate samples. This observation was confirmed by the bands due to vibrations of Al–O bonds occurring in γ-Al2O3 and δ-Al2O3 structures, in the range of 400 to 900 cm−1. The same phases (γ-Al2O3 and δ-Al2O were observed in the deposited coatings, but the presence of particulate ones strongly depended on the type of Al2O3 and SiO2 precursor and on the heat treatment temperature. All thin films contained considerable amounts of amorphous phase.

  9. Effect of hydrostatic pressure on photoluminescence spectra from structures with Si nanocrystals fabricated in SiO2 matrix

    International Nuclear Information System (INIS)

    Zhuravlev, K.S.; Tyschenko, I.E.; Vandyshev, E.N.; Bulytova, N.V.; Misiuk, A.; Rebohle, L.; Skorupa, W.

    2002-01-01

    The effect of hydrostatic pressure applied at high temperature on photoluminescence of Si-implanted SiO 2 films was studied. A 'blue'-shift of PL spectrum from the SiO 2 films implanted with Si + ions to total dose of 1.2x10 17 cm -2 with increase in hydrostatic pressure was observed. For the films implanted with Si + ions to a total dose of 4.8x10 16 cm -2 high temperature annealing under high hydrostatic pressure (12 kbar) causes a 'red'-shift of photoluminescence spectrum. The 'red' photoluminescence bands are attributed to Si nanocrystals while the 'blue' ones are related to Si nanocrystals of reduced size or chains of silicon atoms or Si-Si defects. A decrease in size of Si nanocluster occurs in result of the pressure-induced decrease in the diffusion of silicon atoms. (author)

  10. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  11. Composite SiOx/hydrocarbon plasma polymer films prepared by RF magnetron sputtering of SiO2 and polyimide

    Czech Academy of Sciences Publication Activity Database

    Drabik, M.; Kousal, J.; Pinosh, Y.; Choukourov, A.; Biederman, H.; Slavínská, D.; Macková, Anna; Boldyryeva, Hanna; Pešička, J.

    2007-01-01

    Roč. 81, č. 7 (2007), s. 920-927 ISSN 0042-207X Institutional research plan: CEZ:AV0Z10480505 Keywords : composite films * magnetron * sputtering * polyimide * SiO2 Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.881, year: 2007

  12. Study of sputtered ZnO thin films on SiO2 and GaP substrates

    International Nuclear Information System (INIS)

    Brath, T.; Buc, D.; Kovac, J.; Hrnciar, V.; Caplovic, L.

    2011-01-01

    We have investigated n-ZnO polycrystalline thin films prepared on SiO 2 and p-GaP substrate using magnetron sputtering technique. The structural and electrical properties of these structures were studied. The measured parameters give promising results with a possibility to utilize n-ZnO/p-GaP heterostructure for application in the solar cells development especially in the field of nanostructures. The prepared structures will be a subject of further research. (authors)

  13. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  14. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  15. Atomic force microscopy measurements of topography and friction on dotriacontane films adsorbed on a SiO2 surface

    DEFF Research Database (Denmark)

    Trogisch, S.; Simpson, M.J.; Taub, H.

    2005-01-01

    We report comprehensive atomic force microscopy (AFM) measurements at room temperature of the nanoscale topography and lateral friction on the surface of thin solid films of an intermediate-length normal alkane, dotriacontane (n-C32H66), adsorbed onto a SiO2 surface. Our topographic and frictional...

  16. SHI induced effects on the electrical and optical properties of HfO_2 thin films deposited by RF sputtering

    International Nuclear Information System (INIS)

    Manikanthababu, N.; Dhanunjaya, M.; Nageswara Rao, S.V.S.; Pathak, A.P.

    2016-01-01

    The continuous downscaling of Metal Oxide Semiconductor (MOS) devices has reached a limit with SiO_2 as a gate dielectric material. Introducing high-k dielectric materials as a replacement for the conservative SiO_2 is the only alternative to reduce the leakage current. HfO_2 is a reliable and an impending material for the wide usage as a gate dielectric in semiconductor industry. HfO_2 thin films were synthesized by RF sputtering technique. Here, we present a study of Swift Heavy Ion (SHI) irradiation with100 MeV Ag ions for studying the optical properties as well as 80 MeV Ni ions for studying the electrical properties of HfO_2/Si thin films. Rutherford Backscattering Spectrometry (RBS), Field Emission Scanning Electron Microscope (FESEM), energy-dispersive X-ray spectroscopy (EDS), profilometer and I–V (leakage current) measurements have been employed to study the SHI induced effects on both the structural, electrical and optical properties.

  17. Transfer-free, lithography-free, and micrometer-precision patterning of CVD graphene on SiO2 toward all-carbon electronics

    Science.gov (United States)

    Dong, Yibo; Xie, Yiyang; Xu, Chen; Li, Xuejian; Deng, Jun; Fan, Xing; Pan, Guanzhong; Wang, Qiuhua; Xiong, Fangzhu; Fu, Yafei; Sun, Jie

    2018-02-01

    A method of producing large area continuous graphene directly on SiO2 by chemical vapor deposition is systematically developed. Cu thin film catalysts are sputtered onto the SiO2 and pre-patterned. During graphene deposition, high temperature induces evaporation and balling of the Cu, and the graphene "lands onto" SiO2. Due to the high heating and growth rate, continuous graphene is largely completed before the Cu evaporation and balling. 60 nm is identified as the optimal thickness of the Cu for a successful graphene growth and μm-large feature size in the graphene. An all-carbon device is demonstrated based on this technique.

  18. Suppressing Structural Colors of Photocatalytic Optical Coatings on Glass: The Critical Role of SiO2.

    Science.gov (United States)

    Li, Ronghua; Boudot, Mickael; Boissière, Cédric; Grosso, David; Faustini, Marco

    2017-04-26

    The appearance of structural colors on coated-glass is a critical esthetical drawback toward industrialization of photocatalytic coatings on windows for architecture or automobile. Herein we describe a rational approach to suppress the structural color of mesoporous TiO 2 -based coatings preserving photoactivity and mechanical stiffness. Addition of SiO 2 as third component is discussed. Ti x Si (1-x) O 2 mesoporous coatings were fabricated by one-step liquid deposition process through the evaporation induced self-assembling and characterized by GI-SAXS, GI-WAXS, electron microscopies, and in situ Environmental Ellipsometry Porosimetry. Guided by optical simulation, we investigated the critical role of SiO 2 on the optical responses of the films but also on the structural, mechanical, and photocatalytic properties, important requirements to go toward real applications. We demonstrate that adding SiO 2 to porous TiO 2 allows tuning and suppression of structural colors through refractive index matching and up to 160% increase in mechanical stiffening of the films. This study leads us to demonstrate an example of "invisible" coating, in which the light reflection is angle- and thickness-independent, and exhibiting high porosity, mechanical stiffness, and photoactivity.

  19. Photoluminescent characteristics of ion beam synthesized Ge nanoparticles in thermally grown SiO2 films

    International Nuclear Information System (INIS)

    Yu, C.F.; Chao, D.S.; Chen, Y.-F.; Liang, J.H.

    2013-01-01

    Prospects of developing into numerous silicon-based optoelectronic applications have prompted many studies on the optical properties of Ge nanoparticles within a silicon oxide (SiO 2 ) matrix. Even with such abundant studies, the fundamental mechanism underlying the Ge nanoparticle-induced photoluminescence (PL) is still an open question. In order to elucidate the mechanism, we dedicate this study to investigating the correlation between the PL properties and microstructure of the Ge nanoparticles synthesized in thermally grown SiO 2 films. Our spectral data show that the peak position, at ∼3.1 eV or 400 nm, of the PL band arising from the Ge nanoparticles was essentially unchanged under different Ge implantation fluences and the temperatures of the following annealing process, whereas the sample preparation parameters modified or even fluctuated (in the case of the annealing temperature) the peak intensity considerably. Given the microscopically observed correlation between the nanoparticle structure and the sample preparation parameters, this phenomenon is consistent with the mechanism in which the oxygen-deficiency-related defects in the Ge/SiO 2 interface act as the major luminescence centers; this mechanism also successfully explains the peak intensity fluctuation with the annealing temperature. Moreover, our FTIR data indicate the formation of GeO x upon ion implantation. Since decreasing of the oxygen-related defects by the GeO x formation is expected to be correlated with the annealing temperature, presence of the GeO x renders further experimental support to the oxygen defect mechanism. This understanding may assist the designing of the manufacturing process to optimize the Ge nanoparticle-based PL materials for different technological applications

  20. Noise measurements on NbN thin films with a negative temperature resistance coefficient deposited on sapphire and on SiO2

    NARCIS (Netherlands)

    Leroy, G.; Gest, J.; Vandamme, L.K.J.; Bourgeois, O.

    2007-01-01

    We characterize granular NbNx thin cermet films deposited on either sapphire substrate or on SiO2 and compare the 1/f noise at 300 K and 80 K. The films were characterized with an impedance analyzer from 20 Hz to 1 MHz and analyzed as a resistor R in parallel with a capacitor C. The calculated noise

  1. The application of Cu/SiO2 catalytic system in chemical mechanical planarization based on the stability of SiO2 sol

    International Nuclear Information System (INIS)

    Li Yan; Liu Yuling; Wang Aochen; Yang Zhixin; Sun Mingbin; Cheng Chuan; Zhang Yufeng; Zhang Nannan

    2014-01-01

    There is a lot of hydroxyl on the surface of nano SiO 2 sol used as an abrasive in the chemical mechanical planarization (CMP) process, and the chemical reaction activity of the hydroxyl is very strong due to the nano effect. In addition to providing a mechanical polishing effect, SiO 2 sol is also directly involved in the chemical reaction. The stability of SiO 2 sol was characterized through particle size distribution, zeta potential, viscosity, surface charge and other parameters in order to ensure that the chemical reaction rate in the CMP process, and the surface state of the copper film after CMP was not affected by the SiO 2 sol. Polarization curves and corrosion potential of different concentrations of SiO 2 sol showed that trace SiO 2 sol can effectively weaken the passivation film thickness. In other words, SiO 2 sol accelerated the decomposition rate of passive film. It was confirmed that the SiO 2 sol as reactant had been involved in the CMP process of copper film as reactant by the effect of trace SiO 2 sol on the removal rate of copper film in the CMP process under different conditions. In the CMP process, a small amount of SiO 2 sol can drastically alter the chemical reaction rate of the copper film, therefore, the possibility that Cu/SiO 2 as a catalytic system catalytically accelerated the chemical reaction in the CMP process was proposed. According to the van't Hoff isotherm formula and the characteristics of a catalyst which only changes the chemical reaction rate with out changing the total reaction standard Gibbs free energy, factors affecting the Cu/SiO 2 catalytic reaction were derived from the decomposition rate of Cu (OH) 2 and the pH value of the system, and then it was concluded that the CuSiO 3 as intermediates of Cu/SiO 2 catalytic reaction accelerated the chemical reaction rate in the CMP process. It was confirmed that the Cu/SiO 2 catalytic system generated the intermediate of the catalytic reaction (CuSiO 3 ) in the CMP process

  2. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  3. Magnetotransport properties of c-axis oriented La0.7Sr0.3MnO3 thin films on MgO-buffered SiO2/Si substrates

    International Nuclear Information System (INIS)

    Kang, Young-Min; Ulyanov, Alexander N.; Shin, Geo-Myung; Lee, Sung-Yun; Yoo, Dae-Gil; Yoo, Sang-Im

    2009-01-01

    c-axis oriented La 0.7 Sr 0.3 MnO 3 (LSMO) films on MgO-buffered SiO 2 /Si substrates were prepared, and their texture, microstructure, and magnetotransport properties were studied and compared to epitaxial LSMO/MgO (001) and polycrystalline LSMO/SiO 2 /Si films. c-axis oriented MgO buffer layers were obtained on amorphous SiO 2 layer through rf sputter deposition at low substrate temperature and consequent postannealing processes. In situ pulsed laser deposition-grown LSMO films, deposited on the MgO layer, show strong c-axis texture, but no in-plane texture. The c-axis oriented LSMO films which are magnetically softer than LSMO/SiO 2 /Si films exhibit relatively large low field magnetoresistance (LFMR) and sharper MR drop at lower field. The large LFMR is attributed to a spin-dependent scattering of transport current at the grain boundaries

  4. Density, thickness and composition measurements of TiO2 -SiO2 thin films by coupling X-ray reflectometry, ellipsometry and electron probe microanalysis-X

    International Nuclear Information System (INIS)

    Hodroj, A.; Roussel, H.; Crisci, A.; Robaut, F.; Gottlieb, U.; Deschanvres, J.L.

    2006-01-01

    Mixed TiO 2 -SiO 2 thin films were deposited by aerosol atmospheric CVD method by using di-acetoxi di-butoxi silane (DADBS) and Ti tetra-butoxide as precursors. By varying the deposition temperatures between 470 and 600 deg. C and the ratios between the Si and Ti precursors (Si/Ti) from 2 up to 16, films with different compositions and thicknesses were deposited. The coupled analysis of the results of different characterisation methods was used in order to determine the variation of the composition, the thickness and the density of the films. First EPMA measurements were performed at different acceleration voltages with a Cameca SX50 system. By analysing, with specific software, the evolution of the intensity ratio I x /I std versus the voltage, the composition and the mass thickness (product of density by the thickness) were determined. In order to measure independently the density, X-ray reflectometry experiments were performed. By analysing the value of the critical angle and the Kiessig fringes, the density and the thickness of the layers were determined. The refractive index and the thickness of the films were also measured by ellipsometry. By assuming a linear interpolation between the index value of the pure SiO 2 and TiO 2 films, the film composition was deduced from the refractive index value. XPS measurements were also performed in order to obtain an independent value of the composition. A good agreement between the ways to measure the density is obtained

  5. Structural Evaluation of 5,5′-Bis(naphth-2-yl)-2,2′-bithiophene in Organic Field-Effect Transistors with n-Octadecyltrichlorosilane Coated SiO2 Gate Dielectric

    DEFF Research Database (Denmark)

    Lauritzen, Andreas E.; Torkkeli, Mika; Bikondoa, Oier

    2018-01-01

    We report on the structure and morphology of 5,5′-bis(naphth-2-yl)-2,2′-bithiophene (NaT2) films in bottom-contact organic field-effect transistors (OFETs) with octadecyltrichlorosilane (OTS) coated SiO2 gate dielectric, characterized by atomic force microscopy (AFM), grazing-incidence X......-ray diffraction (GIXRD), and electrical transport measurements. Three types of devices were investigated with the NaT2 thin-film deposited either on (1) pristine SiO2 (corresponding to higher surface energy, 47 mJ/m2) or on OTS deposited on SiO2 under (2) anhydrous or (3) humid conditions (corresponding to lower...... surface energies, 20–25 mJ/m2). NaT2 films grown on pristine SiO2 form nearly featureless three-dimensional islands. NaT2 films grown on OTS/SiO2 deposited under anhydrous conditions form staggered pyramid islands where the interlayer spacing corresponds to the size of the NaT2 unit cell. At the same time...

  6. Transfer-free, lithography-free, and micrometer-precision patterning of CVD graphene on SiO2 toward all-carbon electronics

    Directory of Open Access Journals (Sweden)

    Yibo Dong

    2018-02-01

    Full Text Available A method of producing large area continuous graphene directly on SiO2 by chemical vapor deposition is systematically developed. Cu thin film catalysts are sputtered onto the SiO2 and pre-patterned. During graphene deposition, high temperature induces evaporation and balling of the Cu, and the graphene “lands onto” SiO2. Due to the high heating and growth rate, continuous graphene is largely completed before the Cu evaporation and balling. 60 nm is identified as the optimal thickness of the Cu for a successful graphene growth and μm-large feature size in the graphene. An all-carbon device is demonstrated based on this technique.

  7. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    Science.gov (United States)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  8. Effect of annealing induced residual stress on the resonance frequency of SiO2 microcantilevers

    Science.gov (United States)

    Balasubramanian, S.; Prabakar, K.; Tripura Sundari, S.

    2018-04-01

    In the present work, effect of residual stress, induced due to annealing of SiO2 microcantilevers (MCs) on their resonance frequency is studied. SiO2MCs of various dimensions were fabricated using direct laser writer & wet chemical etching method and were annealed at 800 °C in oxygen environment, post release. The residual stress was estimated from the deflection profile of the MCs measured using 3D optical microscope, before and after annealing. Resonance frequency of the MCs was measured using nano-vibration analyzer and was found to change after annealing. Further the frequency shift was found to depend on the MC dimensions. This is attributed to the large stress gradients induced by annealing and associated stiffness changes.

  9. Formation, structure, and phonon confinement effect of nanocrystalline Si1-xGex in SiO2-Si-Ge cosputtered films

    International Nuclear Information System (INIS)

    Yang, Y.M.; Wu, X.L.; Siu, G.G.; Huang, G.S.; Shen, J.C.; Hu, D.S.

    2004-01-01

    Using magnetron cosputtering of SiO 2 , Ge, and Si targets, Si-based SiO 2 :Ge:Si films were fabricated for exploring the influence of Si target proportion (P Si ) and annealing temperature (Ta) on formation, local structure, and phonon properties of nanocrystalline Si 1-x Ge x (nc-Si 1-x Ge x ). At low P Si and Ta higher than 800 deg. C, no nc-Si 1-x Ge x but a kind of composite nanocrystal consisting of a Ge core, GeSi shell, and amorphous Si outer shell is formed in the SiO 2 matrix. At moderate P Si , nc-Si 1-x Ge x begins to be formed at Ta=800 deg. C and coexists with nc-Ge at Ta=1100 deg. C. At high P Si , it was disclosed that both optical phonon frequency and lattice spacing of nc-Si 1-x Ge x increase with raising Ta. The possible origin of this phenomenon is discussed by considering three factors, the phonon confinement, strain effect, and composition variation of nc-Si 1-x Ge x . This work will be helpful in understanding the growth process of ternary GeSiO films and beneficial to further investigations on optical properties of nc-Ge 1-x Si x in the ternary matrix

  10. Study of epitaxial lateral overgrowth of semipolar (1 1 − 2 2) GaN by using different SiO2 pattern sizes

    International Nuclear Information System (INIS)

    Song, Ki-Ryong; Lee, Jae-Hwan; Han, Sang-Hyun; Yi, Hye-Rin; Lee, Sung-Nam

    2013-01-01

    Graphical abstract: - Highlights: • We examine comparative studies of semipolar ELO-GaN film. • Semipolar ELO-GaN film was grown by three step growth method. • The achievement of smooth surface morphology of semipolar ELO-GaN. • The crystal and optical properties was significantly improved by ELO process. - Abstract: We investigated the growth mode and the crystal properties of lateral epitaxial overgrowth (LEO) semipolar (1 1 − 2 2) GaN by using the various SiO 2 pattern sizes of 6, 8, 10 and 12 μm with the window width of 4.0 μm. By using three-step growth technique, we successfully obtained the fully-coalescenced semipolar (1 1 − 2 2) LEO-GaN films regardless of the SiO 2 pattern sizes. However, the coalescence thickness of LEO-GaN film was decreased with decreasing SiO 2 pattern size, indicating that the coalescence of semipolar (1 1 − 2 2) GaN was easily formed by decreasing the pattern size of SiO 2 mask. The full width at half maximums (FWHMs) of X-ray rocking curves (XRCs) of LEO-GaN films decreased with increasing SiO 2 pattern size. In the pattern size of 4 × 10 μm, we achieved the minimum XRCs FWHM of 537 and 368 arc s with two different X-ray incident beam directions of [1 1 − 2 − 3] and [1 − 1 0 0], respectively. Moreover, the photoluminescence bandedge emission of semipolar (1 1 − 2 2) GaN was 45 times increased by LEO process. Based on these results, we concluded that the LEO pattern size of 4 × 10 μm would effectively decrease crystal defects of semipolar (1 1 − 2 2) GaN epilayer, resulting in an improvement of the optical properties

  11. Effects of deposition rates on laser damage threshold of TiO2/SiO2 high reflectors

    International Nuclear Information System (INIS)

    Yao Jianke; Xu Cheng; Ma Jianyong; Fang Ming; Fan Zhengxiu; Jin Yunxia; Zhao Yuanan; He Hongbo; Shao Jianda

    2009-01-01

    TiO 2 single layers and TiO 2 /SiO 2 high reflectors (HR) are prepared by electron beam evaporation at different TiO 2 deposition rates. It is found that the changes of properties of TiO 2 films with the increase of rate, such as the increase of refractive index and extinction coefficient and the decrease of physical thickness, lead to the spectrum shift and reflectivity bandwidth broadening of HR together with the increase of absorption and decrease of laser-induced damage threshold. The damages are found of different morphologies: a shallow pit to a seriously delaminated and deep crater, and the different amorphous-to-anatase-to-rutile phase transition processes detected by Raman study. The frequency shift of Raman vibration mode correlates with the strain in film. Energy dispersive X-ray analysis reveals that impurities and non-stoichiometric defects are two absorption initiations resulting to the laser-induced transformation.

  12. TiO2-BASED Composite Films for the Photodegradation of Oxytetracycline

    Science.gov (United States)

    Li, Hui; Guan, Ling-Xiao; Feng, Ji-Jun; Li, Fang; Yao, Ming-Ming

    2015-02-01

    The spread of the antibiotic oxytetracycline (OTC) has been thought as a threat to the safety of drinking water. In this paper, the photocatalytic activity of the nanocrystalline Fe/Ca co-doped TiO2-SiO2 composite film for the degradation of OTC was studied. The films were characterized by field emission scanning electron microscopy (FE-SEM) equipped with energy-dispersive spectroscopy (EDS), N2 adsorption/desorption isotherms, photoluminescence (PL) spectra, and UV-Vis diffraction reflectance absorption spectra (DRS). The FE-SEM results indicated that the Fe/Ca co-doped TiO2-SiO2 film was composed of smaller nanoparticles compared to pure TiO2 or TiO2-SiO2 film. The BET surface area results showed that the specific surface area of the pure TiO2, TiO2-SiO2 and Ca2+/Fe3+ co-doped TiO2-SiO2 is 118.3 m2g-1, 294.3 m2g-1 and 393.7 m2g-1, respectively. The DRS and PL spectra revealed that the Fe/Ca co-doped TiO2-SiO2 film had strong visible light adsorption and diminished electrons/holes recombination. Experimental results showed that the Fe/Ca co-doped TiO2-SiO2 film is effective in the degradation of OTC under both UV and visible light irradiation.

  13. Self assembly of SiO2-encapsulated carbon microsphere composites

    International Nuclear Information System (INIS)

    Yang Yongzhen; Song Jingjing; Han Yanxing; Guo Xingmei; Liu Xuguang; Xu Bingshe

    2011-01-01

    SiO 2 was firstly coated onto the surface of carbon microspheres (CMSs) using tetraethyl orthosilicate (TEOS) as precursor by Stoeber method. Then SiO 2 -encapsulated CMS (CMS-SiO 2 ) composites were self-assembled by vertical deposition, in which the effects of deposition temperature and suspension concentration on the quality of self-assembling film were investigated. Morphologies and structures of the samples were characterized by field emission scanning electron microscopy, Fourier transformation infrared spectrometry, X-ray diffraction and thermogravimetry. The results show that uniform CMS-SiO 2 composites with good mono-dispersion were prepared by Stober method with 0.5 g of CMSs, 2 mL of TEOS, 30 mL of ammonia and 12 h of reaction time, the CMSs-based films with ordered and denser structure were prepared by vertical deposition using CMS-SiO 2 composites as monodipersion spheres under suspension concentration of 1 wt% and deposition temperature of 50 deg. C. The ultraviolet-visible absorption measurement shows that the absorbance of CMS-SiO 2 composite films grew steadily with increasing suspension concentration.

  14. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  15. Microstructural modifications induced by rapid thermal annealing in plasma deposited SiOxNyHz films

    International Nuclear Information System (INIS)

    Prado, A. del; San Andres, E.; Martil, I.; Gonzalez-Diaz, G.; Bravo, D.; Lopez, F.J.; Fernandez, M.; Martinez, F.L.

    2003-01-01

    The effect of rapid thermal annealing (RTA) processes on the structural properties of SiO x N y H z films was investigated. The samples were deposited by the electron cyclotron resonance plasma method, using SiH 4 , O 2 and N 2 as precursor gases. For SiO x N y H z films with composition close to that of SiO 2 , which have a very low H content, RTA induces thermal relaxation of the lattice and improvement of the structural order. For films of intermediate composition and of compositions close to SiN y H z , the main effect of RTA is the release of H at high temperatures (T>700 deg. C). This H release is more significant in films containing both Si-H and N-H bonds, due to cooperative reactions between both kinds of bonds. In these films the degradation of structural order associated to H release prevails over thermal relaxation, while in those films with only N-H bonds, thermal relaxation predominates. For annealing temperatures in the 500-700 deg. C range, the passivation of dangling bonds by the nonbonded H in the films and the transition from the paramagnetic state to the diamagnetic state of the K center result in a decrease of the density of paramagnetic defects. The H release observed at high annealing temperatures is accompanied by an increase of density of paramagnetic defects

  16. Preparation and Characterization of PbO-SrO-Na2O-Nb2O5-SiO2 Glass Ceramics Thin Film for High-Energy Storage Application

    Science.gov (United States)

    Tan, Feihu; Zhang, Qingmeng; Zhao, Hongbin; Wei, Feng; Du, Jun

    2018-03-01

    PbO-SrO-Na2O-Nb2O5-SiO2 (PSNNS) glass ceramic thin films were prepared by pulsed laser deposition technology on heavily doped silicon substrates. The influence of annealing temperatures on microstructures, dielectric properties and energy storage performances of the as-prepared films were investigated in detail. X-ray diffraction studies indicate that Pb2Nb2O7 crystallizes at 800°C and disappears at 900°C, while NaNbO3 and PbNb2O6 are formed at the higher temperature of 900°C. The dielectric properties of the glass ceramics thin films have a strong dependence on the phase assemblages that are developed during heat treatment. The maximum dielectric constant value of 171 was obtained for the film annealed at 800°C, owing to the high electric breakdown field strength, The energy storage densities of the PSNNS films annealed at 800°C were as large as 36.9 J/cm3, These results suggest that PSNNS thin films are promising for energy storage applications.

  17. Self induced gratings in ternary SiO2:SnO2:Na2O bulk glasses by UV light seeding.

    Science.gov (United States)

    Lancry, M; Douay, M; Niay, P; Beclin, F; Menke, Y; Milanese, D; Ferraris, M; Poumellec, B

    2005-09-05

    The diffraction efficiency of gratings written in ternary SnO2:SiO2:Na2O bulk glasses rises dramatically with time after the occultation of the cw 244nm light used to write the thick hologram. This self-induced behavior lasts for several hours and ultimately leads to refractive index changes as high as 3 10-3.

  18. Geometric structure of thin SiO xN y films on Si(100)

    Science.gov (United States)

    Behrens, K.-M.; Klinkenberg, E.-D.; Finster, J.; Meiwes-Broer, K.-H.

    1998-05-01

    Thin films of amorphous stoichometric SiO xN y are deposited on radiation-heated Si(100) by rapid thermal low-pressure chemical vapour deposition. We studied the whole range of possible compositions. In order to determine the geometric structure, we used EXAFS and photoelectron spectroscopy. Tetrahedrons constitute the short-range units with a central Si atom connected to N and O. The distribution of the possible tetrahedrons can be described by a mixture of the Random Bonding Model and the Random Mixture Model. For low oxygen contents x/( x+ y)≤0.3, the geometric structure of the film is almost the structure of a-Si 3N 4, with the oxygen preferably on top of Si-N 3 triangles. Higher oxygen contents induce changes in the bond lengths, bond angles and coordination numbers.

  19. Excimer laser crystallization of InGaZnO4 on SiO2 substrate

    NARCIS (Netherlands)

    Chen, T.; Wu, M.Y.; Ishihara, R.; Nomura, K.; Kamiya, T.; Hosono, H.; Beenakker, C.I.M.

    2011-01-01

    In this paper, we were able to crystallize InGaZnO4 (IGZO) by excimer laser on SiO2 substrate. It was observed that uniform [0001] textured polycrystalline IGZO film has been obtained without any grain boundaries and oxygen vacancies on SiO2 substrate. This process is very promising in fabricating

  20. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    Energy Technology Data Exchange (ETDEWEB)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe [Université Grenoble-Alpes, CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France)

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ from which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a very

  1. Optical properties of amorphous SiO2-TiO2 multi-nanolayered coatings for 1064-nm mirror technology

    Science.gov (United States)

    Magnozzi, M.; Terreni, S.; Anghinolfi, L.; Uttiya, S.; Carnasciali, M. M.; Gemme, G.; Neri, M.; Principe, M.; Pinto, I.; Kuo, L.-C.; Chao, S.; Canepa, M.

    2018-01-01

    The use of amorphous, SiO2-TiO2 nanolayered coatings has been proposed recently for the mirrors of 3rd-generation interferometric detectors of gravitational waves, to be operated at low temperature. Coatings with a high number of low-high index sub-units pairs with nanoscale thickness were found to preserve the amorphous structure for high annealing temperatures, a key factor to improve the mechanical quality of the mirrors. The optimization of mirror designs based on such coatings requires a detailed knowledge of the optical properties of sub-units at the nm-thick scale. To this aim we have performed a Spectroscopic Ellipsometry (SE) study of amorphous SiO2-TiO2 nanolayered films deposited on Si wafers by Ion Beam Sputtering (IBS). We have analyzed films that are composed of 5 and 19 nanolayers (NL5 and NL19 samples) and have total optical thickness nominally equivalent to a quarter of wavelength at 1064 nm. A set of reference optical properties for the constituent materials was obtained by the analysis of thicker SiO2 and TiO2 homogeneous films (∼ 120 nm) deposited by the same IBS facility. By flanking SE with ancillary techniques, such as TEM and AFM, we built optical models that allowed us to retrieve the broad-band (250-1700 nm) optical properties of the nanolayers in the NL5 and NL19 composite films. In the models which provided the best agreement between simulation and data, the thickness of each sub-unit was fitted within rather narrow bounds determined by the analysis of TEM measurements on witness samples. Regarding the NL5 sample, with thickness of 19.9 nm and 27.1 nm for SiO2 and TiO2 sub-units, respectively, the optical properties presented limited variations with respect to the thin film counterparts. For the NL19 sample, which is composed of ultrathin sub-units (4.4 nm and 8.4 nm for SiO2 and TiO2, respectively) we observed a significant decrease of the IR refraction index for both types of sub-units; this points to a lesser mass density with

  2. More accurate definition of liquation dome in Na2O-B2O3-SiO2 system

    International Nuclear Information System (INIS)

    Alekseeva, Z.D.; Mazurin, O.V.; Aver'yanov, V.I.; Galakhov, F.Ya.

    1977-01-01

    The liquation region in the system Na 2 O-B 2 O 3 -SiO 2 was investigated. The liquation temperatures were determined by the boundary of disappearance of opalescence in specimens with pre-induced opalescence. Extrapolation of the concentration dependences of the liquation temperature along sections with a constant SiO 2 content to a point indicates that with a SiO 2 content of 20-40% the liquation boundary in the system B 2 O 3 -SiO 2 hardly lies above 450 deg C

  3. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  4. Formation of metallic Si and SiC nanoparticles from SiO2 particles by plasma-induced cathodic discharge electrolysis in chloride melt

    International Nuclear Information System (INIS)

    Tokushige, M.; Tsujimura, H.; Nishikiori, T.; Ito, Y.

    2013-01-01

    Silicon nanoparticles are formed from SiO 2 particles by conducting plasma-induced cathodic discharge electrolysis. In a LiCl–KCl melt in which SiO 2 particles were suspended at 450 °C, we obtained Si nanoparticles with diameters around 20 nm. During the electrolysis period, SiO 2 particles are directly reduced by discharge electrons on the surface of the melt just under the discharge, and the deposited Si atom clusters form Si nanoparticles, which leave the surface of the original SiO 2 particle due to free spaces caused by a molar volume difference between SiO 2 and Si. We also found that SiC nanoparticles can be obtained using carbon anode. Based on Faraday's law, the current efficiency for the formation of Si nanoparticles is 70%

  5. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    Science.gov (United States)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  6. Irradiation-induced hardening/softening in SiO2 studied with instrumented indentation

    International Nuclear Information System (INIS)

    Nakano, Shinsuke; Muto, Shunsuke; Tanabe, Tetsuo

    2005-01-01

    To understand the plastic deformation mechanism of SiO 2 polytypes, we measured the mechanical parameters of He + -irradiated crystalline SiO 2 (α-quartz, c-SiO 2 ) and vitreous SiO 2 (silica glass, v-SiO 2 ) as functions of the irradiation dose, by using the instrumented indentation method combined with a finite-element analysis. We extracted the effects of local rotation and bending of the SiO 4 framework (the degree of local structural freedom), which play key roles in the plastic deformation, and expressed the hardness change with a simple formula. For v-SiO 2 , the changes in the density and the number of broken bonds correlated well with the change in the degree of freedom. In contrast, for c-SiO 2 the present formulation was insufficient to fully express the hardness change in the structural disordering regime. The structure change by irradiation peculiar to this material is discussed, based on the theoretical formulation

  7. Ferromagnetic resonance studies on (Co40Fe40B20)x(SiO2)1-x granular magnetic films

    International Nuclear Information System (INIS)

    Yildiz, F.; Kazan, S.; Aktas, B.; Tarapov, S.I.; Tagirov, L.; Granovsky, B.

    2006-01-01

    Magnetic properties of granular (Co 40 Fe 40 B 20 ) x (SiO 2 ) 1-x thin films (x=0.37-0.53) have been studied by ferromagnetic resonance (FMR) technique. Samples have been prepared by ion-beam deposition of Co-Fe-B particles and SiO 2 on sitall ceramic substrate. The FMR measurements have been done for different orientations of DC magnetic field with respect to the sample plane. It was found that the deduced value of effective magnetization from FMR data of the thin granular film is reduced by the volume-filling factor of the bulk saturation magnetization. The overall magnetization changes from 152 to 515G depending on the ratio of the magnetic nanoparticles in the SiO 2 matrix. From angular measurements an induced in-plane uniaxial anisotropy has been obtained due to the preparation of the film conditions as well

  8. Room-Temperature Voltage Stressing Effects on Resistive Switching of Conductive-Bridging RAM Cells with Cu-Doped SiO2 Films

    Directory of Open Access Journals (Sweden)

    Jian-Yang Lin

    2014-01-01

    Full Text Available SiO2 or Cu-doped SiO2 (Cu:SiO2 insulating films combined with Cu or W upper electrodes were constructed on the W/Si substrates to form the conductive-bridging RAM (CB-RAM cells. The CB-RAMs were then subjected to a constant-voltage stressing (CVS at room temperature. The experimental results show that the room-temperature CVS treatment can effectively affect the current conduction behavior and stabilize the resistive switching of the memory cells. After the CVS, the current conduction mechanisms in the high resistance state during the set process of the Cu/Cu:SiO2/W cell can be changed from Ohm’s law and the space charge limited conduction to Ohm’s law, the Schottky emission, and the space charge limited conduction. Presumably, it is due to the breakage of the conduction filaments during the CVS treatment that the conduction electrons cannot go back to the back electrode smoothly.

  9. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  10. Impact of SiO2 on Al–Al thermocompression wafer bonding

    International Nuclear Information System (INIS)

    Malik, Nishant; Finstad, Terje G; Schjølberg-Henriksen, Kari; Poppe, Erik U; Taklo, Maaike M V

    2015-01-01

    Al–Al thermocompression bonding suitable for wafer level sealing of MEMS devices has been investigated. This paper presents a comparison of thermocompression bonding of Al films deposited on Si with and without a thermal oxide (SiO 2 film). Laminates of diameter 150 mm containing device sealing frames of width 200 µm were realized. The wafers were bonded by applying a bond force of 36 or 60 kN at bonding temperatures ranging from 300–550 °C for bonding times of 15, 30 or 60 min. The effects of these process variations on the quality of the bonded laminates have been studied. The bond quality was estimated by measurements of dicing yield, tensile strength, amount of cohesive fracture in Si and interfacial characterization. The mean bond strength of the tested structures ranged from 18–61 MPa. The laminates with an SiO 2 film had higher dicing yield and bond strength than the laminates without SiO 2 for a 400 °C bonding temperature. The bond strength increased with increasing bonding temperature and bond force. The laminates bonded for 30 and 60 min at 400 °C and 60 kN had similar bond strength and amount of cohesive fracture in the bulk silicon, while the laminates bonded for 15 min had significantly lower bond strength and amount of cohesive fracture in the bulk silicon. (paper)

  11. Stress map for ion irradiation: Depth-resolved dynamic competition between radiation-induced viscoelastic phenomena in SiO2

    International Nuclear Information System (INIS)

    Dillen, T. van; Siem, M.Y.S.; Polman, A.

    2004-01-01

    The dynamic competition between structural transformation, Newtonian viscous flow, and anisotropic strain generation during ion irradiation of SiO 2 , leads to strongly depth-dependent evolution of the mechanical stress, ranging between compressive and tensile. From independent in situ stress measurements during irradiation, generic expressions are derived of the nuclear stopping dependence of both the structural transformation rate and the radiation-induced viscosity. Using these data we introduce and demonstrate the concept of a 'stress map' that predicts the depth-resolved saturation stress in SiO 2 for any irradiation up to several MeV

  12. Two mechanisms of crater formation in ultraviolet-pulsed-laser irradiated SiO2 thin films with artificial defects

    International Nuclear Information System (INIS)

    Papernov, S.; Schmid, A.W.

    2005-01-01

    Atomic force microscopy was employed to investigate the morphology of ultraviolet nanosecond-pulsed-laser damage in SiO 2 thin films. Gold nanoparticles, 18.5-nm diameter, embedded in the film were used as calibrated absorbing defects. Damage-crater diameter, depth, and cross-sectional profiles were measured as a function of laser fluence and the lodging depth of gold nanoparticles. The results indicate that, at laser fluences close to the crater-formation threshold and for lodging depths of a few particle diameters, the dominating regime of the material removal is melting and evaporation. The morphology of craters initiated by deep absorbing defects, with a lodging depth larger than ∼10 particle diameters, clearly points to a two-stage material-removal mechanism. The process starts with the material melting within the narrow channel volume and, upon temperature and pressure buildup, film fracture takes place. Crater-diameter variation with lodging depth and laser fluence is compared with theoretical predictions

  13. Microstructure and magnetic properties of FePt:Ag nanocomposite films on SiO2/Si(1 0 0)

    International Nuclear Information System (INIS)

    Wang Hao; Yang, F.J.; Wang, H.B.; Cao, X.; Xue, S.X.; Wang, J.A.; Gao, Y.; Huang, Z.B.; Yang, C.P.; Chiah, M.F.; Cheung, W.Y.; Wong, S.P.; Li, Q.; Li, Z.Y.

    2006-01-01

    FePt:Ag nanocomposite films were prepared by pulsed filtered vacuum arc deposition system and subsequent rapid thermal annealing on SiO 2 /Si(1 0 0) substrates. The microstructure and magnetic properties were investigated. A strong dependence of coercivity and ordering of the face-central tetragonal structure on both Ag concentration and annealing temperature was observed. With Ag concentration of 22% in atomic ratio, the coercivity got to 6.0 kOe with a grain size of 6.7 nm when annealing temperature was 400 deg. C

  14. Imprinted sol-gel electrochemical sensor for the determination of benzylpenicillin based on Fe3O4/SiO2 multi-walled carbon nanotubes-chitosans nanocomposite film modified carbon electrode

    International Nuclear Information System (INIS)

    Hu Yufang; Li Jiaxing; Zhang Zhaohui; Zhang Huabin; Luo Lijuan; Yao Shouzhuo

    2011-01-01

    Graphical abstract: A novel imprinted sol-gel electrochemical sensor based on Fe 3 O 4 /SiO 2 -MWNTs-CTS nanocomposite film and a thin MIP film has been developed on a carbon electrode. Highlights: → A novel imprinted sol-gel electrochemical sensor based on Fe 3 O 4 /SiO 2 -MWNTs-CTS nanocomposites has been developed. → Fe 3 O 4 /SiO 2 -MWNTs-CTS nanocomposites act as 'electronic wires' to enhance the electron transfer. → The inherent specificity of the MIPs brings about highly selectivity. The imprinted sensor detects benzylpenicillin in real samples successfully. - Abstract: Herein, a novel imprinted sol-gel electrochemical sensor based on multi-walled carbon nanotubes (MWNTs) doped with chitosan film on a carbon electrode has been developed. Prior to doped, the MWNTs have been decorated with Fe 3 O 4 nanoparticles which have been coated uniformly with SiO 2 layer. The characterization of imprinted sensor has been carried out by X-ray diffraction and scanning electron microscopy. The performance of the proposed imprinted sensor has been investigated using cyclic voltammetry and differential pulse voltammetry. The imprinted sensor offers a fast response and sensitive benzylpenicillin quantification. The fabricated benzylpenicillin imprinted sensor exhibits a linear response from 5.0 x 10 -8 to 1.0 x 10 -3 mol L -1 with a detection limit of 1.5 x 10 -9 mol L -1 . For samples analysis, perfect recoveries of the imprinted sensor for benzylpenicillin indicated that the imprinted sensor was able to detect benzylpenicillin in real samples successfully.

  15. Synthesis and characterization of erbium-doped SiO2-TiO2 thin films prepared by sol-gel and dip-coating techniques onto commercial glass substrates as a route for obtaining active GRadient-INdex materials

    International Nuclear Information System (INIS)

    Gómez-Varela, Ana I.; Castro, Yolanda; Durán, Alicia; De Beule, Pieter A.A.; Flores-Arias, María T.; Bao-Varela, Carmen

    2015-01-01

    In this work, SiO 2 -TiO 2 films doped with erbium were prepared by dip-coating sol-gel process onto commercial glass substrates. The surface morphology of the films was characterized using atomic force microscopy, while thickness, refractive index, extinction coefficient and porosity of the films were determined by ellipsometric measurements in a wavelength region of 400-1000 nm. Optical constants and porosity were found to vary with erbium concentration. The proof of principle presented in this paper is applicable to systems of different nature by tailoring the sol-gel precursors in such a way that active GRadient-INdex media described by a complex, parabolic-like refractive index distribution for beam shaping purposes is obtained. - Highlights: • Sol-gel route for preparation of active GRadient-INdex materials is proposed. • SiO 2 -TiO 2 films doped with erbium were prepared by dipping onto commercial glasses. • Morphological and optical characterization of the samples was performed. • Optical constants and porosity were found to vary with erbium concentration. • Refractive index diminishes with dopant content; the contrary occurs for porosity

  16. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    Directory of Open Access Journals (Sweden)

    B. Pivac

    2018-01-01

    Full Text Available The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction and self-organization (in horizontal direction. The formed films produce intensive photoluminescence (PL with a peak at 500 nm. The explored dynamics of the PL decay show the existence of a very rapid process similar to the one found at Ge/SiO2 defected interface layers.

  17. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  18. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  19. Internal Friction and Young's Modulus Measurements on SiO2 and Ta2O5 Films Done with an Ultra-High Q Silicon-Wafer Suspension

    Directory of Open Access Journals (Sweden)

    Granata M.

    2015-04-01

    Full Text Available In order to study the internal friction of thin films a nodal suspension system called GeNS (Gentle Nodal Suspension has been developed. The key features of this system are: i the possibility to use substrates easily available like silicon wafers; ii extremely low excess losses coming from the suspension system which allows to measure Q factors in excess of 2×108 on 3” diameter wafers; iii reproducibility of measurements within few percent on mechanical losses and 0.01% on resonant frequencies; iv absence of clamping; v the capability to operate at cryogenic temperatures. Measurements at cryogenic temperatures on SiO2 and at room temperature only on Ta2O5 films deposited on silicon are presented.

  20. Effect of hydrogen on the device performance and stability characteristics of amorphous InGaZnO thin-film transistors with a SiO2/SiNx/SiO2 buffer

    Science.gov (United States)

    Han, Ki-Lim; Ok, Kyung-Chul; Cho, Hyeon-Su; Oh, Saeroonter; Park, Jin-Seong

    2017-08-01

    We investigate the influence of the multi-layered buffer consisting of SiO2/SiNx/SiO2 on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs). The multi-layered buffer inhibits permeation of water from flexible plastic substrates and prevents degradation of overlying organic layers. The a-IGZO TFTs with a multi-layered buffer suffer less positive bias temperature stress instability compared to the device with a single SiO2 buffer layer after annealing at 250 °C. Hydrogen from the SiNx layer diffuses into the active layer and reduces electron trapping at loosely bound oxygen defects near the SiO2/a-IGZO interface. Quantitative analysis shows that a hydrogen density of 1.85 × 1021 cm-3 is beneficial to reliability. However, the multi-layered buffer device annealed at 350 °C resulted in conductive characteristics due to the excess carrier concentration from the higher hydrogen density of 2.12 × 1021 cm-3.

  1. Li4SiO4-Based Artificial Passivation Thin Film for Improving Interfacial Stability of Li Metal Anodes.

    Science.gov (United States)

    Kim, Ji Young; Kim, A-Young; Liu, Guicheng; Woo, Jae-Young; Kim, Hansung; Lee, Joong Kee

    2018-03-14

    An amorphous SiO 2 (a-SiO 2 ) thin film was developed as an artificial passivation layer to stabilize Li metal anodes during electrochemical reactions. The thin film was prepared using an electron cyclotron resonance-chemical vapor deposition apparatus. The obtained passivation layer has a hierarchical structure, which is composed of lithium silicide, lithiated silicon oxide, and a-SiO 2 . The thickness of the a-SiO 2 passivation layer could be varied by changing the processing time, whereas that of the lithium silicide and lithiated silicon oxide layers was almost constant. During cycling, the surface of the a-SiO 2 passivation layer is converted into lithium silicate (Li 4 SiO 4 ), and the portion of Li 4 SiO 4 depends on the thickness of a-SiO 2 . A minimum overpotential of 21.7 mV was observed at the Li metal electrode at a current density of 3 mA cm -2 with flat voltage profiles, when an a-SiO 2 passivation layer of 92.5 nm was used. The Li metal with this optimized thin passivation layer also showed the lowest charge-transfer resistance (3.948 Ω cm) and the highest Li ion diffusivity (7.06 × 10 -14 cm 2 s -1 ) after cycling in a Li-S battery. The existence of the Li 4 SiO 4 artificial passivation layer prevents the corrosion of Li metal by suppressing Li dendritic growth and improving the ionic conductivity, which contribute to the low charge-transfer resistance and high Li ion diffusivity of the electrode.

  2. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  3. Screen-Printed Photochromic Textiles through New Inks Based on SiO2@naphthopyran Nanoparticles.

    Science.gov (United States)

    Pinto, Tânia V; Costa, Paula; Sousa, Céu M; Sousa, Carlos A D; Pereira, Clara; Silva, Carla J S M; Pereira, Manuel Fernando R; Coelho, Paulo J; Freire, Cristina

    2016-10-26

    Photochromic silica nanoparticles (SiO 2 @NPT), fabricated through the covalent immobilization of silylated naphthopyrans (NPTs) based on 2H-naphtho[1,2-b]pyran (S1, S2) and 3H-naphtho[2,1-b]pyran (S3, S4) or through the direct adsorption of the parent naphthopyrans (1, 3) onto silica nanoparticles (SiO 2 NPs), were successfully incorporated onto cotton fabrics by a screen-printing process. Two aqueous acrylic- (AC-) and polyurethane- (PU-) based inks were used as dispersing media. All textiles exhibited reversible photochromism under UV and solar irradiation, developing fast responses and intense coloration. The fabrics coated with SiO 2 @S1 and SiO 2 @S2 showed rapid color changes and high contrasts (ΔE* ab = 39-52), despite presenting slower bleaching kinetics (2-3 h to fade to the original color), whereas the textiles coated with SiO 2 @S3 and SiO 2 @S4 exhibited excellent engagement between coloration and decoloration rates (coloration and fading times of 1 and 2 min, respectively; ΔE* ab = 27-53). The PU-based fabrics showed excellent results during the washing fastness tests, whereas the AC-based textiles evidenced good results only when a protective transfer film was applied over the printed design.

  4. Synthesis and Luminescence Properties of Yellow-emitting SiO2/Zn2SiO4: Mn Nanocomposite

    Directory of Open Access Journals (Sweden)

    Karim OMRI

    2014-05-01

    Full Text Available Yellow light emitting Mn2+-doped b-Zn2SiO4 phosphor nanoparticles embedded in SiO2 host matrix, were prepared by a simple solid-phase reaction under natural atmosphere at 1500 °C for 2 hours after the incorporation of manganese doped zinc oxide nanoparticles in silica using sol-gel method. The SiO2/Zn2SiO4:Mn nanocomposite was characterized by X-ray diffraction (XRD, transmission electron microscopy (TEM, scanning electron microscopy (SEM and photoluminescence (PL. The nanopowder was crystallized in triclinic b-Zn2SiO4 phase with a particles size varies between 70 nm and 84 nm. The SiO2/b-Zn2SiO4:Mn nanocomposite exhibited a broad yellow emission band at 575 nm under UV excitation light. The dependence of the intensity and energy position of the obtained PL band on measurement temperature and power excitation will be discussed.

  5. Ferroelectric properties of bilayer structured Pb(Zr0.52Ti0.48)O3/SrBi2Ta2O9 (PZT/SBT) thin films on Pt/TiO2/SiO2/Si substrates

    International Nuclear Information System (INIS)

    Zhang Wenqi; Li Aidong; Shao Qiyue; Xia Yidong; Wu Di; Liu Zhiguo; Ming Naiben

    2008-01-01

    Pb(Zr 0.52 Ti 0.48 )O 3 (PZT) thin films with large remanent polarization and SrBi 2 Ta 2 O 9 (SBT) thin films with excellent fatigue-resisting characteristic have been widely studied for non-volatile random access memories, respectively. To combine these two advantages , bilayered Pb(Zr 0.52 Ti 0.48 )O 3 /SrBi 2 Ta 2 O 9 (PZT/SBT) thin films were fabricated on Pt/TiO 2 /SiO 2 /Si substrates by chemical solution deposition method. X-ray diffraction patterns revealed that the diffraction peaks of PZT/SBT thin films were completely composed of PZT and SBT, and no other secondary phase was observed. The electrical properties of the bilayered structure PZT/SBT films have been investigated in comparison with pure PZT and SBT films. PZT/SBT bilayered thin films showed larger remanent polarization (2P r ) of 18.37 μC/cm 2 than pure SBT and less polarization fatigue up to 1 x 10 9 switching cycles than pure PZT. These results indicated that this bilayered structure of PZT/SBT is a promising material combination for ferroelectric memory applications

  6. Report on cascade energy relaxation from PVP to Tb3+:Bi2SiO5 nanophosphor through salicylic acid in composite polymeric film

    Science.gov (United States)

    Kumari, Pushpa; Dwivedi, Y.

    2018-05-01

    The present article reports structural and spectroscopic properties of Tb:Bi2SiO5 nanophosphors dispersed in Polyvinylpyrrolidone polymer film, in presence of Salicylic acid (SA) molecule, which acts as a sensitizer. Detailed structural and spectroscopic characterizations were carried out using X-ray diffraction patterns, Scanning Electron Microscope, Fourier Transform Infrared and Excitation and photoluminescence techniques. The mean crystallite size of Tb3+:Bi2SiO5 nanophosphor and Tb3+:Bi2SiO5 in Polyvinylpyrrolidone polymer composite was estimated ∼22 nm and ∼28 nm, respectively. We have report atleast two times enhancement in Tb3+ ions emission intensity due to the efficient energy transfer from salicylic acid molecule to Tb ions. In addition to energy transfer from salicylic acid, the Polyvinylpyrrolidone polymeric host was also reported to serve as a sensitizer for SA molecule and Tb3+ ions through a cascade energy relaxation process while exciting with 248 nm photons. On 248 nm photon excitation, atleast five improvements in Tb3+ ion emission intensity are reported. Presence of SA molecule facilitates precise colour tuning as obvious from the CIE coordinates.

  7. Comparative study of the characteristics of Ni films deposited on SiO2/Si(100) by oblique-angle sputtering and conventional sputtering

    International Nuclear Information System (INIS)

    Yu Mingpeng; Qiu Hong; Chen Xiaobai; Wu Ping; Tian Yue

    2008-01-01

    Ni films were deposited on SiO 2 /Si(100) substrates at 300 K and 573 K by oblique-angle sputtering and conventional sputtering. The films deposited at 300 K mainly have a [110] crystalline orientation in the growing direction whereas those deposited at 573 K grow with a [111] crystalline orientation in the growing direction. The film prepared only at 300 K by oblique-angle sputtering grows with a weakly preferential orientation along the incidence direction of the sputtered Ni atoms. All the films grow with thin columnar grains perpendicular to the substrate surface. The grain size of the films sputter-deposited obliquely is larger than that of the films sputter-deposited conventionally. The grain size of the Ni film does not change markedly with the deposition temperature. The film deposited at 573 K by oblique-angle sputtering has the highest saturation magnetization. For the conventional sputtering, the coercivity of the Ni film deposited at 573 K is larger than that of the film deposited at 300 K. However, for the oblique-angle sputtering, the coercivity of the Ni film is independent of the deposition temperature. All the Ni films exhibit an isotropic magnetization characteristic in the film plane

  8. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  9. One step process of decomposition and polymerization to fabricate SiO_2 hollow spheres/polyimide composite for foldable OLEDs

    International Nuclear Information System (INIS)

    Kim, Min Kyu; Kim, Dong Won; Moon, Soo Hyun; Shin, Dong-Wook; Oh, Tae Sik; Yoo, Ji Beom

    2017-01-01

    Graphical abstract: The SiO_2 hollow spheres and polyimide hybrid synthesized using one step process, simultaneous occurrence of decomposition and polymerization (SODP) is useful with a ultra-low dielectric constant and high thermal stability for flexible OLED. - Highlights: • We fabricated hybrid films of SHS/PI by using a one step process with SODP. • The film has ultralow dielectric constant (50 vol% at k = 1.67). • There is no collapse of SiO_2 hollow spheres in the PI matrix after bending test for 50,000 cycles. - Abstract: The fabrication of interlayer dielectrics (ILDs) in flexible organic light-emitting diodes (OLEDs) requires flexible materials with a low dielectric constant as well as materials with excellent electrical, thermal, and mechanical properties for optimal device performance. Hybrid films of SiO_2 hollow spheres (SHS)/polyimide (PI) were prepared using a one-step process, with simultaneous occurrence of decomposition (polystyrene, PS) and polymerization (PI) (SODP). No collapse of SiO_2 hollow spheres in PI was observed from 10 vol% to 60 vol% SHS in hybrid films. The dielectric constant of hybrid films was reduced from 3.45 to 1.67 and was saturated at above 50 vol% of SHS due to the maximum fill factor of SHS in the PI matrix. The thermal stability was excellent up to 500 °C due to the inherent thermal property of PI. After a bending test for 50,000 cycles at a bending radius of 1 mm, the SHS/PI hybrid films retained their dielectric constant and current density. These results indicate the hybrid film to be the most promising candidate for flexible ILDs with a low dielectric constant and high thermal stability for foldable OLEDs.

  10. Effect of SiO2 Overlayer on WO3 Sensitivity to Ammonia

    Directory of Open Access Journals (Sweden)

    Vibha Srivastava

    2010-06-01

    Full Text Available Ammonia gas sensing properties of tungsten trioxide thick film sensor was investigated. The doping of noble catalysts such as Pt, Pd, Au enhanced the gas sensitivity. Platinum doping was found to result in highest sensitivity. Remarkable sensitivity enhancement was realized by coating WO3 thick film sensors with SiO2 overlayer. Sol gel process derived silica overlayer increased ammonia gas sensitivity for doped as well as undoped sensor.

  11. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.; Wang, H.; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2012-01-01

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  12. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.

    2012-09-10

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  13. Cellulose acetate-based SiO2/TiO2 hybrid microsphere composite aerogel films for water-in-oil emulsion separation

    Science.gov (United States)

    Yang, Xue; Ma, Jianjun; Ling, Jing; Li, Na; Wang, Di; Yue, Fan; Xu, Shimei

    2018-03-01

    The cellulose acetate (CA)/SiO2-TiO2 hybrid microsphere composite aerogel films were successfully fabricated via water vapor-induced phase inversion of CA solution and simultaneous hydrolysis/condensation of 3-aminopropyltrimethoxysilane (APTMS) and tetrabutyl titanate (TBT) at room temperature. Micro-nano hierarchical structure was constructed on the surface of the film. The film could separate nano-sized surfactant-stabilized water-in-oil emulsions only under gravity. The flux of the film for the emulsion separation was up to 667 L m-2 h-1, while the separation efficiency was up to 99.99 wt%. Meanwhile, the film exhibited excellent stability during multiple cycles. Moreover, the film performed excellent photo-degradation performance under UV light due to the photocatalytic ability of TiO2. Facile preparation, good separation and potential biodegradation maked the CA/SiO2-TiO2 hybrid microsphere composite aerogel films a candidate in oil/water separation application.

  14. Surface passivation by Al2O3 and a-SiNx: H films deposited on wet-chemically conditioned Si surfaces

    NARCIS (Netherlands)

    Bordihn, S.; Mertens, V.; Engelhart, P.; Kersten, K.; Mandoc, M.M.; Müller, J.W.; Kessels, W.M.M.

    2012-01-01

    The surface passivation of p- and n-type silicon by different chemically grown SiO2 films (prepared by HNO3, H2SO4/H2O2 and HCl/H2O2 treatments) was investigated after PECVD of a-SiNx:H and ALD of Al2O3 capping films. The wet chemically grown SiO2 films were compared to thermally grown SiO2 and the

  15. The effects of irradiation and proton implantation on the density of mobile protons in SiO2 films

    International Nuclear Information System (INIS)

    Vanheusden, K.

    1998-04-01

    Proton implantation into the buried oxide of Si/SiO 2 /Si structures does not introduce mobile protons. The cross section for capture of radiation-induced electrons by mobile protons is two orders of magnitude smaller than for electron capture by trapped holes. The data provide new insights into the atomic mechanisms governing the generation and radiation tolerance of mobile protons in SiO 2 . This can lead to improved techniques for production and radiation hardening of radiation tolerant memory devices

  16. Characterization, modeling and physical mechanisms of different surface treatment methods at room temperature on the oxide and interfacial quality of the SiO2 film using the spectroscopic scanning capacitance microscopy

    Directory of Open Access Journals (Sweden)

    Kin Mun Wong

    Full Text Available In this article, a simple, low cost and combined surface treatment method [pre-oxidation immersion of the p-type silicon (Si substrate in hydrogen peroxide (H2O2 and post oxidation ultra-violet (UV irradiation of the silicon-dioxide (SiO2 film] at room temperature is investigated. The interface trap density at midgap [Dit(mg] of the resulting SiO2 film (denoted as sample 1A is quantified from the full width at half-maximum of the scanning capacitance microscopy (SCM differential capacitance (dC/dV characteristics by utilizing a previously validated theoretical model. The Dit(mg of sample 1A is significantly lower than the sample without any surface treatments which indicates that it is a viable technique for improving the interfacial quality of the thicker SiO2 films prepared by wet oxidation. Moreover, the proposed combined surface treatment method may possibly complement the commonly used forming gas anneal process to further improve the interfacial quality of the SiO2 films. The positive shift of the flatband voltage due to the overall oxide charges (estimated from the probe tip dc bias at the peak dC/dV spectra of sample 1A suggests the presence of negative oxide fixed charge density (Nf in the oxide. In addition, an analytical formula is derived to approximate the difference of the Nf values between the oxide samples that are immersed in H2O2 and UV irradiated from their measured SCM dC/dV spectra. Conversely, some physical mechanisms are proposed that result in the ionization of the SiO− species (which are converted from the neutral SiOH groups that originate from the pre-oxidation immersion in H2O2 and ensuing wet oxidation during the UV irradiation as well as the UV photo-injected electrons from the Si substrate (which did not interact with the SiOH groups. They constitute the source of mobile electrons which partially passivate the positively charged empty donor-like interface traps at the Si-SiO2 interface. Keywords: Dielectrics

  17. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  18. Positron annihilation in SiO 2-Si studied by a pulsed slow positron beam

    Science.gov (United States)

    Suzuki, R.; Ohdaira, T.; Uedono, A.; Kobayashi, Y.

    2002-06-01

    Positron and positronium (Ps) behavior in SiO 2-Si have been studied by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The PALS study of SiO 2-Si samples, which were prepared by a dry-oxygen thermal process, revealed that the positrons implanted in the Si substrate and diffused back to the interface do not contribute to the ortho-Ps long-lived component, and the lifetime spectrum of the interface has at least two components. From the AMOC study, the momentum distribution of the ortho-Ps pick-off annihilation in SiO 2, which shows broader momentum distribution than that of crystalline Si, was found to be almost the same as that of free positron annihilation in SiO 2. A varied interface model was proposed to interpret the results of the metal-oxide-semiconductor (MOS) experiments. The narrow momentum distribution found in the n-type MOS with a negative gate bias voltage could be attributed to Ps formation and rapid spin exchange in the SiO 2-Si interface. We have developed a two-dimensional positron lifetime technique, which measures annihilation time and pulse height of the scintillation gamma-ray detector for each event. Using this technique, the positronium behavior in a porous SiO 2 film, grown by a sputtering method, has been studied.

  19. Luminescence of Eu(3+) doped SiO2 Thin Films and Glass Prepared by Sol-gel Technology

    Science.gov (United States)

    Castro, Lymari; Jia, Weiyi; Wang, Yanyun; Santiago, Miguel; Liu, Huimin

    1998-01-01

    Trivalent europium ions are an important luminophore for lighting and display. The emission of (5)D0 to (7)F2 transition exhibits a red color at about 610 nm, which is very attractive and fulfills the requirement for most red-emitting phosphors including lamp and cathode ray phosphorescence materials. Various EU(3+) doped phosphors have been developed, and luminescence properties have been extensively studied. On the other hand, sol-gel technology has been well developed by chemists. In recent years, applications of this technology to optical materials have drawn a great attention. Sol-gel technology provides a unique way to obtain homogeneous composition distribution and uniform doping, and the processing temperature can be very low. In this work, EU(3+) doped SiO2 thin films and glasses were prepared by sol-gel technology and their spectroscopic properties were investigated.

  20. SiO2 Antireflection Coatings Fabricated by Electron-Beam Evaporation for Black Monocrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Minghua Li

    2014-01-01

    Full Text Available In this work we prepared double-layer antireflection coatings (DARC by using the SiO2/SiNx:H heterostructure design. SiO2 thin films were deposited by electron-beam evaporation on the conventional solar cell with SiNx:H single-layer antireflection coatings (SARC, while to avoid the coverage of SiO2 on the front side busbars, a steel mask was utilized as the shelter. The thickness of the SiNx:H as bottom layer was fixed at 80 nm, and the varied thicknesses of the SiO2 as top layer were 105 nm and 122 nm. The results show that the SiO2/SiNx:H DARC have a much lower reflectance and higher external quantum efficiency (EQE in short wavelengths compared with the SiNx:H SARC. A higher energy conversion efficiency of 17.80% was obtained for solar cells with SiO2 (105 nm/SiNx:H (80 nm DARC, an absolute conversion efficiency increase of 0.32% compared with the conventional single SiNx:H-coated cells.

  1. The ceramic SiO2 and SiO2-TiO2 coatings on biomedical Ti6Al4VELI titanium alloy

    International Nuclear Information System (INIS)

    Surowska, B.; Walczak, M.; Bienias, J.

    2004-01-01

    The paper presents the study of intermediate SiO 2 and SiO 2 -TiO 2 sol-gel coatings and dental porcelain coatings on Ti6Al4VELI titanium alloy. Surface microstructures and wear behaviour by pin-on-disc method of the ceramic coatings were investigated. The analysis revealed: (1) a compact, homogeneous SiO 2 and SiO 2 -TiO 2 coating and (2) that intermediate coatings may provide a durable joint between metal and porcelain, and (3) that dental porcelain on SiO 2 and TiO 2 coatings shows high wear resistance. (author)

  2. Study on ionizing radiation effects of bipolar transistor with BPSG films

    International Nuclear Information System (INIS)

    Lu Man; Zhang Xiaoling; Xie Xuesong; Sun Jiangchao; Wang Pengpeng; Lu Changzhi; Zhang Yanxiu

    2013-01-01

    Background: Because of the damage induced by ionizing radiation, bipolar transistors in integrated voltage regulator could induce the current gain degradation and increase leakage current. This will bring serious problems to electronic system. Purpose: In order to ensure the reliability of the device work in the radiation environments, the device irradiation reinforcement technology is used. Methods: The characteristics of 60 Co γ irradiation and annealing at different temperatures in bipolar transistors and voltage regulators (JW117) with different passive films for SiO 2 +BPSG+SiO 2 and SiO 2 +SiN have been investigated. Results: The devices with BPSG film enhanced radiation tolerance significantly. Because BPSG films have better absorption for Na + in SiO 2 layer, the surface recombination rate of base region in a bipolar transistor and the excess base current have been reduced. It may be the main reason for BJT with BPSG film having a good radiation hardness. And annealing experiments at different temperatures after irradiation ensure the reliability of the devices with BPSG films. Conclusions: A method of improving the ionizing irradiation hardness of bipolar transistors is proposed. As well as the linear integrated circuits which containing bipolar transistors, an experimental basis for the anti-ionizing radiation effects of bipolar transistors is provided. (authors)

  3. Electron dynamics in films made of transition metal nanograins embedded in SiO[sub 2]: Infrared reflectivity and nanoplasma infrared resonance

    KAUST Repository

    Massa, Néstor E.

    2009-06-04

    We report on near normal infrared reflectivityspectra of ∼550 nm thick films made of cosputtered transition metal nanograins and SiO2 in a wide range of metal fractions. Co0.85(SiO2)0.15,with conductivity well above the percolation threshold has a frequency and temperature behavior according to what it is find in conductingmetal oxides. The electron scattering rate displays a unique relaxation time characteristic of single type of carriers experiencing strong electron-phonon interactions. Using small polaron fits we identify those phonons as glass vibrational modes. Ni0.61(SiO2)0.39, with a metal fraction closer to the percolation threshold, undergoes a metal-nonmetal transition at ∼77 K. Here, as it is suggested by the scattering rate nearly quadratic dependence, we broadly identify two relaxation times (two carrier contributions) associated to a Drude mode and a midinfrared overdamped band, respectively. Disorder induced, the midinfrared contribution drives the phase transition by thermal electron localization. Co0.51(SiO2)0.49 has the reflectivity of an insulator with a distinctive band at ∼1450 cm−1 originating in electron promotion, localization, and defect induced polaron formation. Angle dependent oblique reflectivity of globally insulating Co0.38(SiO2)0.62, Fe0.34(SiO2)0.66, and Ni0.28(SiO2)0.72, reveals a remarkable resonance at that band threshold. We understand this as due to the excitation by normal to the film electric fields of defect localized electrons in the metallic nanoparticles. At higher oblique angles, this localized nanoplasma couples to SiO2 longitudinal optical Berreman phonons resulting in band peak softening reminiscent to the phonon behavior undergoing strong electron-phonon interactions. Singular to a globally insulating phase, we believe that this resonance might be a useful tool for tracking metal-insulator phase transitions in inhomogeneous materials.

  4. Transfer free graphene growth on SiO2 substrate at 250 °C

    Science.gov (United States)

    Vishwakarma, Riteshkumar; Rosmi, Mohamad Saufi; Takahashi, Kazunari; Wakamatsu, Yuji; Yaakob, Yazid; Araby, Mona Ibrahim; Kalita, Golap; Kitazawa, Masashi; Tanemura, Masaki

    2017-03-01

    Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

  5. Enhanced formation of Ge nanocrystals in Ge : SiO2 layers by swift heavy ions

    International Nuclear Information System (INIS)

    Antonova, I V; Volodin, V A; Marin, D M; Skuratov, V A; Smagulova, S A; Janse van Vuuren, A; Neethling, J; Jedrzejewski, J; Balberg, I

    2012-01-01

    In this paper we report the ability of swift heavy Xe ions with an energy of 480 MeV and a fluence of 10 12 cm -2 to enhance the formation of Ge nanocrystals within SiO 2 layers with variable Ge contents. These Ge-SiO 2 films were fabricated by the co-sputtering of Ge and quartz sources which followed various annealing procedures. In particular, we found that the irradiation of the Ge : SiO 2 films with subsequent annealing at 500 °C leads to the formation of a high concentration of nanocrystals (NCs) with a size of 2-5 nm, whereas without irradiation only amorphous inclusions were observed. This effect, as evidenced by Raman spectra, is enhanced by pre-irradiation at 550 °C and post-irradiation annealing at 600 °C, which also leads to the observation of room temperature visible photoluminescence. (paper)

  6. The improvement of SiO2 nanotubes electrochemical behavior by hydrogen atmosphere thermal treatment

    Science.gov (United States)

    Spataru, Nicolae; Anastasescu, Crina; Radu, Mihai Marian; Balint, Ioan; Negrila, Catalin; Spataru, Tanta; Fujishima, Akira

    2018-06-01

    Highly defected SiO2 nanotubes (SiO2-NT) were obtained by a simple sol-gel procedure followed by calcination. Boron-doped diamond (BDD) polycrystalline films coated with SiO2-NT were used as working electrodes and, unexpectedly, cyclic voltammetric experiments have shown that the concentration of both positive and negative defects at the surface is high enough to enable redox processes involving positively charged Ru(bpy)32+/3+ to occur. Conversely, no electrochemical activity was put into evidence for Fe(CN)63-/4- species, most likely as a result of the strong electrostatic repulsion exerted by the negatively charged SiO2 surface. The concentration of surface defects was further increased by a subsequent thermal treatment in a hydrogen atmosphere which, as EIS measurements have shown, significantly promotes Ru(bpy)32+ anodic oxidation. Digital simulation of the voltammetric responses demonstrated that this treatment does not lead to a similar increase of the number of electron-donor sites. It was also found that methanol anodic oxidation at hydrogenated SiO2-NT-supported platinum results in Tafel slopes of 116-220 mV decade-1, comparable to those reported for both conventional PtRu and Pt-oxide catalysts.

  7. Thin film circuits for future applications. Pt. 2. Evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Haug, G; Houska, K H; Schmidt, H J; Sprengel, H P; Wohak, K

    1976-06-01

    Investigations of thin film diffusion processes and reactions with encapsulation materials resulted in improved long term stability of evaporated NiCr resistors, SiO capacitors and NiCr/Au conductors for thin film circuits. Stable NiCr resistor networks can be formed on ceramic substrates, and SiO capacitors of good quality can be deposited on the new very smooth ceramic substrates. The knowledge of the influence of evaporation parameters make the production of SiO capacitors with definite properties and good reproducibility possible. The range of capacitance of tantalum thin film circuits can be extended by integration with evaporated SiO capacitors.

  8. Influence of ion bombardment on structural and electrical properties of SiO2 thin films deposited from O2/HMDSO inductively coupled plasmas under continuous wave and pulsed modes

    International Nuclear Information System (INIS)

    Bousquet, A.; Goullet, A.; Leteinturier, C.; Granier, A.; Coulon, N.

    2008-01-01

    Low pressure Plasma Enhanced Chemical Vapour Deposition is commonly used to deposit insulators on temperature sensitive substrates. In these processes, the ion bombardment experienced by films during its growth is known to have benefits but also some disadvantages on material properties. In the present paper, we investigate the influence of this bombardment on the structure and the electrical properties of SiO 2 -like film deposited from oxygen/hexa-methyl-di-siloxane radiofrequency plasma in continuous and pulsed modes. First, we studied the ion kinetics thanks to time-resolved measurements by Langmuir probe. After, we showed the ion bombardment in such plasma controls the OH bond content in deposited films. Finally, we highlight the impressive reduction of fixed charge and interface state densities in films obtained in pulsed mode due to a lower ion bombardment. (authors)

  9. Densification of ∼5 nm-thick SiO_2 layers by nitric acid oxidation

    International Nuclear Information System (INIS)

    Choi, Jaeyoung; Joo, Soyeong; Park, Tae Joo; Kim, Woo-Byoung

    2017-01-01

    Highlights: • Leakage current density of the commercial PECVD grown ∼5 nm SiO_2 layer has been decreased about three orders of magnitude by densification. • The densification of SiO_2 layer is achieved by high oxidation ability of O·. • Densities of suboxide, fixed charge (N_f) and defect state (N_d) in SiO_2/Si interface are decreased by NAOS and PMA. • Tunneling barrier height (Φ_t) is increased because of the increase of atomic density in SiO_2 layer. - Abstract: Low-temperature nitric acid (HNO_3) oxidation of Si (NAOS) has been used to improve the interface and electrical properties of ∼5 nm-thick SiO_2/Si layers produced by plasma-enhanced chemical vapor deposition (PECVD). Investigations of the physical properties and electrical characteristics of these thin films revealed that although their thickness is not changed by NAOS, the leakage current density at a gate bias voltage of −1 V decreases by about two orders of magnitude from 1.868 × 10"−"5 A/cm"2. This leakage current density was further reduced by post-metallization annealing (PMA) at 250 °C for 10 min in a 5 vol.% hydrogen atmosphere, eventually reaching a level (5.2 × 10"−"8 A/cm"2) approximately three orders of magnitude less than the as-grown SiO_2 layer. This improvement is attributed to a decrease in the concentration of suboxide species (Si"1"+, Si"2"+ and Si"3"+) in the SiO_2/Si interface, as well as a decrease in the equilibrium density of defect sites (N_d) and fixed charge density (N_f). The barrier height (Φ_t) generated by a Poole-Frenkel mechanism also increased from 0.205 to 0.371 eV after NAOS and PMA. The decrease in leakage current density is therefore attributed to a densification of the SiO_2 layer in combination with the removal of OH species and increase in interfacial properties at the SiO_2/Si interface.

  10. Electrical and structural properties of CaF sub 2 films

    CERN Document Server

    Kim, D Y; Yi, J S

    1999-01-01

    Fluoride films have many practical applications such as gate insulators for thin-film transistors(TFTs), anti-reflection coatings, and optical waveguides. We have investigated fluoride films as gate insulators for TFT applications. Most of gate oxide films of TFTs, like SiO sub 2 , Ta sub 2 O sub 5 , Al sub 2 O sub 3 , and SiO sub x , exhibited problems with the trap charge density, lattice mismatch, and interface states, As a way of circumventing these problems in conventional gate insulators, we investigated CaF sub 2 which has a low interface trap charge density and lattice constant similar to that of the Si surface. We were able to achieve almost epitaxial CaF sub 2 film growth in the (200) plane on a (100) p-type Si substrate. Investigations of the structural properties of CaF sub 2 films for various substrate temperatures resulted in the best lattice mismatch of 0.7 % and an average surface roughness of 8.4 A. The C-V results for the Metal-Insulator-Semiconductor (MIS) structure of the CaF sub 2 films s...

  11. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  12. Visible Light Excited Catalysis and Reusability Performances of TiO2@Pr:Y2SiO5 Upconversion Materials

    Directory of Open Access Journals (Sweden)

    Yan Jiao

    2017-01-01

    Full Text Available To get high efficiency photodegradation on pollutants under visible light, Pr(III doped Y2SiO5 upconversion materials and anatase TiO2 nanofilm coated Pr:Y2SiO5 composite have been prepared by using a sol-gel method. XRD and SEM test results indicated that TiO2 nanofilm was well coated on Pr:Y2SiO5 to form TiO2@Pr:Y2SiO5 composite particles with the sizes of 0.5–1.0 μm. To avoid secondary pollution resulting from incomplete recovery of catalyst particles, TiO2@Pr:Y2SiO5 was loaded on the glass fiber filters by using a dip-coating method. It is found that the catalyst particles were embedded into the carrier firmly, even after having been reused for 6 times. The luminescence intensities of TiO2@Pr:Y2SiO5 were getting down sharply with the coating contents of TiO2 increased, which was attributed to the adsorption of the luminescence by the TiO2 film in situ. As a result, TiO2@Pr:Y2SiO5 with 4% TiO2, which presented lowest luminescence intensity, showed the highest efficiency on the photodegradation of nitrobenzene wastewater. The catalysts loaded on glass fiber filters showed excellent reusability on the photodegradation of nitrobenzene and presented a photodegradation rate of 95% at the first time and up to 75.9% even after 6 times of reusing by the treatment time of 12 h.

  13. Role of yttria-stabilized zirconia produced by ion-beam-assisted deposition on the properties of RuO2 on SiO2/Si

    International Nuclear Information System (INIS)

    Jia, Q.X.; Arendt, P.; Groves, J.R.; Fan, Y.; Roper, J.M.; Foltyn, S.R.

    1998-01-01

    Highly conductive biaxially textured RuO 2 thin films were deposited on technically important SiO 2 /Si substrates by pulsed laser deposition, where yttria-stabilized zirconia (YSZ) produced by ion-beam-assisted-deposition (IBAD) was used as a template to enhance the biaxial texture of RuO 2 on SiO 2 /Si. The biaxially oriented RuO 2 had a room-temperature resistivity of 37 μΩ-cm and residual resistivity ratio above 2. We then deposited Ba 0.5 Sr 0.5 TiO 3 thin films on RuO 2 /IBAD-YSZ/SiO 2 /Si. The Ba 0.5 Sr 0.5 TiO 3 had a pure (111) orientation normal to the substrate surface and a dielectric constant above 360 at 100 kHz. copyright 1998 Materials Research Society

  14. Enhanced energy density and thermostability in polyimide nanocomposites containing core-shell structured BaTiO3@SiO2 nanofibers

    Science.gov (United States)

    Wang, Junchuan; Long, Yunchen; Sun, Ying; Zhang, Xueqin; Yang, Hong; Lin, Baoping

    2017-12-01

    High energy density polymer nanocomposites with high-temperature resistance are quite desirable for film capacitors and many other power electronics. In this study, polyimide-based (PI) nanocomposite films containing the core-shell structured barium titanate@silicon dioxide (BT@SiO2) nanofibers have been successfully synthesized by the solution casting method. In the BT@SiO2/PI nanocomposite films, the dielectric permittivity as well as the breakdown strength increase significantly. The SiO2 shell layers with moderate dielectric permittivity could effectively mitigate the local field concentration induced by the large mismatch between the dielectric permittivity of BT and PI, which contributes to the enhancement of the breakdown strength of the PI nanocomposite films. As a result, the PI nanocomposite film filled with 3 vol% BT@SiO2 nanofibers exhibits a maximal energy density of 2.31 J cm-3 under the field of 346 kV/mm, which is 62% over the pristine PI (1.42 J cm-3 at 308 kV/mm) and about 200% greater than the best commercial polymer, i.e. biaxially oriented polypropylenes (BOPP) (≈1.2 J cm-3). The thermogravimetric analysis results indicate that the BT@SiO2/PI nanocomposite films have good thermal stability below 500 °C.

  15. Superhydrophobicity and regeneration of PVDF/SiO2 composite films

    Science.gov (United States)

    Liu, Tao; Li, Xianfeng; Wang, Daohui; Huang, Qinglin; Liu, Zhen; Li, Nana; Xiao, Changfa

    2017-02-01

    Superhydrophobicity of polymers is easily destroyed by careless touching due to the softness of microstructures. In this study, based on a well-constructed polyvinylidene fluoride (PVDF) surface, a novel superhydrophobic PVDF/SiO2 composite film was fabricated by adding hydrophobic SiO2 nanoparticle and solvent into a coagulation bath. The water contact angle of the composite film reached 162.3° and the sliding angle was as low as 1.5°. More importantly, the composite film could be regenerated only through immersing the composite film in the designed regeneration agent. The composition of the designed regeneration agent ensured that SiO2 nanoparticles were firmly adhered on the film surface even under the ultrasonic cleaning. Hence, the superhydrophobicity and self-cleaing property could be regenerated and maintained effectively, and moreover, these propeties could resist a proper pressure. In addition, after many rubbing-regenerating cycles, the regeneration method was still valid.

  16. Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides

    Science.gov (United States)

    Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    2000-05-01

    We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.

  17. Modeling of UV laser-induced patterning of ultrathin Co films on bulk SiO2: verification of short- and long-range ordering mechanisms

    Science.gov (United States)

    Trice, Justin; Favazza, Christopher; Kalyanaraman, Ramki; Sureshkumar, R.

    2006-03-01

    Irradiating ultrathin Co films (1 to 10 nm) by a short-pulsed UV laser leads to pattern formation with both short- and long-range order (SRO, LRO). Single beam irradiation produces SRO, while two-beam interference irradiation produces a quasi-2D arrangement of nanoparticles with LRO and SRO. The pattern formation primarily occurs in the molten phase. An estimate of the thermal behavior of the film/substrate composite following a laser pulse is presented. The thermal behavior includes the lifetime of the liquid phase and the thermal gradient during interference heating. Based on this evidence, the SRO is attributed to spinodal dewetting of the film while surface tension gradients induced by the laser interference pattern appear to influence LRO [1]. [1] C.Favazza, J.Trice, H.Krishna, R.Sureshkumar, and R.Kalyanaraman, unpublished.

  18. Formation of Pentacene wetting layer on the SiO2 surface and charge trap in the wetting layer

    International Nuclear Information System (INIS)

    Kim, Chaeho; Jeon, D.

    2008-01-01

    We studied the early-stage growth of vacuum-evaporated pentacene film on a native SiO 2 surface using atomic force microscopy and in-situ spectroscopic ellipsometry. Pentacene deposition prompted an immediate change in the ellipsometry spectra, but atomic force microscopy images of the early stage films did not show a pentacene-related morphology other than the decrease in the surface roughness. This suggested that a thin pentacene wetting layer was formed by pentacene molecules lying on the surface before the crystalline islands nucleated. Growth simulation based on the in situ spectroscopic ellipsometry spectra supported this conclusion. Scanning capacitance microscopy measurement indicated the existence of trapped charges in the SiO 2 and pentacene wetting layer

  19. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  20. Diffusion limited Cu and Au nanocrystal formation in thin film SiO2

    International Nuclear Information System (INIS)

    Johannessen, B.; Kluth, P.; Glover, C.J.; Foran, G.J.; Ridgway, M.C.

    2006-01-01

    Elemental Cu and Au nanocrystals (NCs) were produced by high-energy ion-implantations into amorphous silica (SiO 2 ) and subsequent thermal annealing. By a combination of X-ray diffraction (XRD) and cross-sectional transmission electron microscopy (XTEM) we confirm both NC species to have the bulk face-centered cubic phase and estimate their average diameter. We concentrate on the investigation of the concentration and size-dependent coordination number (China) of these matrix embedded NCs utilising extended X-ray absorption fine structure (EXAFS) spectroscopy. The CN is found to be suppressed compared to that of a bulk standard. The CN in Au NCs is found to be lower than that of Cu NCs in agreement with smaller average Au NC sizes. We explain this difference by the difference in diffusivity for the two atomic species in SiO 2

  1. Sol–gel hybrid membranes loaded with meso/macroporous SiO2, TiO2–P2O5 and SiO2–TiO2–P2O5 materials with high proton conductivity

    International Nuclear Information System (INIS)

    Castro, Yolanda; Mosa, Jadra; Aparicio, Mario; Pérez-Carrillo, Lourdes A.; Vílchez, Susana; Esquena, Jordi; Durán, Alicia

    2015-01-01

    In this work, highly conductive hybrid organic–inorganic membranes loaded with SiO 2 , TiO 2 –P 2 O 5 and SiO 2 –TiO 2 –P 2 O 5 meso/macroporous particles were prepared via a sol–gel process. Meso/macroporous particles were incorporated to hybrid membranes, for improving water retention and enhancing electrochemical performance. These particles with a polymodal pore size distribution were prepared by templating in highly concentrated emulsions, the particles showed a specific surface area between 50 m 2 /g (TiO 2 –P 2 O 5 ) and 300 m 2 /g (SiO 2 –TiO 2 –P 2 O 5 ). The particles were dispersed in a hybrid silica sol and further sprayed onto glass paper. The films were polymerized and sintered; those loaded with meso/macroporous particles had a homogenous distribution. High temperature proton conductivity measurements confirmed a high water retention. Conductivity of these materials is higher than that of Nafion ® at higher temperatures (120 °C) (2·10 −2  S/cm). This study provides processing guideline to achieve hybrid electrolytes for efficient conduction of protons due to their high surface area and porous structure. - Highlights: • Hybrid electrolyte with meso/macroporous particles were synthesized by sol–gel. • Depositions of hybrid solutions by spraying onto glass substrates were performed. • Proton conductivity was evaluated as a function of composition and porous structure

  2. Fabrication and characterization of Er+3 doped SiO2/SnO2 glass-ceramic thin films for planar waveguide applications

    Science.gov (United States)

    Guddala, S.; Chiappini, A.; Armellini, C.; Turell, S.; Righini, G. C.; Ferrari, M.; Narayana Rao, D.

    2015-02-01

    Glass-ceramics are a kind of two-phase materials constituted by nanocrystals embedded in a glass matrix and the respective volume fractions of crystalline and amorphous phase determine the properties of the glass-ceramics. Among these properties transparency is crucial in particular when confined structures, such as, dielectric optical waveguides, are considered. Moreover, the segregation of dopant rare-earth ions, like erbium, in low phonon energy crystalline medium makes these structures more promising in the development of waveguide amplifiers. Here we are proposing a new class of low phonon energy tin oxide semiconductor medium doped silicate based planar waveguides. Er3+ doped (100-x) SiO2-xSnO2 (x= 10, 20, 25 and 30mol%), glass-ceramic planar waveguide thin films were fabricated by a simple sol-gel processing and dip coating technique. XRD and HRTEM studies indicates the glass-ceramic phase of the film and the dispersion of ~4nm diameter of tin oxide nanocrystals in the amorphous phase of silica. The spectroscopic assessment indicates the distribution of the dopant erbium ions in the crystalline medium of tin oxide. The observed low losses, 0.5±0.2 dB/cm, at 1.54 μm communication wavelength makes them a quite promising material for the development of high gain integrated optical amplifiers.

  3. High Rate Micromechanical Behavior of Grafted Polymer Nanoparticle Films

    Science.gov (United States)

    Thomas, Edwin

    We report the ultra high strain rate behavior of films comprised of polymer grafted nanoparticles (NPs) and compare the results to homopolymer films. The films are formed by flow coating a suspension of polystyrene (PS) chains of 230 kg/mol grafted to 16nm diameter SiO2\\ at a graft density of 0.6 chains/nm2 resulting a film with 1 vol % SiO2. Films of 267 kg/mol PS were also flow coated and both films were impacted at velocities 350-700 ms-1 using 3.7 micron SiO2\\ projectiles to achieve increments in kinetic energy (KE) of 1:2:4. The KE of the projectiles before and after penetration was measured to determine the penetration energy. TEM and SEM suggest the projectile initially induces plastic flow due to the adiabatic temperature rise from impact. As the projectile deforms the film, the lower magnitude, biaxial stress state in the peripherial regions causes material microvoid formation and initiation of craze growth in the radial and tangential directions. The anchoring of the grafted polymer chains to the NPs increases the penetration energy relative to the pure homopolymer by 50% and the films capacity to delocalize the impact by 200%. These results suggest that highly grafted NP films may be useful in lightweight protection systems. In collaboration with Omri Fried, Olawale Lawal, Yang Jiao, Victor Hsaio, Thevamaran Ramathasan, Mujin Zhou, Richard Vaia.

  4. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    Science.gov (United States)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  5. Association behaviour of 241Am(III) on SiO2(amorphous) and SiO2(quartz) colloids

    International Nuclear Information System (INIS)

    Degueldre, C.; Wernli, B.

    1993-01-01

    SiO 2 colloids have been identified as a potential vector for enhancing radionuclide transport in granitic groundwater and in concrete pore water. The sorption behaviour of 241 Am(III) on SiO 2 colloids was studied as a function of americium concentration pH (5-12), colloid concentration, ionic strength, temperature and SiO 2 allotropic species. The Am(III) sorption mechanism on amorphous silica is different from that on quartz. For SiO 2(amorphous) solution, the variation of log K p (ml g -1 ) with pH is linear (pH=5-9) with a slope of +1 indicating a one proton exchange mechanism. The colloid concentration (ppm) affects the sorption and log K p 3.7-0.67 log [SiO 2 ] (pH = 6). K p increases insignificantly when the ionic strength decreases. It shows no significant variation, however, with the Am concentration. On amorphous silica, the Am(III) sorption is driven by proton exchange from the silanol groups. For SiO 2 (quartz), log K p is constant over a large range of quartz concentration in suspension and the variation of log K p with pH is about linear (pH = 5-12), with a slope of 0.28, indicating a more complex exchange mechanism. Reactions taking into account the interaction of positive Am(OH) w (3-w)+ species on to the negatively charged quartz surface are suggested. (author)

  6. Dewetting and nanopattern formation of thin Pt films on SiO2 induced by ion beam irradiation

    International Nuclear Information System (INIS)

    Hu, Xiaoyuan; Cahill, David G.; Averback, Robert S.

    2001-01-01

    Dewetting and nanopattern formation of 3 - 10 nm Pt thin films upon ion irradiation is studied using scanning electron microscopy (SEM). Lateral feature size and the fraction of exposed surface area are extracted from SEM images and analyzed as functions of ion dose. The dewetting phenomenon has little temperature dependence for 3 nm Pt films irradiated by 800 keV Kr + at temperatures ranging from 80 to 823 K. At 893 K, the films dewet without irradiation, and no pattern formation is observed even after irradiation. The thickness of the Pt films, in the range 3 - 10 nm, influences the pattern formation, with the lateral feature size increasing approximately linearly with film thickness. The effect of different ion species and energies on the dewetting process is also investigated using 800 keV Kr + and Ar + irradiation and 19.5keVHe + , Ar + , Kr + , and Xe + irradiation. The lateral feature size and exposed surface fraction scale with energy deposition density (J/cm2) for all conditions except 19.5keVXe + irradiation. [copyright] 2001 American Institute of Physics

  7. Do SiO 2 and carbon-doped SiO 2 nanoparticles melt? Insights from QM/MD simulations and ramifications regarding carbon nanotube growth

    Science.gov (United States)

    Page, Alister J.; Chandrakumar, K. R. S.; Irle, Stephan; Morokuma, Keiji

    2011-05-01

    Quantum chemical molecular dynamics (QM/MD) simulations of pristine and carbon-doped SiO 2 nanoparticles have been performed between 1000 and 3000 K. At temperatures above 1600 K, pristine nanoparticle SiO 2 decomposes rapidly, primarily forming SiO. Similarly, carbon-doped nanoparticle SiO 2 decomposes at temperatures above 2000 K, primarily forming SiO and CO. Analysis of the physical states of these pristine and carbon-doped SiO 2 nanoparticles indicate that they remain in the solid phase throughout decomposition. This process is therefore one of sublimation, as the liquid phase is never entered. Ramifications of these observations with respect to presently debated mechanisms of carbon nanotube growth on SiO 2 nanoparticles will be discussed.

  8. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  9. Preliminary study in development of glass-ceramic based on SiO2-LiO2 system, starting of different SiO2 starting powders

    International Nuclear Information System (INIS)

    Daguano, J.K.M.F.; Santos, F.A.; Santos, C.; Marton, L.F.M.; Conte, R.A.; Rodrigues Junior, D.; Melo, F.C.L.

    2009-01-01

    In this work, lithium disilicate glass-ceramics were developed starting of the rice ash- SiO 2 and Li 2 CO 3 powders. The results were compared with glass ceramics based on the lithium disilicate obtained by commercial SiO 2 powders. Glass were melted at 1580 deg C, and annealed at 850 deg C. X-Ray diffraction and scanning electron microscopy were used for characterization of the materials, and hardness and fracture toughness were evaluated using Vickers indentation method. Glasses with amorphous structure were obtained in both materials. After annealing, 'rice-ash' samples presented Li 2 SiO 3 and residual SiO 2 as crystalline phases. On the other side, commercial SiO 2 - Samples presented only Li 2 Si 2 O 5 as crystalline phases and the better results of hardness and fracture toughness. (author)

  10. Thermodynamics of Bi2O3-SiO2 system

    Directory of Open Access Journals (Sweden)

    Onderka B.

    2017-01-01

    Full Text Available Thermodynamic properties of the liquid Bi2O3-SiO2 solutions were determined from the results of the electrochemical measurements by use of the solid oxide galvanic cells with YSZ (Yttria-Stabilized-Zirconia electrolyte. Activities of Bi2O3 in the solutions were determined for 0.2, 0.3, 0.4, and 0.5 SiO2 mole fractions in the temperature range 1073-1293 K from measured electromotive force (e.m.f of the solid electrolyte galvanic cell: Bi, Bi2O3-SiO2 | YSZ | air (pO2 = 0.213 bar Additionally, heat capacity data obtained for two solid phases 6Bi2O3•SiO2 and 2Bi2O3•3SiO2 were included into optimization of thermodynamic properties of the system. Optimization procedure was supported by differential thermal analysis (DTA data obtained in this work as well as those accepted from the literature. Using the data obtained in this work, and the information about phase equilibria found in the literature, binary system Bi2O3-SiO2 was assessed with the ThermoCalc software.

  11. Laser-induced thermoelectric voltage in normal state MgB2 thin films

    International Nuclear Information System (INIS)

    Zhao Songqing; Zhou Yueliang; Zhao Kun; Wang Shufang; Chen Zhenghao; Jin Kuijuan; Lue Huibin; Cheng Bolin; Yang Guozhen

    2006-01-01

    Laser-induced voltage has been observed in c-axis oriented MgB 2 thin film at room temperature. The amplitude of the signal is approximately proportional to the film thickness. For the film with the thickness of 150 nm, a very fast response has been detected when the film was irradiated by a 308 nm pulsed laser of 20 ns duration. The rise time and full width at half-maximum of the signal are about 3 and 25 ns, respectively. The physical origin of the laser-induced voltage can be attributed to a transverse thermoelectricity due to the anisotropic thermopower in MgB 2

  12. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  13. Antibacterial activity of large-area monolayer graphene film manipulated by charge transfer.

    Science.gov (United States)

    Li, Jinhua; Wang, Gang; Zhu, Hongqin; Zhang, Miao; Zheng, Xiaohu; Di, Zengfeng; Liu, Xuanyong; Wang, Xi

    2014-03-12

    Graphene has attracted increasing attention for potential applications in biotechnology due to its excellent electronic property and biocompatibility. Here we use both Gram-positive Staphylococcus aureus (S. aureus) and Gram-negative Escherichia coli (E. coli) to investigate the antibacterial actions of large-area monolayer graphene film on conductor Cu, semiconductor Ge and insulator SiO2. The results show that the graphene films on Cu and Ge can surprisingly inhibit the growth of both bacteria, especially the former. However, the proliferation of both bacteria cannot be significantly restricted by the graphene film on SiO2. The morphology of S. aureus and E. coli on graphene films further confirms that the direct contact of both bacteria with graphene on Cu and Ge can cause membrane damage and destroy membrane integrity, while no evident membrane destruction is induced by graphene on SiO2. From the viewpoint of charge transfer, a plausible mechanism is proposed here to explain this phenomenon. This study may provide new insights for the better understanding of antibacterial actions of graphene film and for the better designing of graphene-based antibiotics or other biomedical applications.

  14. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  15. Influence of the substrate on the morphological evolution of gold thin films during solid-state dewetting

    International Nuclear Information System (INIS)

    Nsimama, Patrick D.; Herz, Andreas; Wang, Dong; Schaaf, Peter

    2016-01-01

    Highlights: • Dewetting of thin gold films is faster on TiO_2 than on SiO_2. • Dewetting of thin gold films is faster on amorphous TiO_2 than on crystalline TiO_2. • The kinetics is attributed to the energy of adhesion. • The morphology of thin Au films deposited on TiO_2 substrates is different to those deposited on SiO_2 substrates. • The dewetting activation energy of Au films deposited on crystalline substrates was higher than the activation energy of Au nanofilms deposited on amorphous TiO_2 substrates. - Abstract: The evolution of electron-beam evaporated Au thin films deposited on crystalline TiO_2 (c-TiO_2) and amorphous TiO_2 (a-TiO_2) as well as amorphous SiO_2 substrates are investigated. The kinetic of dewetting is clearly dependent on the type of substrate and is faster on TiO_2 substrates than on SiO_2 substrates. This difference can result from the difference in adhesion energy. Furthermore, the kinetic of dewetting is faster on a-TiO_2 than on c-TiO_2, possibly due to the crystallization of TiO_2 during annealing induced dewetting process. The morphologies of dewetted Au films deposited on crystalline TiO_2 are characterized by branched holes. The XRD patterns of the Au films deposited on TiO_2 substrates constituted peaks from both metallic Au and anatase TiO_2. The activation energy of Au films deposited on crystalline TiO_2 substrates was higher than that that of the films deposited on amorphous TiO_2 substrates.

  16. Preparation and Characterization of Fluorescent SiO2 Microspheres

    Science.gov (United States)

    Xu, Cui; Zhang, Hao; Guan, Ruifang

    2018-01-01

    Fluorescent compound without typical fluorophores was synthesized with citric acid (CA) and aminopropyltriethoxysilane (APTS) firstly, and then it was grafted to the surface of the prepared SiO2 microspheres by chemical reaction. The fluorescent SiO2 microspheres with good fluorescent properties were obtained by optimizing the reaction conditions. And the morphology and structure of the fluorescent SiO2 microspheres have been characterized by scanning electron microscopy (SEM) and fourier transform infrared (FTIR) spectroscopy. The results showed that the preparation of fluorescent SiO2 microspheres have good monodispersity and narrow particle size distribution. Moreover, the fluorescent SiO2 microspheres can be applied to detect Fe3+ in aqueous solution, prepare fluorescent SiO2 rubber, and have potential to be applied in the fluorescent labeling and fingerprint appearing technique fields.

  17. Photoluminescence analysis of Ce3+:Zn2SiO4 & Li++ Ce3+:Zn2SiO4: phosphors by a sol-gel method

    Science.gov (United States)

    Babu, B. Chandra; Vandana, C. Sai; Guravamma, J.; Rudramadevi, B. Hemalatha; Buddhudu, S.

    2015-06-01

    Here, we report on the development and photoluminescence analysis of Zn2SiO4, Ce3+:Zn2SiO4 & Li+ + Ce3+: Zn2SiO4 novel powder phosphors prepared by a sol-gel technique. The total amount of Ce3+ ions was kept constant in this experiment at 0.05 mol% total doping. The excitation and emission spectra of undoped (Zn2SiO4) and Ce3+ doped Zn2SiO4 and 0.05 mol% Li+ co-doped samples have been investigated. Cerium doped Zn2SiO4 powder phosphors had broad blue emission corresponding to the 2D3/22FJ transition at 443nm. Stable green-yellow-red emission has been observed from Zn2SiO4 host matrix and also we have been observed the enhanced luminescence of Li+ co-doped Zn2SiO4:Ce3+. Excitation and emission spectra of these blue luminescent phosphors have been analyzed in evaluating their potential as luminescent screen coating phosphors.

  18. A facile approach to fabricate Au nanoparticles loaded SiO2 microspheres for catalytic reduction of 4-nitrophenol

    International Nuclear Information System (INIS)

    Tang, Mingyi; Huang, Guanbo; Li, Xianxian; Pang, Xiaobo; Qiu, Haixia

    2015-01-01

    Hydrophilic and biocompatible macromolecules were used to improve and simplify the process for the fabrication of core/shell SiO 2 @Au composite particles. The influence of polymers on the morphology of SiO 2 @Au particles with different size of SiO 2 cores was analyzed by transmission electron microscopy and scanning electron microscopy. The optical property of the SiO 2 @Au particles was studied with UV–Vis spectroscopy. The results indicate that the structure and composition of macromolecules affect the morphology of Au layers on SiO 2 microspheres. The SiO 2 @Au particles prepared in the presence of polyvinyl alcohol (PVA) or polyvinylpyrrolidone (PVP) have thin and complete Au nanoshells owing to their inducing act in preferential growth of Au nanoparticles along the surface of SiO 2 microspheres. SiO 2 @Au particles can be also prepared from SiO 2 microspheres modified with 3-aminopropyltrimethoxysilane in the presence of PVA or PVP. This offers a simple way to fabricate a Au layer on SiO 2 or other microspheres. The SiO 2 @Au particles demonstrated high catalytic activity in the reduction of 4-nitrophenol. - Highlights: • Facile direct deposition method for Au nanoparticles on silica microspheres. • Influence of different types of macromolecule on the formation of Au shell. • High catalytic performance of Au nanoparticles on silica microspheres

  19. Thermal response of Ru electrodes in contact with SiO2 and Hf-based high-k gate dielectrics

    International Nuclear Information System (INIS)

    Wen, H.-C.; Lysaght, P.; Alshareef, H.N.; Huffman, C.; Harris, H.R.; Choi, K.; Senzaki, Y.; Luan, H.; Majhi, P.; Lee, B.H.; Campin, M. J.; Foran, B.; Lian, G.D.; Kwong, D.-L.

    2005-01-01

    A systematic experimental evaluation of the thermal stability of Ru metal gate electrodes in direct contact with SiO 2 and Hf-based dielectric layers was performed and correlated with electrical device measurements. The distinctly different interfacial reactions in the Ru/SiO 2 , Ru/HfO 2 , and Ru/HfSiO x film systems were observed through cross-sectional high-resolution transmission electron microscopy, high angle annular dark field scanning transmission electron microscopy with electron-energy-loss spectra, and energy dispersive x-ray spectra analysis. Ru interacted with SiO 2 , but remained stable on HfO 2 at 1000 deg. C. The onset of Ru/SiO 2 interfacial interactions is identified via silicon substrate pitting possibly from Ru diffusion into the dielectric in samples exposed to a 900 deg. C/10-s anneal. The dependence of capacitor device degradation with decreasing SiO 2 thickness suggests Ru diffuses through SiO 2 , followed by an abrupt, rapid, nonuniform interaction of ruthenium silicide as Ru contacts the Si substrate. Local interdiffusion detected on Ru/HfSiO x samples may be due to phase separation of HfSiO x into HfO 2 grains within a SiO 2 matrix, suggesting that SiO 2 provides a diffusion pathway for Ru. Detailed evidence consistent with a dual reaction mechanism for the Ru/SiO 2 system at 1000 deg. C is presented

  20. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    International Nuclear Information System (INIS)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao

    2010-01-01

    A series of SiO 2 films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO 2 films thicker than 60 nm are close to those of bulk SiO 2 . For the thin films deposited at the rate of ∼1.0 nm s -1 , the refractive indices increase with decreasing thickness from ∼60 to ∼10 nm and then drop sharply with decreasing thickness below ∼10 nm. However, for thin films deposited at the rates of ∼0.4 and ∼0.2 nm s -1 , the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  1. Identification of Λ-like systems in Er3+:Y2SiO5 and observation of electromagnetically induced transparency

    International Nuclear Information System (INIS)

    Baldit, E.; Bencheikh, K.; Monnier, P.; Briaudeau, S.; Levenson, J. A.; Crozatier, V.; Lorgere, I.; Bretenaker, F.; Le Goueet, J. L.; Guillot-Noeel, O.; Goldner, Ph.

    2010-01-01

    Electromagnetically induced transparency (EIT) is reported in a solid-state material doped with erbium ions. In this paper we introduce the spectroscopic investigations we have conducted in order to identify the adequate Λ-like three-level systems in Er 3+ :Y 2 SiO 5 crystal, relevant for the demonstration of EIT. These results pave the way for nonlinear and quantum optics applications based on EIT at the telecom wavelength around 1.5 μm.

  2. Comparative Study of the Corrosion Resistance of Air-Plasma-Sprayed Ca2SiO4 and Al2O3 Coatings in Salt Water

    Directory of Open Access Journals (Sweden)

    Yuan Xiao

    2018-03-01

    Full Text Available In this study, Ca2SiO4 coating was sprayed on stainless steel substrate and the corrosion resistance of the as-sprayed coating was studied in salt water. At the same time, Al2O3 coatings were produced by air-plasma-sprayed technology as comparison. Immersion test was carried out to evaluate the protection performance of coatings. Potentiodynamic polarization curves and electrochemical impedance spectroscopy (EIS plots were also analyzed. The results indicated that Ca2SiO4 coatings showed a better protection performance than Al2O3 coatings. During the immersion, various calcium carbonate crystals appeared on the surface of Ca2SiO4 coatings. Ca(OH2 was released from Ca2SiO4 coatings into NaCl aqueous solution, increasing the alkalinity, which is in favor of the formation of passivation film, and thus improves the corrosion resistance. Ca2SiO4 coatings became denser after immersion due to the fact that the pores and micro cracks were filled with hydration products i.e., hydrated calcium silicate (C–S–H gel. On the contrary, the microstructure of Al2O3 coatings became loose and obvious rusty spots were observed on the surface after the immersion test.

  3. A novel growth mode of alkane films on a SiO2 surface

    DEFF Research Database (Denmark)

    Mo, H.; Taub, H.; Volkmann, U.G.

    2003-01-01

    on the SiO2 surface with the long-axis of the C32 molecules oriented parallel to the interface followed by a C32 monolayer with the long-axis perpendicular to it. Finally, preferentially oriented bulk particles nucleate having two different crystal structures. This growth model differs from that found...... previously for shorter alkanes deposited from the vapor phase onto solid surfaces....

  4. Radiation-induced interface state generation in MOS devices with reoxidised nitrided SiO2 gate dielectrics

    International Nuclear Information System (INIS)

    Lo, G.Q.; Shih, D.K.; Ting, W.; Kwong, D.L.

    1989-01-01

    In this letter, the radiation-induced interface state generation ΔD it in MOS devices with reoxidised nitrided gate oxides has been studied. The reoxidised nitrided oxides were fabricated by rapid thermal reoxidation (RTO) of rapidly thermal nitrided (RTN) SiO 2 . The devices were irradiated by exposure to X-rays at doses of 0.5-5.0 Mrad (Si). It is found that the RTO process improves the radiation hardness of RTN oxides in terms of interface state generation. The enhanced interface ''hardness'' of reoxidised nitrided oxides is attributed to the strainless interfacial oxide regrowth or reduction of hydrogen concentration during RTO of RTN oxides. (author)

  5. Highly conformal SiO2/Al2O3 nanolaminate gas-diffusion barriers for large-area flexible electronics applications

    International Nuclear Information System (INIS)

    Choi, Jin-Hwan; Kim, Young-Min; Park, Young-Wook; Park, Tae-Hyun; Jeong, Jin-Wook; Choi, Hyun-Ju; Song, Eun-Ho; Ju, Byeong-Kwon; Lee, Jin-Woo; Kim, Cheol-Ho

    2010-01-01

    The present study demonstrates a flexible gas-diffusion barrier film, containing an SiO 2 /Al 2 O 3 nanolaminate on a plastic substrate. Highly uniform and conformal coatings can be made by alternating the exposure of a flexible polyethersulfone surface to vapors of SiO 2 and Al 2 O 3 , at nanoscale thickness cycles via RF-magnetron sputtering deposition. The calcium degradation test indicates that 24 cycles of a 10/10 nm inorganic bilayer, top-coated by UV-cured resin, greatly enhance the barrier performance, with a permeation rate of 3.79 x 10 -5 g m -2 day -1 based on the change in the ohmic behavior of the calcium sensor at 20 deg. C and 50% relative humidity. Also, the permeation rate for 30 cycles of an 8/8 nm inorganic bilayer coated with UV resin was beyond the limited measurable range of the Ca test at 60 deg. C and 95% relative humidity. It has been found that such laminate films can effectively suppress the void defects of a single inorganic layer, and are significantly less sensitive against moisture permeation. This nanostructure, fabricated by an RF-sputtering process at room temperature, is verified as being useful for highly water-sensitive organic electronics fabricated on plastic substrates.

  6. Photocatalytic Removal of Phenol under Natural Sunlight over N-TiO2-SiO2 Catalyst: The Effect of Nitrogen Composition in TiO2-SiO2

    Directory of Open Access Journals (Sweden)

    Viet-Cuong Nguyen

    2009-01-01

    Full Text Available In this present work, high specific surface area and strong visible light absorption nitrogen doped TiO2-SiO2 photocatalyst was synthesized by using sol-gel coupled with hydrothermal treatment method. Nitrogen was found to improve the specific surface area while it also distorted the crystal phase of the resulting N-TiO2-SiO2 catalyst. As the N/ (TiO2-SiO2 molar ratio was more than 10%, the derived catalyst presented the superior specific surface area up to 260 m2/g. Nevertheless, its photoactivity towards phenol removal was observed to significantly decrease, which could results from the too low crystallinity. The nitrogen content in N-TiO2-SiO2 catalyst was therefore necessary to be optimized in terms of phenol removal efficiency and found at ca. 5%. Under UVA light and natural sunlight irradiation of 80 min, N(5%-TiO2-SiO2 catalyst presented the phenol decomposition efficiencies of 68 and 100%, respectively. It was also interestingly found in this study that the reaction rate was successfully expressed using a Langmuir-Hinshelwood (L-H model, indicating the L-H nature of photocatalytic phenol decomposition reaction on the N-TiO2-SiO2 catalyst.

  7. Silver nanoparticle deposition on inverse opal SiO2 films embedded in protective polypropylene micropits for SERS applications

    Science.gov (United States)

    Ammosova, Lena; Ankudze, Bright; Philip, Anish; Jiang, Yu; Pakkanen, Tuula T.; Pakkanen, Tapani A.

    2018-01-01

    Common methods to fabricate surface enhanced Raman scattering (SERS) substrates with controlled micro-nanohierarchy are often complex and expensive. In this study, we demonstrate a simple and cost effective method to fabricate SERS substrates with complex geometries. Microworking robot structuration is used to pattern a polypropylene (PP) substrate with micropits, facilitating protective microenvironment for brittle SiO2 inverse opal (IO) structure. Hierarchical SiO2 IO patterns were obtained using polystyrene (PS) spheres as a sacrificial template, and were selectively embedded into the hydrophilized PP micropits. The same microworking robot technique was subsequently used to deposit silver nanoparticle ink into the SiO2 IO cavities. The fabricated multi-level micro-nanohierarchy surface was studied to enhance Raman scattering of the 4-aminothiophenol (4-ATP) analyte molecule. The results show that the SERS performance of the micro-nanohierarchical substrate increases significantly the Raman scattering intensity compared to substrates with structured 2D surface geometries.

  8. THE THERMODYNAMIC PROPERTIES OF MELTS OF DOUBLE SYSTEM MgO – Al2O3, MgO – SiO2, MgO – CaF2, Al2O3 – SiO2, Al2O3 – CaF2, SiO2 – CaF2

    Directory of Open Access Journals (Sweden)

    В. Судавцова

    2012-04-01

    Full Text Available Methodology of prognostication of thermodynamics properties of melts is presented from the coordinatesof liquidus of diagram of the state in area of equilibria a hard component is solution, on which energies ofmixing of Gibbs are expected in the double border systems of MgO – Al2O3, MgO – SiO2, MgO – CaF2,Al2O3 – SiO2, Al2O3 - CaF2, SiO2 - CaF2. For the areas of equilibrium there is quasibinary connection(MgAl2O4, Mg2SiO4, Al6Si2O13 – a grout at calculations was used equalization of Hauffe-Wagner. Theobtained data comport with literary

  9. Detection of gain enhancement in laser-induced fluorescence of rhodamine B lasing dye by silicon dioxide nanostructures-coated cavity

    Science.gov (United States)

    Al-Tameemi, Mohammed N. A.

    2018-03-01

    In this work, nanostructured silicon dioxide films are deposited by closed-field unbalanced direct-current (DC) reactive magnetron sputtering technique on two sides of quartz cells containing rhodamine B dye dissolved in ethanol with 10‒5 M concentration as a random gain medium. The preparation conditions are optimized to prepare highly pure SiO2 nanostructures with a minimum particle size of about 20 nm. The effect of SiO2 films as external cavity for the random gain medium is determined by the laser-induced fluorescence of this medium, and an increase of about 200% in intensity is observed after the deposition of nanostructured SiO2 thin films on two sides of the dye cell.

  10. Plume-induced stress in pulsed-laser deposited CeO2 films

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Budai, J.D.; Pennycook, S.J.; Prouteau, C.

    1999-01-01

    Residual compressive stress due to plume-induced energetic particle bombardment in CeO 2 films deposited by pulsed-laser deposition is reported. For laser ablation film growth in low pressures, stresses as high as 2 GPa were observed as determined by substrate curvature and four-circle x-ray diffraction. The amount of stress in the films could be manipulated by controlling the kinetic energies of the ablated species in the plume through gas-phase collisions with an inert background gas. The film stress decreased to near zero for argon background pressures greater than 50 mTorr. At these higher background pressures, the formation of nanoparticles in the deposited film was observed. copyright 1999 American Institute of Physics

  11. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  12. Excellent Passivation of p-Type Si Surface by Sol-Gel Al2O3 Films

    International Nuclear Information System (INIS)

    Hai-Qing, Xiao; Chun-Lan, Zhou; Xiao-Ning, Cao; Wen-Jing, Wang; Lei, Zhao; Hai-Ling, Li; Hong-Wei, Diao

    2009-01-01

    Al 2 O 3 films with a thickness of about 100 nm synthesized by spin coating and thermally treated are applied for field-induced surface passivation of p-type crystalline silicon. The level of surface passivation is determined by techniques based on photoconductance. An effective surface recombination velocity below 100 cm/s is obtained on 10Ω ·cm p-type c-Si wafers (Cz Si). A high density of negative fixed charges in the order of 10 12 cm −2 is detected in the Al 2 O 3 films and its impact on the level of surface passivation is demonstrated experimentally. Furthermore, a comparison between the surface passivation achieved for thermal SiO 2 and plasma enhanced chemical vapor deposition SiN x :H films on the same c-Si is presented. The high negative fixed charge density explains the excellent passivation of p-type c-Si by Al 2 O 3 . (cross-disciplinary physics and related areas of science and technology)

  13. Nucleation of C60 on ultrathin SiO2

    Science.gov (United States)

    Conrad, Brad; Groce, Michelle; Cullen, William; Pimpinelli, Alberto; Williams, Ellen; Einstein, Ted

    2012-02-01

    We utilize scanning tunneling microscopy to characterize the nucleation, growth, and morphology of C60 on ultrathin SiO2 grown at room temperature. C60 thin films are deposited in situ by physical vapor deposition with thicknesses varying from <0.05 to ˜1 ML. Island size and capture zone distributions are examined for a varied flux rate and substrate deposition temperature. The C60 critical nucleus size is observed to change between monomers and dimers non-monotonically from 300 K to 500 K. Results will be discussed in terms of recent capture zone studies and analysis methods. Relation to device fabrication will be discussed. doi:10.1016/j.susc.2011.08.020

  14. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  15. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.; Quevedo-Ló pez, Manuel Angel Quevedo; Alshareef, Husam N.; Gnade, Bruce E.; Ramí rez-Bon, Rafael

    2010-01-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  16. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.

    2010-03-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  17. Thermal evolution of the morphology, structure, and optical properties of multilayer nanoperiodic systems produced by the vacuum evaporation of SiO and SiO2

    International Nuclear Information System (INIS)

    Ershov, A. V.; Chugrov, I. A.; Tetelbaum, D. I.; Mashin, A. I.; Pavlov, D. A.; Nezhdanov, A. V.; Bobrov, A. I.; Grachev, D. A.

    2013-01-01

    The alternate vacuum evaporation of SiO and SiO 2 from separate sources is used to produce amorphous a-SiO x /SiO 2 multilayer nanoperiodic structures with periods of 5–10 nm and a number of layers of up to 64. The effect of annealing at temperatures T a = 500–1100°C on the structural and optical properties of the nanostructures is studied. The results of transmission electron microscopy of the samples annealed at 1100°C indicate the annealing-induced formation of vertically ordered quasiperiodic arrays of Si nanocrystals, whose dimensions are comparable to the a-SiO x -layer thickness in the initial nanostructures. The nanostructures annealed at 1100°C exhibit size-dependent photoluminescence in the wavelength range 750–830 nm corresponding to Si nanocrystals. The data on infrared absorption and Raman scattering show that the thermal evolution of structural and phase state of the SiO x layers with increasing annealing temperature proceeds through the formation of amorphous Si nanoinclusions with the subsequent formation and growth of Si nanocrystals.

  18. ZnO nanowire co-growth on SiO2 and C by carbothermal reduction and vapour advection

    International Nuclear Information System (INIS)

    Vega, N C; Caram, J; Grinblat, G; Comedi, D; Wallar, R; LaPierre, R R; Tirado, M

    2012-01-01

    Vertically aligned ZnO nanowires (NWs) were grown on Au-nanocluster-seeded amorphous SiO 2 films by the advective transport and deposition of Zn vapours obtained from the carbothermal reaction of graphite and ZnO powders. Both the NW volume and visible-to-UV photoluminescence ratio were found to be strong functions of, and hence could be tailored by, the (ZnO+C) source–SiO 2 substrate distance. We observe C flakes on the ZnO NWs/SiO 2 substrates which exhibit short NWs that developed on both sides. The SiO 2 and C substrates/NW interfaces were studied in detail to determine growth mechanisms. NWs on Au-seeded SiO 2 were promoted by a rough ZnO seed layer whose formation was catalysed by the Au clusters. In contrast, NWs grew without any seed on C. A correlation comprising three orders of magnitude between the visible-to-UV photoluminescence intensity ratio and the NW volume is found, which results from a characteristic Zn partial pressure profile that fixes both O deficiency defect concentration and growth rate. (paper)

  19. Improved linearity and reliability in GaN metal-oxide-semiconductor high-electron-mobility transistors using nanolaminate La2O3/SiO2 gate dielectric

    Science.gov (United States)

    Hsu, Ching-Hsiang; Shih, Wang-Cheng; Lin, Yueh-Chin; Hsu, Heng-Tung; Hsu, Hisang-Hua; Huang, Yu-Xiang; Lin, Tai-Wei; Wu, Chia-Hsun; Wu, Wen-Hao; Maa, Jer-Shen; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2016-04-01

    Improved device performance to enable high-linearity power applications has been discussed in this study. We have compared the La2O3/SiO2 AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with other La2O3-based (La2O3/HfO2, La2O3/CeO2 and single La2O3) MOS-HEMTs. It was found that forming lanthanum silicate films can not only improve the dielectric quality but also can improve the device characteristics. The improved gate insulation, reliability, and linearity of the 8 nm La2O3/SiO2 MOS-HEMT were demonstrated.

  20. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    Science.gov (United States)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  1. Stability analysis of SiO2/SiC multilayer coatings

    International Nuclear Information System (INIS)

    Fu Zhiqiang; Jean-Charles, R.

    2006-01-01

    The stability behaviours of SiC coatings and SiO 2 /SiC coatings in helium with little impurities are studied by HSC Chemistry 4.1, the software for analysis of Chemical reaction and equilibrium in multi-component complex system. It is found that in helium with a low partial pressure of oxidative impurities under different total pressure, the key influence factor controlling T cp of SiC depends is the partial pressure of oxidative impurities; T cp of SiC increases with the partial pressure of oxidative impurities. In helium with a low partial pressure of different impurities, the key influence factor of T cs of SiO 2 are both the partial pressure of impurities and the amount of impurities for l mol SiO 2 ; T cs of SiO 2 increases with the partial pressure of oxidative impurities at the same amount of the impurities for 1 mol SiO 2 while it decreases with the amount of the impurities for 1 mm SiO 2 at the same partial pressure of the impurities. The influence of other impurities on T cp of SiC in He-O 2 is studied and it is found that CO 2 , H 2 O and N-2 increase T cp of SiC in He-O 2 while H 2 , CO and CH 4 decrease T cp of SiC He-O 2 . When there exist both oxidative impurities and reductive impurities, their effect on T cs of SiO 2 can be suppressed by the other. In HTR-10 operation atmosphere, SiO 2 /SiC coatings can keep stable status at higher temperature than SiC coatings, so SiO 2 /SiC coatings is more suitable to improve the oxidation resistance of graphite in HTR-10 operation atmosphere compared with SiC coatings. (authors)

  2. Effect of Commercial SiO2 and SiO2 from rice husk ash loading on biodegradation of Poly (lactic acid) and crosslinked Poly (lactic acid)

    Science.gov (United States)

    Prapruddivongs, C.; Apichartsitporn, M.; Wongpreedee, T.

    2017-09-01

    In this work, biodegradation behavior of poly (lactic acid) (PLA) and crosslinked PLA filled with two types of SiO2, precipitated SiO2 (commercial SiO2) and SiO2 from rice husk ash, were studied. Rice husks were first treated with 2 molar hydrochloric acid (HCl) to produce high purity SiO2, before burnt in a furnace at 800°C for 6 hours. All components were melted bending by an internal mixer then hot pressed using compression molder to form tested specimens. FTIR spectra of SiO2 and PLA samples were investigated. The results showed the lack of silanol group (Si-OH) of rice husk ash after steric acid surface modification, while the addition of particles can affect the crosslinking of the PLA. For biodegradation test by evaluating total amount of carbon dioxide (CO2) evolved during 60 days incubation at a controlled temperature of 58±2°C, the results showed that the biodegradation of crosslinked PLA occurred slower than the neat PLA. However, SiO2 incorporation enhanced the degree of biodegradation In particular, introducing commercial SiO2 in PLA and crosslinked PLA tended to clearly increase the degree of biodegradation as a consequence of the more accelerated hydrolysis degradation.

  3. Influence of radiation-damages on parameters of lattice oscillations in crystalline and vitreous SiO2

    International Nuclear Information System (INIS)

    Abdukadyrova, I.Kh.

    2008-01-01

    Using IR reflection spectroscopy, the influence of radiation-induced disturbances on a number of parameters of lattice oscillations in two SiO 2 modifications was investigated. Radiation kinetics of changes in spectral characteristics of fundamental oscillations in SiO 2 crystalline and glassy states was determined. Dose dependences of both reflectivity and degenerate mode vibrational frequency were found to show minima whose locations were governed by a type of a sample. Under higher doses of neutron radiation (10 21 cm -2 ), certain characteristics of bands were observed to be of the same values for the both materials modified. The features of radiation kinetics were found for dynamic parameters of the samples. It was deduced that specific character of radiation-induced changes observed in spectral and dynamic parameters of oscillations in the region of degenerate modes was due to both the accumulation of radiation damages and a change in the force field surrounding bridge-type bonds which was related with the change in the SiO 2 structure. (authors)

  4. SiO2@TiO2 Coating: Synthesis, Physical Characterization and Photocatalytic Evaluation

    Directory of Open Access Journals (Sweden)

    A. Rosales

    2018-03-01

    Full Text Available Use of silicon dioxide (SiO2 and titanium dioxide (TiO2 have been widely investigated individually in coatings technology, but their combined properties promote compatibility for different innovative applications. For example, the photocatalytic properties of TiO2 coatings, when exposed to UV light, have interesting environmental applications, such as air purification, self-cleaning and antibacterial properties. However, as reported in different pilot projects, serious durability problems, associated with the adhesion between the substrate and TiO2, have been evidenced. Thus, the aim of this work is to synthesize SiO2 together with TiO2 to increase the durability of the photocatalytic coating without affecting its photocatalytic potential. Therefore, synthesis using sonochemistry, synthesis without sonochemistry, physical characterization, photocatalytic evaluation, and durability of the SiO2, SiO2@TiO2 and TiO2 coatings are presented. Results indicate that using SiO2 improved the durability of the TiO2 coating without affecting its photocatalytic properties. Thus, this novel SiO2@TiO2 coating shows potential for developing long-lasting, self-cleaning and air-purifying construction materials.

  5. Potassium ions in SiO2: electrets for silicon surface passivation

    Science.gov (United States)

    Bonilla, Ruy S.; Wilshaw, Peter R.

    2018-01-01

    This manuscript reports an experimental and theoretical study of the transport of potassium ions in thin silicon dioxide films. While alkali contamination was largely researched in the context of MOSFET instability, recent reports indicate that potassium ions can be embedded into oxide films to produce dielectric materials with permanent electric charge, also known as electrets. These electrets are integral to a number of applications, including the passivation of silicon surfaces for optoelectronic devices. In this work, electric field assisted migration of ions is used to rapidly drive K+ into SiO2 and produce effective passivation of silicon surfaces. Charge concentrations of up to ~5  ×  1012 e cm-2 have been achieved. This charge was seen to be stable for over 1500 d, with decay time constants as high as 17 000 d, producing an effectively passivated oxide-silicon interface with SRV  industrial manufacture of silicon optoelectronic devices.

  6. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam [School of Physics, University of Hyderabad, Hyderabad-500046 (India); Madhurima, V., E-mail: madhurima.v@gmail.com [Department of Physics, Central University of Tamil Nadu, Thiruvarur-610004 (India)

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  7. Dependence of Photocatalytic Activity of TiO2-SiO2 Nanopowders

    Directory of Open Access Journals (Sweden)

    M. Riazian

    2014-10-01

    Full Text Available Structural properties and chemical composition change the photocatalytic activity in TiO2-SiO2 nanopowder composite. The SiO2-TiO2 nanostructure is synthesized based on sol–gel method. The nanoparticles are characterized by x-ray fluorescents (XRF, x- ray diffraction (XRD, tunneling electron microscopy (TEM, field emission scanning electron microscopy (FE-SEM, UV-vis. Spectrophotometer and furrier transmission create infrared absorption (FTIR techniques. The rate constant k for the degradation of methylen blue in its aqueous solution under UV irradiation is determined as a measure of photocatalytic activity. Dependence between photocatalytic activity and SiO2 content in the composite is determined. Rate constant k is found dependent on the content of SiO2 in the composite that calcined at 900 oC. The addition of low composition SiO2 to the TiO2 matrix (lower than 45% enhances the photocatalytic activity due to thermal stability and increasing in the surface area. The effects of chemical compositions on the surface topography and the crystallization of phases are studied.

  8. Osteoblast interaction with laser cladded HA and SiO2-HA coatings on Ti-6Al-4V

    International Nuclear Information System (INIS)

    Yang Yuling; Serpersu, Kaan; He Wei; Paital, Sameer R.; Dahotre, Narendra B.

    2011-01-01

    In order to improve the bioactivity and biocompatibility of titanium endosseous implants, the morphology and composition of the surfaces were modified. Polished Ti-6Al-4V substrates were coated by a laser cladding process with different precursors: 100 wt.% HA and 25 wt.% SiO 2 -HA. X-ray diffraction of the laser processed samples showed the presence of CaTiO 3 , Ca 3 (PO 4 ) 2 , and Ca 2 SiO 4 phases within the coatings. From in vitro studies, it was observed that compared to the unmodified substrate all laser cladded samples presented improved cellular interactions and bioactivity. The samples processed with 25 wt.% SiO 2 -HA precursor showed a significantly higher HA precipitation after immersion in simulated body fluid than 100 wt.% HA precursor and titanium substrates. The in vitro biocompatibility of the laser cladded coatings and titanium substrate was investigated by culturing of mouse MC3T3-E1 pre-osteoblast cell line and analyzing the cell viability, cell proliferation, and cell morphology. A significantly higher cell attachment and proliferation rate were observed for both laser cladded 100 wt.% HA and 25 wt.% SiO 2 -HA samples. Compared to 100 wt.% HA sample, 25 wt.% SiO 2 -HA samples presented a slightly improved cellular interaction due to the addition of SiO 2 . The staining of the actin filaments showed that the laser cladded samples induced a normal cytoskeleton and well-developed focal adhesion contacts. Scanning electron microscopic image of the cell cultured samples revealed better cell attachment and spreading for 25 wt.% SiO 2 -HA and 100 wt.% HA coatings than titanium substrate. These results suggest that the laser cladding process improves the bioactivity and biocompatibility of titanium. The observed biological improvements are mainly due to the coating induced changes in surface chemistry and surface morphology. Highlights: → Laser cladding of Ti alloys with bioceramics creates new phases. → Laser cladded samples with SiO 2 -doped

  9. Sol-gel prepared B2O3-SiO2 thin films for protection of copper substrates

    International Nuclear Information System (INIS)

    Gouda, M.; Ahmed, M.S.; Shahin, M.A.

    2000-01-01

    Full text.Borosilicate coating has potential for applications in the field of electronics, e.g., as passivation layers. One of the main difficulties for applying these films by the conventional melting process is the extensive volatilization of B 2 O 3 from the melt. In this work transparent borosilicate films of 2OB 2 O3.8OSiO 2 (in mole %). Prepared by the sole gel method, were applied onto copper substrates by dip-coating technique. The transparency of these films was very sensitive to the humidity of the atmosphere during the coating process. Transparent films were obtained below 20% relative humidity at 20 celsius degree. High temperature oxidation tests, at about 585 celsius degree stream of air, showed that the sol-gel prepared 2OB 2 O 3 .8OSiO 2 thin films are protective coating for copper substrates under fairly severe temperature gradient and oxidizing atmosphere. It was found that the protective action of these films depends on the film thickness

  10. Influence of substrate on structural, morphological and optical properties of TiO2 thin films deposited by reaction magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Xinghua Zhu

    2017-12-01

    Full Text Available Titanium dioxide (TiO2 films have been prepared by DC reaction magnetron sputtering technique on different substrates (glass, SiO2, platinum electrode-Pt, Silicon-Si. X-ray diffraction (XRD patterns showed that all TiO2 films were grown along the preferred orientation of (110 plane. Samples on Si and Pt substrates are almost monophasic rutile, however, samples on glass and SiO2 substrates accompanied by a weak anatase structure. Atomic force microscopy (AFM images revealed uniform grain distribution except for films on Pt substrates. Photoluminescence (PL spectra showed obvious intrinsic emission band, but films on glass was accompanied by a distinct defect luminescence region. Raman spectroscopy suggested that all samples moved to high wavenumbers and films on glass moved obviously.

  11. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  12. Effects of Na2WO4 and Na2SiO3 additives in electrolytes on microstructure and properties of PEO coatings on Q235 carbon steel

    International Nuclear Information System (INIS)

    Wang Yunlong; Jiang Zhaohua; Yao Zhongping

    2009-01-01

    Ceramic coatings were achieved on Q235 carbon steel by plasma electrolytic oxidation in aluminate system with and without Na 2 WO 4 and Na 2 SiO 3 additives in electrolyte. Influence of Na 2 WO 4 and Na 2 SiO 3 on surface morphology, phase and elemental composition of PEO coatings were examined by means of scanning electron microscope (SEM), thin-film X-ray diffraction (TF-XRD) and energy dispersive X-ray spectroscopy (EDS). Effects of the two additives on the properties of the coatings including surface roughness, surface micro hardness and friction coefficient were studied. The results showed that W from Na 2 WO 4 and Si from Na 2 SiO 3 in electrolytes entered into the coatings. Na 2 WO 4 additive had no evident effect on phase composition of the coating, while Na 2 SiO 3 additive resulted in the coating changing from crystalline state to amorphous state and increased the content of P in the coating. Both additives reduced the surface roughness of the coatings. With Na 2 WO 4 or Na 2 SiO 3 into the electrolytes, the surface micro hardness of the coating was enhanced to 1433 and 1478, respectively, and the friction coefficients were also decreased to below 0.1.

  13. Magnetic SiO2/Fe3O4 colloidal crystals

    International Nuclear Information System (INIS)

    Huang, C-K; Hou, C-H; Chen, C-C; Tsai, Y-L; Chang, L-M; Wei, H-S; Hsieh, K-H; Chan, C-H

    2008-01-01

    We proposed a novel technique to fabricate colloidal crystals by using monodisperse SiO 2 coated magnetic Fe 3 O 4 (SiO 2 /Fe 3 O 4 ) microspheres. The magnetic SiO 2 /Fe 3 O 4 microspheres with a diameter of 700 nm were synthesized in the basic condition with ferric sulfate, ferrous sulfate, tartaric acid and tetraethyl orthosilicate (TEOS) in the reaction system. Monodisperse SiO 2 /Fe 3 O 4 superparamagnetic microspheres have been successfully used to fabricate colloidal crystals under the existing magnetic field

  14. Precipitation of amorphous SiO2 particles and their properties

    Directory of Open Access Journals (Sweden)

    S. Musić

    2011-03-01

    Full Text Available The experimental conditions were optimized for the synthesis of amorphous SiO2 particles by the reaction of neutralization of sodium silicate solution with H2SO4 solution. Amorphous SiO2 particles were characterized by XRD, FT-IR, FE-SEM, EDS and microelectrophoresis. The amorphous peak was located at 2θ = 21.8º in the XRD pattern. Primary SiO2 particles were ~ 15 to ~ 30 nm in size and they aggregated into bigger particles. Amorphous SiO2 particles showed a specific surface area up to 130 m²g-1, dependent on the parameters of the precipitation process. The EDS spectrum of amorphous SiO2 particles did not show contamination with sulfate or other ions, which cannot be excluded in traces. pHzpc =1.7 was obtained by microelectrophoresis.

  15. Surface spins disorder in uncoated and SiO2 coated maghemite nanoparticles

    International Nuclear Information System (INIS)

    Zeb, F.; Nadeem, K.; Shah, S. Kamran Ali; Kamran, M.; Gul, I. Hussain; Ali, L.

    2017-01-01

    We studied the surface spins disorder in uncoated and silica (SiO 2 ) coated maghemite (γ-Fe 2 O 3 ) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO 2 coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T B ) for SiO 2 coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M s ) of SiO 2 coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO 2 coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT b )) was fitted well for both uncoated and SiO 2 coated nanoparticles and yields: B =3×10 −7 K -b , b=2.22 and B=0.0127 K -b , b=0.57 for uncoated and SiO 2 coated nanoparticles, respectively. Higher value of B for SiO 2 coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO 2 coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO 2 coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO 2 coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface spins disorder in SiO 2 coated nanoparticles than in uncoated γ-Fe 2 O 3 nanoparticles

  16. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  17. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  18. Design of laser-driven SiO2-YAG:Ce composite thick film: Facile synthesis, robust thermal performance, and application in solid-state laser lighting

    Science.gov (United States)

    Xu, Jian; Liu, Bingguo; Liu, Zhiwen; Gong, Yuxuan; Hu, Baofu; Wang, Jian; Li, Hui; Wang, Xinliang; Du, Baoli

    2018-01-01

    In recent times, there have been rapid advances in the solid-state laser lighting technology. Due to the large amounts of heat accumulated from the high flux laser radiation, color conversion materials used in solid-state laser lighting devices should possess high durability, high thermal conductivity, and low thermal quenching. The aim of this study is to develop a thermally robust SiO2-YAG:Ce composite thick film (CTF) for high-power solid-state laser lighting applications. Commercial colloidal silica which was used as the source of SiO2, played the roles of an adhesive, a filler, and a protecting agent. Compared to the YAG:Ce powder, the CTF exhibits remarkable thermal stability (11.3% intensity drop at 200 °C) and durability (4.5% intensity drop after 1000 h, at 85 °C and 85% humidity). Furthermore, the effects of the substrate material and the thickness of the CTF on the laser lighting performance were investigated in terms of their thermal quenching and luminescence saturation behaviors, respectively. The CTF with a thickness of 50 μm on a sapphire substrate does not show luminescence saturation, despite a high-power density of incident radiation i.e. 20 W/mm2. These results demonstrate the potential applicability of the CTF in solid-state laser lighting devices.

  19. A novel fabrication of a high performance SiO(2)-graphene oxide (GO) nanohybrids: Characterization of thermal properties of epoxy nanocomposites filled with SiO(2)-GO nanohybrids.

    Science.gov (United States)

    Haeri, S Z; Ramezanzadeh, B; Asghari, M

    2017-05-01

    In this study it has been aimed to enhance the thermal resistance of epoxy coating through incorporation of SiO 2 -GO nanohybrids. SiO 2 -GO nanohybrids were synthesized through one-step sol-gel route using a mixture of Tetraethylorthosilane (TEOS) and 3-Aminopropyl triethoxysilane (APTES) silanes. The SiO 2 -GO nanohybrids were prepared at various hydrolysis times of 24, 48 and 72h. Then 0.2wt.% of GO and SiO 2 -GO nanohybrids were separately incorporated into the epoxy coating. Results revealed that amino functionalized SiO 2 nanoparticles with particle size around 20-30nm successfully synthesized on the basal plane of GO. Results showed significant improvement of dispersion and interfacial interactions between nanohybrids and epoxy composite arising from covalent bonding between the SiO 2 -GO and the epoxy matrix. It was found that the thermal resistance of SiO 2 -GO nanohybrids and SiO 2 -GO/Epoxy nanocomposite was noticeably higher than GO and epoxy matrix, respectively. Copyright © 2017 Elsevier Inc. All rights reserved.

  20. Mechanical Properties and Fabrication of Nanostructured Mg_2SiO_4-MgAl_2O_4 Composites by High-Frequency Induction Heated Combustion

    International Nuclear Information System (INIS)

    Shon, In-Jin; Kang, Hyun-Su; Hong, Kyung-Tae; Doh, Jung-Mann; Yoon, Jin-Kook

    2011-01-01

    Nanopowders of MgO, Al_2O_3 and SiO_2 were made by high energy ball milling. The rapid sintering of nanostructured MgAl_2O_4-Mg_2SiO_4 composites was investigated by a high-frequency induction heating sintering process. The advantage of this process is that it allows very quick densification to near theoretical density and inhibition of grain growth. Nanocrystalline materials have received much attention as advanced engineering materials with improved physical and mechanical properties. As nanomaterials possess high strength, high hardness, excellent ductility and toughness, undoubtedly, more attention has been paid for the application of nanomaterials. Highly dense nanostructured MgAl_2O_4-Mg_2SiO_4 composites were produced with simultaneous application of 80 MPa pressure and induced output current of total power capacity (15 kW) within 2 min. The sintering behavior, gain size and mechanical properties of MgAl_2O_4-Mg_2SiO_4 composites were investigated.

  1. Nanocrystal in Er3+-doped SiO2-ZrO2 Planar Waveguide with Yb3+ Sensitizer

    International Nuclear Information System (INIS)

    Razaki, N. Iznie; Jais, U. Sarah; Abd-Rahman, M. Kamil; Bhaktha, S. N. B.; Chiasera, A.; Ferrari, M.

    2010-01-01

    Higher doping of Er 3+ in glass ceramic waveguides would cause concentration and pair-induced quenching which lead to inhomogeneous line-width of luminescence spectrum thus reduce output intensity. Concentration quenching can be overcome by introducing ZrO 2 in the glass matrix while co-doping with Yb 3+ which acts as sensitizer would improve the excitation efficiency of Er 3+ . In this study, SiO 2 -ZrO 2 planar waveguides having composition in mol percent of 70SiO 2 -30ZrO 2 doped with Er 3+ and co-doped with Yb 3+ , were prepared via sol-gel route. Narrower and shaper peaks of PL and XRD shows the formation of nanocrystals. Intensity is increase with addition amount of Yb 3+ shows sensitizing effect on Er 3+ .

  2. Orientation of pentacene molecules on SiO2: From a monolayer to the bulk

    International Nuclear Information System (INIS)

    Zheng, Fan; Park, Byoung-Nam; Seo, Soonjoo; Evans, Paul G.; Himpsel, F. J.

    2007-01-01

    Near edge x-ray absorption fine structure (NEXAFS) spectroscopy is used to study the orientation of pentacene molecules within thin films on SiO 2 for thicknesses ranging from monolayers to the bulk (150 nm). The spectra exhibit a strong polarization dependence of the π * orbitals for all films, which indicates that the pentacene molecules are highly oriented. At all film thicknesses the orientation varies with the rate at which pentacene molecules are deposited, with faster rates favoring a thin film phase with different tilt angles and slower rates leading to a more bulklike orientation. Our NEXAFS results extend previous structural observations to the monolayer regime and to lower deposition rates. The NEXAFS results match crystallographic data if a finite distribution of the molecular orientations is included. Damage to the molecules by hot electrons from soft x-ray irradiation eliminates the splitting between nonequivalent π * orbitals, indicating a breakup of the pentacene molecule

  3. Fabrication and characterization of Pb(Zr 0.53,Ti 0.47)O 3-Pb(Nb 1/3,Zn 2/3)O 3 thin films on cantilever stacks

    KAUST Repository

    Fuentes-Fernandez, E. M A

    2010-11-18

    0.9Pb(Zr 0.53,Ti 0.47)O 3-0.1Pb(Zn 1/3,Nb 2/3)O 3 (PZT-PZN) thin films and integrated cantilevers have been fabricated. The PZT-PZN films were deposited on SiO 2/Si or SiO 2/Si 3N 4/SiO 2/poly-Si/Si membranes capped with a sol-gel-derived ZrO 2 buffer layer. It is found that the membrane layer stack, lead content, existence of a template layer of PbTiO 3 (PT), and ramp rate during film crystallization are critical for obtaining large-grained, single-phase PZT-PZN films on the ZrO 2 surface. By controlling these parameters, the electrical properties of the PZT-PZN films, their microstructure, and phase purity were significantly improved. PZT-PZN films with a dielectric constant of 700 to 920 were obtained, depending on the underlying stack structure. © 2010 TMS.

  4. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  5. AC plasma induced modifications in Sb{sub 2}S{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Calixto-Rodriguez, M; Martinez, H [Instituto de Ciencias Fisicas, Universidad Nacional Autonoma de Mexico, Apartado Postal 48-3, 62210, Cuernavaca, Morelos (Mexico); Castillo, F [Instituto de Ciencias Nucleares, Universidad Nacional Autonoma de Mexico, Apartado Postal 70-543, 04510, Mexico D. F. (Mexico); Pena, Y [Universidad Autonoma de Nuevo Leon, Facultad de Ciencias Quimicas, Pedro de Alba s/n, Cd. Universitaria, San Nicolas de los Garza, N.L (Mexico); Sanchez-Juarez, A, E-mail: ciro@nucleares.unam.m [Centro de Investigacion en EnergIa, Universidad Nacional Autonoma de Mexico, Privada Xochicalco s/n Col. Centro, Temixco, Morelos, C.P. 62580 (Mexico)

    2010-01-01

    Sb{sub 2}S{sub 3} thin films, deposited by the chemical bath deposition method, were treated with N{sub 2} plasma at 3.0 Torr during several minutes. The as-prepared Sb{sub 2}S{sub 3} thin films and films treated with N{sub 2} plasma have been characterized using several techniques. X-ray diffraction studies have shown that plasma treatment induced recrystallization on the as-prepared Sb{sub 2}S{sub 3}thin films. The band gap values decreased from 2.37 to 1.82 eV after plasma treatment, and the electrical conductivity increased from 10{sup 9} to 10{sup 7} ({Omega}cm){sup -1} due to the annealing effect.

  6. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  7. Luminescence mechanisms of organic/inorganic hybrid organic light-emitting devices fabricated utilizing a Zn2SiO4:Mn color-conversion layer

    International Nuclear Information System (INIS)

    Choo, D.C.; Ahn, S.D.; Jung, H.S.; Kim, T.W.; Lee, J.Y.; Park, J.H.; Kwon, M.S.

    2010-01-01

    Zn 2 SiO 4 :Mn phosphor layers used in this study were synthesized by using the sol-gel method and printed on the glass substrates by using a vehicle solution and a heating process. Organic/inorganic hybrid organic light-emitting devices (OLEDs) utilizing a Zn 2 SiO 4 :Mn color-conversion layer were fabricated. X-ray diffraction data for the synthesized Zn 2 SiO 4 :Mn phosphor films showed that the Zn ions in the phosphor were substituted into Mn ions. The electroluminescence (EL) spectrum of the deep blue OLEDs showed that a dominant peak at 461 nm appeared. The photoluminescence spectrum for the Zn 2 SiO 4 :Mn phosphor layer by using a 470 nm excitation source showed that a dominant peak at 527 nm appeared, which originated from the 4 T 1 - 6 A 1 transitions of Mn ions. The appearance of the peak around 527 nm of the EL spectra for the OLEDs fabricated utilizing a Zn 2 SiO 4 :Mn phosphor layer demonstrated that the emitted blue color from the deep blue OLEDs was converted into a green color due to the existence of the color-conversion layer. The luminescence mechanisms of organic/inorganic hybrid OLEDs fabricated utilizing a Zn 2 SiO 4 :Mn color-conversion layer are described on the basis of the EL and PL spectra.

  8. Remarkable strain-induced magnetic anisotropy in epitaxial Co2MnGa (0 0 1) films

    International Nuclear Information System (INIS)

    Pechan, Michael J.; Yu, Chengtao; Carr, David; Palmstroem, Chris J.

    2005-01-01

    Remarkably large, strain-induced anisotropy is observed in the thin-film Heusler alloy Co 2 MnGa. 30 nm Co 2 MnGa (0 0 1) films have been epitaxially grown on different interlayers/substrates with varied strain, and investigated with ferromagnetic resonance. The film grown on ErAs/InGaAs/InP experiences tension strain, resulting in an out-of-plane strain-induced anisotropy (∼1.1x10 6 erg/cm 3 ) adding to the effects of shape anisotropy. In contrast, the film grown on ScErAs/GaAs, experiences a compression strain, resulting in an out-of-plane strain-induced anisotropy (∼3.3x10 6 erg/cm 3 ) which almost totally cancels the effects of shape anisotropy, thus rendering the film virtually isotropic. This results in the formation of stripe domains in remanence. In addition, small, but well-defined 2-fold and 4-fold in-plane anisotropy coexist in each sample with weak, but interesting strain dependence. Transport measurement shows small (<1%) magnetoresistance effects in the compression film, but negligible magnetoresistance in the relaxed and tension strained samples

  9. Sol-Gel Synthesis and Characterization of Ba1-xGdxTiO3+δ Thin Films on SiO2/Si Substrates Using Spin-Coating Technique

    Directory of Open Access Journals (Sweden)

    Yen Chin TEH

    2017-02-01

    Full Text Available Ba1-xGdxTiO3+δ, at x = 0, 0.05, 0.1, 0.15, 0.2, (BGT thin films have been fabricated on SiO2/Si substrate using Sol-Gel method. The microstructure and surface morphology of the fabricated films have been investigated using X-ray diffraction (XRD and atomic force microscopy (AFM. The XRD results show that the fabricated films are crystalline with perovskite structure. There is a shifting of the preferred peak at 31.5o to a higher angle as the doping ratio increases suggesting a distortion lattice exists in the films, which could be due to the substitution of Gd3+ ions into Ba-site. The decreasing of lattice constants confirms the substitution of Gd3+ in BaTiO3 lattice structure. The microstrain and dislocation density are found to be increased with the increase of Gd3+ doping, which attributed to the reduction of lattice volume that due to the ionic size mismatch effect. The AFM results show decreasing trend in both average grain size and roughness parameters. Therefore, the microstructure and surface morphology of BGT samples is strongly dependent on the Gd3+ doping concentration that mainly due to the difference ionic radius substitution.DOI: http://dx.doi.org/10.5755/j01.ms.23.1.13954

  10. Photochemical process of divalent germanium responsible for photorefractive index change in GeO2-SiO2 glasses.

    Science.gov (United States)

    Sakoh, Akifumi; Takahashi, Masahide; Yoko, Toshinobu; Nishii, Junji; Nishiyama, Hiroaki; Miyamoto, Isamu

    2003-10-20

    The photoluminescence spectra of the divalent Ge (Ge2+) center in GeO2-SiO2 glasses with different photosensitivities were investigated by means of excitation-emission energy mapping. The ultraviolet light induced photorefractivity has been correlated with the local structure around the Ge2+ centers. The glasses with a larger photorefractivity tended to exhibit a greater band broadening of the singlet-singlet transition on the higher excitation energy side accompanied by an increase in the Stokes shifts. This strongly suggests the existence of highly photosensitive Ge2+ centers with higher excitation energies. It is also found that the introduction of a hydroxyl group or boron species in GeO2-SiO2 glasses under appropriate conditions modifies the local environment of Ge2+ leading to an enhanced photorefractivity.

  11. A new high-pressure phase of Fe2SiO4 and the relationship between spin and structural transitions

    Science.gov (United States)

    Yamanaka, T.; Kyono, A.; Nakamoto, Y.; Kharlamova, S. A.; Struzhkin, V. V.; Gramsch, S.; Mao, H.; Hemley, R. J.

    2013-12-01

    4. This new high-pressure phase reversibly transforms to the spinel structure under decompression. A Martensitic transformation of spinel slabs with translation vector [1/8 1/8 1/8] generates the I-Fe2SiO4 structure. The transition is induced by atomic displacements in the spinel structure, which generates the orthorhombic distortion resulting in I-Fe2SiO4 arrangement. A topotactic relation between spinel and I-Fe2SiO4 is suggested as the origin of this phase transition: Fe2SiO4 spinel at 34.8 GPa, Fd m, z = 8, a(spinel)= 7.894 Å I-Fe2SiO4 at 54.6 GPa, Imma,, z = 4, a= 5.543(1)Å, b=6.032(4)Å, c= 7.201(5)Å a = b = d(spnel 110) = 0.707107a (spinel) c= c(spinel) Compression along the [001] direction of the spinel structure and simultaneous elongation along the [110] direction results in the I-Fe2SiO4 arrangement. This transition is induced by the 20% shrinkage of ionic radius of Fe2+in the octahedral site at the low spin state.

  12. Transparent conductive ITO/Cu/ITO films prepared on flexible substrates at room temperature

    International Nuclear Information System (INIS)

    Ding Xingwei; Yan Jinliang; Li Ting; Zhang Liying

    2012-01-01

    Transparent conductive ITO/Cu/ITO films were deposited on PET substrates by magnetron sputtering using three cathodes at room temperature. Effects of the SiO 2 buffer layer and thickness of Cu interlayer on the structural, electrical and optical properties of ITO/Cu/ITO films were investigated. The optical transmittance was affected slightly by SiO 2 buffer layer, but the electrical properties of ITO/Cu/ITO films were improved. The transmittance and resistivity of the SiO 2 /ITO/Cu/ITO films decrease as the Cu layer thickness increases. The ITO/Cu/ITO film with 5 nm Cu interlayer deposited on the 40 nm thick SiO 2 buffer layer exhibits the sheet resistance of 143 Ω/sq and transmittance of 65% at 550 nm wavelength. The optical and electrical properties of the ITO/Cu/ITO films were mainly dependent on the Cu layer.

  13. Transparent conductive ITO/Cu/ITO films prepared on flexible substrates at room temperature

    Science.gov (United States)

    Ding, Xingwei; Yan, Jinliang; Li, Ting; Zhang, Liying

    2012-01-01

    Transparent conductive ITO/Cu/ITO films were deposited on PET substrates by magnetron sputtering using three cathodes at room temperature. Effects of the SiO2 buffer layer and thickness of Cu interlayer on the structural, electrical and optical properties of ITO/Cu/ITO films were investigated. The optical transmittance was affected slightly by SiO2 buffer layer, but the electrical properties of ITO/Cu/ITO films were improved. The transmittance and resistivity of the SiO2/ITO/Cu/ITO films decrease as the Cu layer thickness increases. The ITO/Cu/ITO film with 5 nm Cu interlayer deposited on the 40 nm thick SiO2 buffer layer exhibits the sheet resistance of 143 Ω/sq and transmittance of 65% at 550 nm wavelength. The optical and electrical properties of the ITO/Cu/ITO films were mainly dependent on the Cu layer.

  14. Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.

    Science.gov (United States)

    Tseng, Kuang-Hung; Chen, Kuan-Lung

    2012-08-01

    This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.

  15. Preparation of superhydrophobic poly(methyl methacrylate)-silicon dioxide nanocomposite films

    International Nuclear Information System (INIS)

    Wang Jinyan; Chen Xinhua; Kang Yingke; Yang Guangbin; Yu Laigui; Zhang Pingyu

    2010-01-01

    Superhydrophobic poly(methyl methacrylate)-SiO 2 (coded as PMMA-SiO 2 ) nanocomposite films with micro-nanohierarchical structure were prepared via a simple approach in the absence of low surface-energy compounds. By spin-coating the suspension of hydrophobic silica (SiO 2 ) nanoparticles dispersed in PMMA solution, target nanocomposite films were obtained on glass slides. The wetting behavior of PMMA-SiO 2 nanocomposite films was investigated in relation to the dosage of SiO 2 nanoparticles dispersed in PMMA solution. It was found that hydrophilic PMMA film was transferred to superhydrophobic PMMA-SiO 2 nanocomposite films when hydrophobic SiO 2 nanoparticles were introduced into the PMMA solution at a high enough dosage (0.2 g and above). Resultant PMMA-SiO 2 nanocomposite films had a static water contact angle of above 162 o , showing promising applications in selfcleaning and waterproof for outer wall of building, outer covering for automobile, sanitary wares, and so forth.

  16. Gamma radiation induced sensitization and photo-transfer in Mg2SiO4:Tb TLD phosphor

    International Nuclear Information System (INIS)

    Lakshmanan, A.R.; Vohra, K.G.

    1979-01-01

    Mg 2 SiO 4 :Tb TLD phosphor was found to show enhanced TL sensitivity to both gamma and UV radiations after high pre-gamma exposures (>100 R) and a post-annealing treatment at 300 0 C for 1 h. Maximum sensitization factors of 2.8 and 55 were obtained at the pre-expsoure levels of 5.2x10 1 C/kg and 1.3x10 3 C/kg for gamma and UV test radiations respectively. The near constancy of the intensity of the residual TL (RTL) peak at 500 0 C for the sensitized sample with increasing test-gamma exposures has ruled out the re-trapping model proposed earlier for the gamma radiation induced sensitization in this phosphor. The Tsub(max) for the sensitized phosphor was found to occur at a higher temperature compared to that for the virgin phosphor. The dependence of sensitization on RTL was explained qualitatively on the basis of competition between sensitization traps (having higher energy than the dosimetry traps) and RTL traps while capturing the charge carriers generated during the test-gamma exposure. The sensitization observed in this phosphor to UV test radiation was found to be a consequence of the photo-transfer of charge carriers from deep (RTL) traps to the shallow (dosimetry) traps. The reduction in RTL peak (500 0 C) intensity of the sensitized sample with increasing test-UV exposure has demonstrated the photo-transfer mechanism in this phosphor. The TL response of the virgin Mg 2 SiO 4 :Tb phosphor was found to be supralinear to both gamma and UV radiations. The TL response of the sensitized phosphor was found to be linear to gamma radiation and sublinear to UV radiation. (Auth.)

  17. Laser-induced short- and long-range orderings of Co nanoparticles on SiO2

    International Nuclear Information System (INIS)

    Favazza, C.; Trice, J.; Krishna, H.; Kalyanaraman, R.; Sureshkumar, R.

    2006-01-01

    Laser irradiation of ultrathin Co films leads to pattern formation by dewetting with short-range order (SRO) as well as long-range order (LRO). When a 1.5 nm thick Co film is irradiated by a single laser beam, a monomodal size distribution of particles with average diameter of 31±10 nm and nearest-neighbor spacing of 75 nm is observed. Moreover, melting by two-beam interference irradiation produces LRO as well as SRO giving a quasi-two-dimensional arrangement of nanoparticles. The SRO is attributed to spinodal dewetting while the LRO is conjectured to be induced by in-plane interfacial tension gradients. Laser-induced dewetting of metals could be a simple technique to fabricate ordered metal nanoarrays

  18. Ultrathin Microporous SiO2 Membranes Photodeposited on Hydrogen Evolving Catalysts Enabling Overall Water Splitting

    KAUST Repository

    Bau, Jeremy A.; Takanabe, Kazuhiro

    2017-01-01

    Semiconductor systems for photocatalytic overall water splitting into H2 and O2 gases typically require metal cocatalyst particles, such as Pt, to efficiently catalyze H2 evolution. However, such metal catalyst surfaces also serve as recombination sites for H2 and O2, forming H2O. We herein report the photon-induced fabrication of microporous SiO2 membranes that can selectively restrict passage of O2 and larger hydrated ions while allowing penetration of protons, water, and H2. The SiO2 layers were selectively photodeposited on Pt nanoparticles on SrTiO3 photocatalyst by using tetramethylammonium (TMA) as a structure-directing agent (SDA), resulting in the formation of core–shell Pt@SiO2 cocatalysts. The resulting photocatalyst exhibited both improved overall water splitting performance under irradiation and with no H2/O2 recombination in the dark. The function of the SiO2 layers was investigated electrochemically by fabricating the SiO2 layers on a Pt electrode via an analogous cathodic deposition protocol. The uniform, dense, yet amorphous layers possess microporosity originating from ring structures formed during the hydrolysis of the silicate precursor in the presence of TMA, suggesting a double-role for TMA in coordinating silicate to cathodic surfaces and in creating a microporous material. The resulting layers were able to function as a molecular sieve, allowing for exclusive H2 generation while excluding unwanted side reactions by O2 or ferricyanide. The SiO2 layer is stable for extended periods of time in photocatalytic conditions, demonstrating promise as a nontoxic material for selective H2 evolution.

  19. Ultrathin Microporous SiO2 Membranes Photodeposited on Hydrogen Evolving Catalysts Enabling Overall Water Splitting

    KAUST Repository

    Bau, Jeremy A.

    2017-10-17

    Semiconductor systems for photocatalytic overall water splitting into H2 and O2 gases typically require metal cocatalyst particles, such as Pt, to efficiently catalyze H2 evolution. However, such metal catalyst surfaces also serve as recombination sites for H2 and O2, forming H2O. We herein report the photon-induced fabrication of microporous SiO2 membranes that can selectively restrict passage of O2 and larger hydrated ions while allowing penetration of protons, water, and H2. The SiO2 layers were selectively photodeposited on Pt nanoparticles on SrTiO3 photocatalyst by using tetramethylammonium (TMA) as a structure-directing agent (SDA), resulting in the formation of core–shell Pt@SiO2 cocatalysts. The resulting photocatalyst exhibited both improved overall water splitting performance under irradiation and with no H2/O2 recombination in the dark. The function of the SiO2 layers was investigated electrochemically by fabricating the SiO2 layers on a Pt electrode via an analogous cathodic deposition protocol. The uniform, dense, yet amorphous layers possess microporosity originating from ring structures formed during the hydrolysis of the silicate precursor in the presence of TMA, suggesting a double-role for TMA in coordinating silicate to cathodic surfaces and in creating a microporous material. The resulting layers were able to function as a molecular sieve, allowing for exclusive H2 generation while excluding unwanted side reactions by O2 or ferricyanide. The SiO2 layer is stable for extended periods of time in photocatalytic conditions, demonstrating promise as a nontoxic material for selective H2 evolution.

  20. SiO2 Glass Density to Lower-Mantle Pressures

    DEFF Research Database (Denmark)

    Petitgirard, Sylvain; Malfait, Wim J.; Journaux, Baptiste

    2017-01-01

    and present Earth. SiO2 is the main constituent of Earth's mantle and is the reference model system for the behavior of silicate melts at high pressure. Here, we apply our recently developed x-ray absorption technique to the density of SiO2 glass up to 110 GPa, doubling the pressure range...... for such measurements. Our density data validate recent molecular dynamics simulations and are in good agreement with previous experimental studies conducted at lower pressure. Silica glass rapidly densifies up to 40 GPa, but the density trend then flattens to become asymptotic to the density of SiO2 minerals above 60...... GPa. The density data present two discontinuities at similar to 17 and similar to 60 GPa that can be related to a silicon coordination increase from 4 to a mixed 5/6 coordination and from 5/6 to sixfold, respectively. SiO2 glass becomes denser than MgSiO3 glass at similar to 40 GPa, and its density...

  1. Concentration effect of Tm3+ on cathodoluminescence properties of SiO2: Tm3+ and SiO2:Ho3+, Tm3+ systems

    CSIR Research Space (South Africa)

    Dhlamini, MS

    2012-05-01

    Full Text Available .physb.2011.09.091 Concentration effect of Tm3+ on cathodoluminescence properties of SiO2: Tm 3+ and SiO2:Ho 3+, Tm3+ systems M.S. Dhlamini, G.H. Mhlongo, H.C. Swart, O.M. Ntwaeaborwa, K.T. Hillie ABSTRACT: Cathodoluminescence (CL) properties of Si...O2 powders activated with thulium (Tm3+) and holmium (Ho3+) ions prepared by a sol–gel process were investigated. Different molar concentrations of Tm3+ co-doped with Ho3+ were studied. The 460 nm peak was monitored and the influence of the beam...

  2. Analysis of intensities of positive and negative ion species from silicon dioxide films using time-of-flight secondary ion mass spectrometry and electronegativity of fragments

    International Nuclear Information System (INIS)

    Chiba, Kiyoshi

    2010-01-01

    Intensities of positive and negative ion species emitted from thermally oxidized and plasma-enhanced chemical vapor deposited (PECVD) SiO 2 films were analyzed using time-of-flight secondary ion mass spectrometry (TOF-SIMS) and the Saha-Boltzmann equation. Intensities of positive and negative secondary ion species were normalized to those of 28 Si + and 28 Si - ions, respectively, and an effective temperature of approximately (7.2 ± 0.1) x 10 3 K of the sputtered region bombarded with pulsed 22 kV Au 3 + primary ions was determined. Intensity spectra showed polarity dependence on both n and m values of Si n O m fragments, and a slight shift to negative polarity for PECVD SiO 2 compared to thermally oxidized SiO 2 films. By dividing the intensity ratios of negative-to-positive ions for PECVD SiO 2 by those for thermally oxidized SiO 2 films to cancel statistical factors, the difference in absolute electronegativity (half the sum of ionization potential and electron affinity of fragments) between both films was obtained. An increase in electronegativity for SiO m (m = 1, 2) and Si 2 O m (m = 1-4) fragments for PECVD SiO 2 films compared to thermally oxidized films was obtained to be 0.1-0.2 Pauling units, indicating a more covalent nature of Si-O bonds for PECVD SiO 2 films compared to the thermally oxidized SiO 2 films.

  3. Laser irradiation and thermal treatment inducing selective crystallization in Sb2O3-Sb2S3 glassy films

    Science.gov (United States)

    Avila, L. F.; Pradel, A.; Ribeiro, S. J. L.; Messaddeq, Y.; Nalin, M.

    2015-02-01

    The influence of both thermal treatment and laser irradiation on the structural and optical properties of films in the Sb2O3-Sb2S3 system was investigated. The films were prepared by RF-sputtering using glass compositions as raw materials. Irreversible photodarkening effect was observed after exposure the films to a 458 nm solid state laser. It is shown, for the first time, the use of holographic technique to measure "in situ", simultaneously and independently, the phase and amplitude modulations in glassy films. The films were also photo-crystallized and analysed "in situ" using a laser coupled to a micro-Raman equipment. Results showed that Sb2S3 crystalline phase was obtained after irradiation. The effect of thermal annealing on the structure of the films was carried out. Different from the result obtained by irradiation, thermal annealing induces the crystallization of the Sb2O3 phase. Photo and thermal induced effects on films were studied using UV-Vis and Raman spectroscopy, atomic force microscopy (AFM), thermal analysis (DSC), X-ray diffraction, scanning electron microscopy (MEV) and energy-dispersive X-ray spectroscopy (EDX).

  4. Adsorption of cationic dye on a biohybrid SiO2-alginate

    International Nuclear Information System (INIS)

    Barrón Zambrano, J A; Ávila Ortega, A; Muñoz Rodríguez, D; Carrera Figueiras, C; López-Pérez, A J

    2013-01-01

    In this work, a biohybrid material based on SiO 2 -alginate was obtained and its properties of adsorption evaluated using methylene blue as a model dye. The experimental results showed that the biohybrid SiO 2 -alginate has a higher adsorption ability compared to their base compounds (SiO 2 and alginate). Methylene blue adsorption is pH dependent, resulting in a maximum adsorption at pH = 8. The sorption kinetics rate is similar to SiO 2 . Kinetic data were fitted to a model of pseudosecond order. The experimental isotherms fit well the Langmuir model.

  5. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  6. SiO2-coated LiNi0.915Co0.075Al0.01O2 cathode material for rechargeable Li-ion batteries.

    Science.gov (United States)

    Zhou, Pengfei; Zhang, Zhen; Meng, Huanju; Lu, Yanying; Cao, Jun; Cheng, Fangyi; Tao, Zhanliang; Chen, Jun

    2016-11-24

    We reported a one-step dry coating of amorphous SiO 2 on spherical Ni-rich layered LiNi 0.915 Co 0.075 Al 0.01 O 2 (NCA) cathode materials. Combined characterization of XRD, EDS mapping, and TEM indicates that a SiO 2 layer with an average thickness of ∼50 nm was uniformly coated on the surface of NCA microspheres, without inducing any change of the phase structure and morphology. Electrochemical tests show that the 0.2 wt% SiO 2 -coated NCA material exhibits enhanced cyclability and rate properties, combining with better thermal stability compared with those of pristine NCA. For example, 0.2 wt% SiO 2 -coated NCA delivers a high specific capacity of 181.3 mA h g -1 with a capacity retention of 90.7% after 50 cycles at 1 C rate and 25 °C. Moreover, the capacity retention of this composite at 60 °C is 12.5% higher than that of pristine NCA at 1 C rate after 50 cycles. The effects of SiO 2 coating on the electrochemical performance of NCA are investigated by EIS, CV, and DSC tests, the improved performance is attributed to the surface coating layer of amorphous SiO 2 , which effectively suppresses side reactions between NCA and electrolytes, decreases the SEI layer resistance, and retards the growth of charge-transfer resistance, thus enhancing structural and cycling stability of NCA.

  7. [Effect of TiO2-SiO2-SnOx film with different firing temperatures on bond strength of low-fusing dental porcelain to pure titanium].

    Science.gov (United States)

    Zhang, Zichuan; Zhang, Pei

    2015-07-01

    To evaluate the influence of TiO(2)-SiO(2)-SnOx nano-coatings with different firing temperatures on the bond strength of low-fusing dental porcelain to pure titanium. The surface of pure titanium was coated uniformly with TiO(2)-SiO(2)-SnOx nano-coatings by solution-gelatin (Sol-Gel) technology and then fired at 300 °C (group A) or 750 °C (group B) for 1 h. The specimens without any coatings were the control group (group C). There were 10 specimens in each group. Dental porcelain was sintered on the surface of titanium specimens. Surface roughness and contact angle of the coatings were also detected. The titanium-porcelain bond strength was investigated according to YY 0621-2008 standards using three-point flexure bond test. The phase composition of the TiO(2)-SiO(2)-SnOx nano-coatings was characterized by X-ray diffraction(XRD). The interface of titanium-porcelain and TiO(2)-SiO(2)-SnOx nano-coatings were observed using scanning electron microscope (SEM). No rutile phase was found in these specimens of group A and group B. The surface roughness of group A, B, C was (0.97 ± 0.06), (0.99 ± 0.03), (0.96 ± 0.07) µm, respectively. No significant difference was found among the three groups. Compared with that of group C (64.37° ± 3.01°), contact angles detected in group A (52.04° ± 3.15°) and group B (85.27° ± 4.17°) were significantly different (P porcelain in group A [(35.66 ± 2.65) MPa] was significantly increased compared with those in group B [(26.18 ± 2.22) MPa] and group C [(31.66 ± 3.52) MPa]. SEM photomicrographs of titanium-porcelain interface morphology of the specimens before porcelain sintering showed that TiO(2)-SiO(2)-SnOx nano-coatings in group A were compact and homogeneous with petty cracks and those in group B was loose and arranged disorderly. TiO(2)-SiO(2)-SnOx nano-coating fired at 300 °C is significantly effective in improving the titanium-porcelain bond strength.

  8. Incorporation of sol-gel SnO2:Sb into nanoporous SiO2

    International Nuclear Information System (INIS)

    Canut, B.; Blanchin, M.G.; Ramos-Canut, S.; Teodorescu, V.; Toulemonde, M.

    2006-01-01

    Silicon oxide films thermally grown on Si(1 0 0) wafers were irradiated with 200 MeV 197 Au ions in the 10 9 -10 1 cm -2 fluence range. The targets were then etched at room temperature in aqueous HF solution (1 vol.%) for various durations. Atomic force microscopy (AFM) in the tapping mode was used to probe the processed surfaces. Conical holes with a low size dispersion were evidenced. Their surface diameter varies between 20 and 70 nm, depending on the etching time. Sol-gel dip coating technique, associated with a further annealing treatment performed at 500 o C for 15 min, was used to fill the nanopores created in SiO 2 with a transparent conductive oxide (SnO 2 doped with antimony). Transmission electron microscopy (TEM) performed on cross-sectional specimen showed that SnO 2 :Sb crystallites of ∼5 nm mean size are trapped in the holes without degrading their geometry

  9. Network rigidity and properties of SiO2 and GeO2 glasses under pressure.

    Science.gov (United States)

    Trachenko, Kostya; Dove, Martin T; Brazhkin, Vadim; El'kin, F S

    2004-09-24

    We report in situ studies of SiO2 glass under pressure and find that temperature-induced densification takes place in a pressure window. To explain this effect, we study how rigidity of glasses changes under pressure, with rigidity percolation affecting the dynamics of local relaxation events. We link rigidity percolation in glasses to other effects, including a large increase of crystallization temperature and logarithmic relaxation under pressure.

  10. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  11. Study on Formation Mechanism of Fayalite (Fe2SiO4) by Solid State Reaction in Sintering Process

    Science.gov (United States)

    Wang, Zhongbing; Peng, Bing; Zhang, Lifeng; Zhao, Zongwen; Liu, Degang; Peng, Ning; Wang, Dawei; He, Yinghe; Liang, Yanjie; Liu, Hui

    2018-04-01

    The sintering behaviors among SiO2, FeS and Fe3O4 were detected to reveal the formation mechanism of Fe2SiO4. The results indicated that the formation mechanism is divided into five steps: (1) migration of O2- induced by S2- under a reducing atmosphere; (2) formation of Fe3O4- β ; (3) migration of Fe(II) into a ferrite cluster structure to gain oxygen and form Fe3- x O4; (4) Fe(II) invaded the silicon atomic position and released Si(IV); and (5) formation of the stable structure of Fe2SiO4 through chemical diffusion between cations of Fe(II) and Si(IV). These findings can provide theoretical support for controlling the process of the recovery of valuable metals in copper slag through the combined roasting modification-magnetic separation process.

  12. Green-emissive transparent BaSi 2O 5:Eu 2 + film phosphor on quartz glass created by a sputtering thermal diffusion process

    Science.gov (United States)

    Seo, K. I.; Park, J. H.; Kim, J. S.; Na, Y. H.; Choi, J. C.; Bae, J. S.

    2009-10-01

    Eu 2+-doped BaSi 2O 5 film phosphors on quartz substrates are fabricated by radio-frequency magnetron sputtering thermal diffusion. The BaSi 2O 5: Eu 2+ phosphor crystals have some preferred orientations that are lattice-spacing matched with the crystallized β- SiO 2 crystals, and they show pore and grain boundary-free morphology with a rod-like shape fused into the crystallized β- SiO 2 crystals. The BaSi 2O 5: Eu 2+ film phosphor has a high transparency, with a transmittance of about 30% in visible light. The BaSi 2O 5: Eu 2+ film phosphor shows 510 nm green emission from the f-d transition of the Eu 2+ ions, and in particular the best sample shows a green photoluminescence brightness of about 5% of a BaSi 2O 5: Eu 2+ powder phosphor screen. These excellences in optical properties can be explained by less optical scattering at pores or grain boundaries, and less reflection at the continuously index-changed interface.

  13. Liquidus Temperature of SrO-Al2O3-SiO2 Glass-Forming Compositions

    DEFF Research Database (Denmark)

    Abel, Brett M.; Morgan, James M.; Mauro, John C.

    2013-01-01

    . In the composition range of interest for industrial glasses, Tliq tends to decrease with increasing strontium-to-alumina ratio. We find that cristobalite, mullite, and slawsonite are the dominant devitrification phases for the compositions with high SiO2, SiO2+Al2O3, and SrO contents, respectively. By comparison...... with the phase diagrams for CaO-Al2O3-SiO2 and MgO-Al2O3-SiO2 systems, we have found that for the highest [RO]/[Al2O3] ratios, Tliq exhibits a minimum value for R = Ca. Based on the phase diagram established here, the composition of glass materials, for example, for liquid crystal display substrates, belonging...... to the SrO-Al2O3-SiO2 family may be designed with a more exact control of the glass-forming ability by avoiding the regions of high liquidus temperature....

  14. Fabrication and properties of highly luminescent materials from Tb(OH)3-SiO2 and Tb(OH)3-SiO2:Eu3+ nanotubes

    International Nuclear Information System (INIS)

    Tran Thu Huong; Tran Kim Anh; Le Quoc Minh

    2009-01-01

    Luminescent nanomaterials with one-dimensional (1D) structures have attracted much attention due to their unique properties and potential applications in nanophotonics and nanobiophotonics. In this paper, we report a synthesis of terbium - hydroxide - at - silica Tb(OH) 3 -SiO 2 and Tb(OH) 3 -SiO 2 :Eu 3+ nanotubes. Terbium - hydroxide tubes were synthesized by soft template method. The size of the tubes can be controlled precisely and have outer diameters ranging from 80 to 120 nm, wall thickness of about 30 nm, and lengths ranging from 300 to 800 nm. To fabricate core/shell materials, the seed growth method is used. FESEM, X-ray diffraction, Raman spectra of Tb(OH) 3 and Tb(OH) 3 -SiO 2 nanotubes were investigated. The photoluminescence (PL) spectrum of Tb(OH) 3 under 325 nm excitation consists of four main peaks at 488, 542, 582, and 618 nm. Furthermore, a preliminary suggestion for the mechanism of growth of the Tb(OH) 3 nanotubes using the soft - template synthesis technique has been proposed. The PL intensity from Tb(OH) 3 -SiO 2 or Tb(OH) 3 -SiO 2 :Eu 3+ nanotubes is much stronger than that of Tb(OH) 3 .

  15. Investigation of humidity-dependent nanotribology behaviors of Si(1 0 0)/SiO2 pair moving from stick to slip

    International Nuclear Information System (INIS)

    Yu Jiaxin; Chen Lei; Qian Linmao; Song Danlu; Cai Yong

    2013-01-01

    Highlights: ► The effect of humidity on the motion behavior of Si(1 0 0)/SiO 2 pair was clarified. ► With increase in humidity, adhesion force increases slowly firstly, then sharply. ► With increase in humidity, friction force increases sharply firstly, then slowly. ► The wear degree of Si is relative to the physical state of absorbed water film. ► The tribochemical reaction of Si(1 0 0) in humid air was verified by ToF-SIMS. - Abstract: With an atomic force microscopy, the humidity-dependent nanotribology behaviors of Si(1 0 0) against SiO 2 microsphere were investigated while the relative movement translated from stick to slip. The relative humidity RH of air exhibits a strong effect on the motion behavior of Si(1 0 0)/SiO 2 pair. With the increase in RH, relative movement of Si(1 0 0)/SiO 2 pair is easier to keep into stick state, namely, the relative slip becomes more difficult to occur in a higher humidity range. The adhesion F a will increase with the increase in RH in the given humidity range. In the low RH range ( a increases very slowly. However, in relative higher RH range (>20%), F a increases very sharply once ‘liquid-like’ adsorbed water layer forms, because it increases the capillary force. The initial friction forces F t of Si(1 0 0)/SiO 2 pair also increase with the increase in RH in the given humidity range. However, different from F a , it increases sharply in the low RH range ( 30%). During the cyclic friction process, under the higher RH, relative stable tangential force is easier to be observed at higher displacement amplitude, here, the relative movement usually keeps into stick state. With the increase in RH, the surface damage of Si(1 0 0) transforms from mechanical deformation (forming hillock) to tribochemical wear (material removal). The tribochemical wear is sensitive to the absorbed water film with ‘solid-like’ structure, here, the wear volume increases drastically in this RH range (<20%); further increase of wear is

  16. Long lasting yellow phosphorescence and photostimulated luminescence in Sr3SiO5 : Eu2+ and Sr3SiO5 : Eu2+, Dy3+ phosphors

    International Nuclear Information System (INIS)

    Sun Xiaoyuan; Zhang Jiahua; Zhang Xia; Luo Yongshi; Wang Xiaojun

    2008-01-01

    We report the observation of long lasting yellow phosphorescence and photostimulated luminescence (PSL) in Sr 3 SiO 5 : Eu 2+ and Sr 3 SiO 5 : Eu 2+ , Dy 3+ phosphors. The decay patterns of phosphorescence and thermoluminescence curves demonstrate that introduction of Dy 3+ into Sr 3 SiO 5 : Eu 2+ can generate a large number of shallow traps and deep traps. The generated deep traps prolong the phosphorescence up to 6 h after UV irradiation. The PSL is studied under 808 nm excitation. Slow rising and falling edges of the emission in Sr 3 SiO 5 : Eu 2+ , Dy 3+ are observed, showing a retrapping process by the generated shallow traps due to co-doping Dy 3+ .

  17. Effects of SiO2 encapsulation and laser processing on single CdTe/ZnTe quantum dots grown on Si (001) substrates

    International Nuclear Information System (INIS)

    Lee, Hong Seok; Rastelli, Armando; Schmidt, Oliver G.; Kim, Tae Whan; Lee, In Won

    2011-01-01

    Micro-photoluminescence (μ-PL) measurements are carried out to investigate the effects of SiO 2 encapsulation and laser processing of single CdTe/ZnTe quantum dots (QDs) grown on Si (001) substrates by using molecular beam epitaxy and atomic layer epitaxy. After laser processing, the μ-PL peak shift for the 200-nm SiO 2 capped single QD is larger than that of the as-grown sample. The large μ-PL peak shift in the 200-nm SiO 2 capped sample is related to the compressive stress induced by the ZnTe cap layer during laser processing. These results indicate that SiO 2 encapsulation and laser processing represent effective methods for achieving local wavelength tuning in single QDs.

  18. Enhanced Photocatalytic Activity of ZrO2-SiO2 Nanoparticles by Platinum Doping

    Directory of Open Access Journals (Sweden)

    Mohammad W. Kadi

    2013-01-01

    Full Text Available ZrO2-SiO2 mixed oxides were prepared via the sol-gel method. Photo-assisted deposition was utilized for doping the prepared mixed oxide with 0.1, 0.2, 0.3, and 0.4 wt% of Pt. XRD spectra showed that doping did not result in the incorporation of Pt within the crystal structure of the material. UV-reflectance spectrometry showed that the band gap of ZrO2-SiO2 decreased from 3.04 eV to 2.48 eV with 0.4 wt% Pt doping. The results show a specific surface area increase of 20%. Enhanced photocatalysis of Pt/ZrO2-SiO2 was successfully tested on photo degradation of cyanide under illumination of visible light. 100% conversion was achieved within 20 min with 0.3 wt% of Pt doped ZrO2-SiO2.

  19. Stress in ion-beam assisted silicon dioxide and tantalum pentoxide thin films

    International Nuclear Information System (INIS)

    Sirotkina, Natalia

    2003-01-01

    Ta 2 O 5 and SiO 2 thin films, deposited at room temperature by ion-beam sputtering (IBS) and dual ion-beam sputtering (DIBS), and SiO 2 films, deposited by reactive e-beam evaporation and ion-assisted deposition, were studied. The energy (150-600 eV) and ion-to-atom arrival ratio (0.27-2.0) of assisting argon and oxygen ions were varied. Influence of deposition conditions (deposition system geometry, nature and amount of gas in the chamber, substrate cleaning and ion-assistance parameters) on films properties (stress, composition, refractive index n 500nm and extinction coefficient k 500nm ) was investigated. A scanning method, based on substrate curvature measurements by laser reflection and stress calculation using the Stoney equation, was employed. RBS showed that stoichiometric Ta 2 O 5 films contain impurities of Ar, Fe and Mo. Stoichiometric SiO 2 films also contain Ta impurity. Argon content increases with ion bombardment and, at maximum incorporation, argon bubbles are registered by TEM. XPS studies are complicated by surface contaminations and preferential sputtering. Evaporated SiO 2 films show +100 MPa stress (+ is tensile, - compressive). With 300 eV Ar + bombardment, stress changes to -200 MPa, n 500nm decreases (1.56-1.49) and k 500nm increases (1.4x10 -4 - 1.8x10 -3 ). Of all studied IBS conditions, stress in SiO 2 (-560 MPa) and Ta 2 O 5 (-350 MPa) films depends only on sputtering gas species and oxygen entry point into the chamber. With argon and oxygen bombardment stress in IBS SiO 2 films decreases to -380 MPa and below the stress measurement system resolution, respectively. While Ar + bombardment of Ta 2 O 5 films leads to increase in stress to -490 MPa, the effect of oxygen assistance depends on ion energy. The observed behaviour was related to the total recoil density. In DIBS SiO 2 and Ta 2 O 5 films n 500nm varies in the region of 1.5-1.59 and 2.13-2.20 and k 500nm is below 5.5x10 -3 and 8.5x10 -3 , respectively. The refractive index

  20. Effects of RGD immobilization on light-induced cell sheet detachment from TiO{sub 2} nanodots films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Kui; Wang, Tiantian [School of Materials Science and Engineering, State Key Laboratory of Silicon Materials, Cyrus Tang Center for Sensor Materials and Applications, Zhejiang University, Hangzhou 310027 (China); Yu, Mengliu [The Affiliated Stomatologic Hospital, Zhejiang University, Hangzhou 310003 (China); The First Affiliated Hospital of Medical College, Zhejiang University, Hangzhou, 310003 (China); Wan, Hongping [School of Materials Science and Engineering, State Key Laboratory of Silicon Materials, Cyrus Tang Center for Sensor Materials and Applications, Zhejiang University, Hangzhou 310027 (China); Lin, Jun [The First Affiliated Hospital of Medical College, Zhejiang University, Hangzhou, 310003 (China); Weng, Wenjian, E-mail: wengwj@zju.edu.cn [School of Materials Science and Engineering, State Key Laboratory of Silicon Materials, Cyrus Tang Center for Sensor Materials and Applications, Zhejiang University, Hangzhou 310027 (China); The Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China); Wang, Huiming, E-mail: hmwang1960@hotmail.com [The Affiliated Stomatologic Hospital, Zhejiang University, Hangzhou 310003 (China); The First Affiliated Hospital of Medical College, Zhejiang University, Hangzhou, 310003 (China)

    2016-06-01

    Light-induced cell detachment is reported to be a safe and effective cell sheet harvest method. In the present study, the effects of arginine–glycine–aspartic acid (RGD) immobilization on cell growth, cell sheet construction and cell harvest through light illumination are investigated. RGD was first immobilized on TiO{sub 2} nanodots films through simple physical adsorption, and then mouse pre-osteoblastic MC3T3-E1 cells were seeded on the films. It was found that RGD immobilization promoted cell adhesion and proliferation. It was also observed that cells cultured on RGD immobilized films showed relatively high level of pan-cadherin. Cells harvested with ultraviolet illumination (365 nm) showed good viability on both RGD immobilized and unmodified TiO{sub 2} nanodot films. Single cell detachment assay showed that cells detached more quickly on RGD immobilized TiO{sub 2} nanodot films. That could be ascribed to the RGD release after UV365 illumination. The current study demonstrated that RGD immobilization could effectively improve both the cellular responses and light-induced cell harvest. - Highlights: • RGD immobilization on TiO{sub 2} nanodots film favors light-induced cell sheet detachment. • Physically adsorbed RGD detaches from the film through ultraviolet illumination. • RGD detachment promotes cells and cell sheets detachment.

  1. Liquid-phase-deposited SiO2 on AlGaAs and its application

    International Nuclear Information System (INIS)

    Lee, Kuan-Wei; Huang, Jung-Sheng; Lu, Yu-Lin; Lee, Fang-Ming; Lin, Hsien-Cheng; Huang, Jian-Jun; Wang, Yeong-Her

    2011-01-01

    The silicon dioxide (SiO 2 ) on AlGaAs prepared by liquid phase deposition (LPD) at 40 °C has been explored. The LPD-SiO 2 film deposition rate is about 67 nm h −1 for the first hour. The leakage current density is about 1.21 × 10 −6 A cm −2 at 1 MV cm −1 . The interface trap density (D it ) and the flat-band voltage shift (ΔV FB ) are 1.28 × 10 12 cm −2 eV −1 and 0.5 V, respectively. After rapid thermal annealing in the N 2 ambient at 300 °C for 1 min, the leakage current density, D it , and ΔV FB can be improved to 4.24 × 10 −7 A cm −2 at 1 MV cm −1 , 1.7 × 10 11 cm −2 eV −1 , and 0.2 V, respectively. Finally, this study demonstrates the application of the LPD-SiO 2 film to the AlGaAs/InGaAs pseudomorphic high-electron-mobility transistor

  2. Electroless Ni-Mo-P diffusion barriers with Pd-activated self-assembled monolayer on SiO2

    International Nuclear Information System (INIS)

    Liu Dianlong; Yang Zhigang; Zhang Chi

    2010-01-01

    Ternary Ni-based amorphous films can serve as a diffusion barrier layer for Cu interconnects in ultralarge-scale integration (ULSI) applications. In this paper, electroless Ni-Mo-P films deposited on SiO 2 layer without sputtered seed layer were prepared by using Pd-activated self-assembled monolayer (SAM). The solutions and operating conditions for pretreatment and deposition were presented, and the formation of Pd-activated SAM was demonstrated by XPS (X-ray photoelectron spectroscopy) analysis and BSE (back-scattered electron) observation. The effects of the concentration of Na 2 MoO 4 added in electrolytes, pH value, and bath temperature on the surface morphology and compositions of Ni-Mo-P films were investigated. The microstructures, diffusion barrier property, electrical resistivity, and adhesion were also examined. Based on the experimental results, the Ni-Mo-P alloys produced by using Pd-activated SAM had an amorphous or amorphous-like structure, and possessed good performance as diffusion barrier layer.

  3. Microwave-assisted synthesis and characterization of poly(acrylic)/SiO2-TiO2 core-shell nanoparticle hybrid thin films

    International Nuclear Information System (INIS)

    Chien, Wen-Chen; Yu, Yang-Yen; Chen, Po-Kan; Yu, Hui-Huan

    2011-01-01

    In this study, poly(acrylic)/SiO 2 -TiO 2 core-shell nanoparticle hybrid thin films were successfully synthesized by microwave-assisted polymerization. The coupling agent 3-(trimethoxysilyl) propyl methacrylate (MSMA) was hydrolyzed with colloidal SiO 2 -TiO 2 core-shell nanoparticles, and then polymerized with two acrylic monomers and initiator to form a precursor solution. The results of this study showed that the spin-coated hybrid films had relatively good surface planarity, high thermal stability, a tunable refractive index (1.525 2 -TiO 2 core-shell nanoparticle hybrid thin films, for potential use in optical applications.

  4. Facile preparation of polyethylenimine-tannins coated SiO2 hybrid materials for Cu2+ removal

    Science.gov (United States)

    Huang, Qiang; Liu, Meiying; Zhao, Jiao; Chen, Junyu; Zeng, Guangjian; Huang, Hongye; Tian, Jianwen; Wen, Yuanqing; Zhang, Xiaoyong; Wei, Yen

    2018-01-01

    Polyethylenimine-tannins coated SiO2 (SiO2@PEI-TA) hybrid materials have been prepared via a single-step multifunctional coating with polyethylenimine (PEI) and tannins (TA), and characterized by transmission electron microscope (TEM), Fourier transform infrared spectroscopy (FT-IR), thermogravimetric analysis (TGA), and X-ray photoelectron spectroscopy (XPS). The as-prepared SiO2@PEI-TA composites were examined as adsorbents to remove the Cu2+ from aqueous solution. The effects of contact time, initial Cu2+ concentration, solution pH and temperature, on Cu2+ adsorption have been investigated. The results show that the adsorption of Cu2+ onto SiO2@PEI-TA is dependent on the contact time, Cu2+ concentration, pH and temperature. The SiO2@PEI-TA composites show a 2.4-fold increase in adsorption capacity, implying that the introduction of PEI-TA coating is in favor of the Cu2+ adsorption. Based on the analysis of kinetic data, the kinetics of Cu2+ adsorption is more accurately described by the pseudo-second-order model. The equilibrium data are analyzed by Langmuir and Freundlich isotherms. Results of isotherms show that the better agreement is Freundlich isotherm model with correlation coefficient of 0.9914, which suggests that the adsorption of Cu2+ onto SiO2@PEI-TA is mainly a heterogeneous adsorption process. Thermodynamic analyses show that the adsorption interaction is actually a spontaneous and endothermic chemical process, which might involve the chemical chelation between Cu2+ and functional groups (amine and carboxyl groups) on the surface of SiO2@PEI-TA. In addition, the Cu2+ ions could desorb from SiO2@PEI-TA by using acid solution and the adsorption efficiency remains at high level after five adsorption-desorption recycles. These results provide potential applications of these novel adsorbents for the removal of heavy metal Cu2+ from aqueous solution and also provide strong evidence to support the adsorption mechanism proposed in the study.

  5. Sol-Gel SiO2-CaO-P2O5 biofilm with surface engineered for medical application

    Directory of Open Access Journals (Sweden)

    Sonia Regina Federman

    2007-06-01

    Full Text Available Sol-gel film in the SiO2-CaO-P2O5 system was prepared from TEOS, TEP, alcohol and hydrated calcium nitrate in an acidic medium. The coatings were deposited on stainless steel using the dip-coating technique. After deposition, the composite was submitted to heat treatment, at different temperatures and exposure times to investigate the influence of such parameters on the surface morphology of the composite. The coated surfaces were characterized by AFM, SEM and FTIR. The present study showed that the formation of different textures (an important parameter in implant fixation could be controlled by temperature and time of heat treatment.

  6. Light-induced ultrafast phase transitions in VO2 thin film

    International Nuclear Information System (INIS)

    Lysenko, S.; Rua, A.J.; Vikhnin, V.; Jimenez, J.; Fernandez, F.; Liu, H.

    2006-01-01

    Vanadium dioxide shows a passive and reversible change from a monoclinic insulator phase to a metallic tetragonal rutile structure when the sample temperature is close to and over 68 deg. C. As a kind of functional material, VO 2 thin films deposited on fused quartz substrates were successfully prepared by the pulsed laser deposition (PLD) technique. With laser illumination at 400 nm on the obtained films, the phase transition (PT) occurred. The observed light-induced PT was as fast as the laser pulse duration of 100 fs. Using a femtosecond laser system, the relaxation processes in VO 2 were studied by optical pump-probe spectroscopy. Upon a laser excitation an instantaneous response in the transient reflectivity and transmission was observed followed by a relatively longer relaxation process. The alteration is dependent on pump power. The change in reflectance reached a maximum value at a pump pulse energy between 7 and 14 mJ/cm 2 . The observed PT is associated with the optical interband transition in VO 2 thin film. It suggests that with a pump laser illuminating on the film, excitation from the d θ,ε - state of valence band to the unoccupied excited mixed d θ,ε -π* - state of the conduction band in the insulator phase occurs, followed by a resonant transition to an unoccupied excited mixed d θ,ε -π* - state of the metallic phase band

  7. Silica in situ enhanced PVA/chitosan biodegradable films for food packages.

    Science.gov (United States)

    Yu, Zhen; Li, Baoqiang; Chu, Jiayu; Zhang, Peifeng

    2018-03-15

    Non-degradable plastic food packages threaten the security of environment. The cost-effective and biodegradable polymer films with good mechanical properties and low permeability are very important for food packages. Among of biodegradable polymers, PVA/chitosan (CS) biodegradable films have attracted considerable attention because of feasible film forming ability. However, PVA/CS biodegradable films suffered from poor mechanical properties. To improve mechanical properties of PVA/CS biodegradable films, we developed SiO 2 in situ to enhance PVA/CS biodegradable films via hydrolysis of sodium metasilicate in presence of PVA and chitosan solution. The tensile strength of PVA/CS biodegradable films was improved 45% when 0.6 wt.% SiO 2 was incorporated into the films. Weight loss of PVA/CS biodegradable films was 60% after 30 days in the soil. The permeability of oxygen and moisture of PVA/CS biodegradable films was reduced by 25.6% and 10.2%, respectively. SiO 2 in situ enhanced PVA/CS biodegradable films possessed not only excellent mechanical properties, but also barrier of oxygen and water for food packages to extend the perseveration time. Copyright © 2017 Elsevier Ltd. All rights reserved.

  8. Formation and relaxation processes of photoinduced defects in a Ge-doped SiO2 glass

    International Nuclear Information System (INIS)

    Yamaguchi, M.; Saito, K.; Ikushima, A.J.

    2002-01-01

    The defect centers induced by ArF laser irradiation in Ge-doped SiO 2 have been investigated by the electron-spin resonance method. In order to observe formation and relaxation processes of the defects, step annealing has been carried out after the irradiation at 77 K. The thermally induced decay of the self-trapped hole (STH) and formation of the so-called Ge(2) centers have been observed with increasing temperature. The result suggests that the holes are transferred from the STH to the Ge(2)

  9. Preparation and Characterization of SiO2/SiCN Core-shell Ceramic Microspheres

    Directory of Open Access Journals (Sweden)

    ZHANG Hai-yuan

    2017-05-01

    Full Text Available The SiO2/PSN core-shell microspheres were prepared via an emulsion reaction combined with the polymer-derived ceramics (PDCs method using polysilazane (PSN in situ polymerization on the surface of SiO2 modified by silane coupling agents MPS, followed by pyrolysis process to obtain SiO2/SiCN core-shell ceramic microspheres. The effects of raw mass ratio, curing time and pyrolysis temperature on the formation and the morphology of core-shell microspheres were studied. The morphology, chemical composition and phase transformation were characterized by SEM, EDS, TEM, FT-IR and XRD. The results show that after reaction for 4h at 200℃, SiO2 completely coated PSN forms a core-shell microsphere with rough surface when the mass ratio of SiO2 and PSN is 1:4; when pyrolysis temperature is at 800-1200℃, amorphous SiO2/SiCN core-shell ceramic microspheres are prepared; at 1400℃, the amorphous phase partially crystallizes to produce SiO2, SiC and Si3N4 phase.

  10. CoFe2O4-SiO2 Composites: Preparation and Magnetodielectric Properties

    Directory of Open Access Journals (Sweden)

    T. Ramesh

    2016-01-01

    Full Text Available Cobalt ferrite (CoFe2O4 and silica (SiO2 nanopowders have been prepared by the microwave hydrothermal (M-H method using metal nitrates as precursors of CoFe2O4 and tetraethyl orthosilicate as a precursor of SiO2. The synthesized powders were characterized by XRD and FESEM. The (100-x (CoFe2O4 + xSiO2 (where x = 0%, 10%, 20%, and 30% composites with different weight percentages have been prepared using ball mill method. The composite samples were sintered at 800°C/60 min using the microwave sintering method and then their structural and morphological studies were investigated using X-ray diffraction (XRD, Fourier transformation infrared (FTIR spectra, and scanning electron microscopy (SEM, respectively. The effect of SiO2 content on the magnetic and electrical properties of CoFe2O4/SiO2 nanocomposites has been studied via the magnetic hysteresis loops, complex permeability, permittivity spectra, and DC resistivity measurements. The synthesized nanocomposites with adjustable grain sizes and controllable magnetic properties make the applicability of cobalt ferrite even more versatile.

  11. Influence of Different Substrates on Laser Induced Damage Thresholds at 1064 nm of Ta2O5 Films

    International Nuclear Information System (INIS)

    Cheng, Xu; Jian-Yong, Ma; Yun-Xia, Jin; Hong-Bo, He; Jian-Da, Shao; Zheng-Xiu, Fan

    2008-01-01

    Ta 2 O 5 films are prepared on Si, BK7, fused silica, antireflection (AR) and high reflector (HR) substrates by electron beam evaporation method, respectively. Both the optical property and laser induced damage thresholds (LIDTs) at 1064 nm of Ta 2 O 5 films on different substrates are investigated before and after annealing at 673K for 12 h. It is shown that annealing increases the refractive index and decreases the extinction index, and improves the O/Ta ratio of the Ta 2 O 5 films from 2.42 to 2.50. Moreover, the results show that the LIDTs of the Ta 2 O 5 films are mainly correlated with three parameters: substrate property, substoichiometry defect in the films and impurity defect at the interface between the substrate and the films. Details of the laser induced damage models in different cases are discussed

  12. Magnetic nanoparticles induced dielectric enhancement in (La, Gd)2O3: SiO2 composite systems

    Science.gov (United States)

    Kao, T. H.; Mukherjee, S.; Yang, H. D.

    2013-11-01

    Magnetic Gd2O3 and non-magnetic La2O3 nanoparticles (NPs) have been synthesized together with different doping concentrations in SiO2 matrix via sol-gel route calcination at 700 °C and above. Properly annealed NP-glass composite systems show enhancement of dielectric constant and magnetodielectric effect (MDE) near room temperature, depending on superparamagnetic NPs concentrations. From application point of view, the enhancement of dielectric constant along with MDE can be achieved by tuning the NPs size through varying calcination temperature and/or increasing the doping concentration of magnetic rare earth oxide.

  13. Preparation of high laser-induced damage threshold Ta{sub 2}O{sub 5} films

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cheng, E-mail: xucheng@cumt.edu.cn [School of Materials Science and Engineering, China University of Mining and Technology, Xuzhou 221116 (China); Yi, Peng; Fan, Heliang; Qi, Jianwei; Yang, Shuai; Qiang, Yinghuai; Liu, Jiongtian [School of Materials Science and Engineering, China University of Mining and Technology, Xuzhou 221116 (China); Li, Dawei [Key Laboratory of High Power Laser Materials, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, Shanghai 201800 (China)

    2014-08-01

    High laser-induced damage threshold (LIDT) Ta{sub 2}O{sub 5} films were prepared by the sol–gel method using TaCl{sub 5} as a new precursor. The optical properties, surface morphologies, chemical composition, absorption and LIDT of the films were investigated. The results showed that the transparent and homogenous Ta{sub 2}O{sub 5} films had small surface roughness, low absorption and high LIDT even with large number of layers. The maximum LIDT at 1064 nm and 12 ns of the films was 24.8 J/cm{sup 2}. The ion chromatograph and Fourier transform infrared spectrum were used to reveal the functions of the addition of H{sub 2}O{sub 2} in the sol formation. It was shown that H{sub 2}O{sub 2} had two important functions, which were the decrease of Cl element content and the rapid generation of tantalum oxide. The high LIDT achieved was mainly due to the nearly free of defects in the films.

  14. Morphology and growth behavior of O_2-free chemical bath deposited ZnS thin films

    International Nuclear Information System (INIS)

    Jet Meitzner, K.; Tillotson, Brock M.; Siedschlag, Amanda T.; Moore, Frederick G.; Kevan, Stephen D.; Richmond, Geraldine L.

    2015-01-01

    We investigate the role of reagent concentrations and ambient O_2 on the morphology and growth behavior of ZnS thin films grown with the chemical bath deposition method. We investigate the role of substrate on film morphology, and find significant differences between films deposited on SiO_2 versus Si. The films are also sensitive to dissolved O_2 in the bath, as it causes a layer of SiO_2 to form at the ZnS/Si interface during deposition. Degassing of solutions and an N_2 atmosphere are effective to minimize this oxidation, allowing deposition of ZnS films directly onto Si. Under these conditions, we examine film properties as they relate to reagent bath concentrations. As the reagent concentrations are decreased, both the film roughness and growth rate decrease linearly. We also observe deformation and shifting of X-ray diffraction peaks that increases with decreasing reagent concentrations. The shifts are characteristic of lattice compression (caused by the substitution of oxygen for sulfur), and the deformation is characteristic of distortion of the lattice near crystal grain interfaces (caused by tensile stress from interatomic forces between neighboring crystal grains). At the weakest concentrations, the low roughness suggests a mixed growth mode in which both clusters and individual ZnS nanocrystallites contribute to film growth. With increasing reagent concentrations, the growth mode shifts and becomes dominated by deposition of clusters. - Highlights: • We deposit ZnS thin films by chemical bath deposition in an O_2-free environment. • The O_2-free environment is effective to minimize oxidation of the Si substrate. • The dominant growth mechanism changes with reagent concentrations. • Film morphology and composition change with reagent concentrations. • X-ray diffraction reveals tensile stress between ZnS crystal grains.

  15. Positron annihilation studies of the AlOx/SiO2/Si interface in solar cell structures

    International Nuclear Information System (INIS)

    Edwardson, C. J.; Coleman, P. G.; Li, T.-T. A.; Cuevas, A.; Ruffell, S.

    2012-01-01

    Film and film/substrate interface characteristics of 30 and 60 nm-thick AlO x films grown on Si substrates by thermal atomic layer deposition (ALD), and 30 nm-thick AlO x films by sputtering, have been probed using variable-energy positron annihilation spectroscopy (VEPAS) and Doppler-broadened spectra ratio curves. All samples were found to have an interface which traps positrons, with annealing increasing this trapping response, regardless of growth method. Thermal ALD creates an AlO x /SiO x /Si interface with positron trapping and annihilation occurring in the Si side of the SiO x /Si boundary. An induced positive charge in the Si next to the interface reduces diffusion into the oxides and increases annihilation in the Si. In this region there is a divacancy-type response (20 ± 2%) before annealing which is increased to 47 ± 2% after annealing. Sputtering seems to not produce samples with this same electrostatic shielding; instead, positron trapping occurs directly in the SiO x interface in the as-deposited sample, and the positron response to it increases after annealing as an SiO 2 layer is formed. Annealing the film has the effect of lowering the film oxygen response in all film types. Compared to other structural characterization techniques, VEPAS shows larger sensitivity to differences in film preparation method and between as-deposited and annealed samples.

  16. Synthesis of Hollow Nanotubes of Zn2SiO4 or SiO2: Mechanistic Understanding and Uranium Adsorption Behavior.

    Science.gov (United States)

    Tripathi, Shalini; Bose, Roopa; Roy, Ahin; Nair, Sajitha; Ravishankar, N

    2015-12-09

    We report a facile synthesis of Zn2SiO4 nanotubes using a two-step process consisting of a wet-chemical synthesis of core-shell ZnO@SiO2 nanorods followed by thermal annealing. While annealing in air leads to the formation of hollow Zn2SiO4, annealing under reducing atmosphere leads to the formation of SiO2 nanotubes. We rationalize the formation of the silicate phase at temperatures much lower than the temperatures reported in the literature based on the porous nature of the silica shell on the ZnO nanorods. We present results from in situ transmission electron microscopy experiments to clearly show void nucleation at the interface between ZnO and the silica shell and the growth of the silicate phase by the Kirkendall effect. The porous nature of the silica shell is also responsible for the etching of the ZnO leading to the formation of silica nanotubes under reducing conditions. Both the hollow silica and silicate nanotubes exhibit good uranium sorption at different ranges of pH making them possible candidates for nuclear waste management.

  17. SIMULTANEOUS OBSERVATIONS OF SiO AND H2O MASERS TOWARD SYMBIOTIC STARS

    International Nuclear Information System (INIS)

    Cho, Se-Hyung; Kim, Jaeheon

    2010-01-01

    We present the results of simultaneous observations of SiO v = 1, 2, J = 1-0, 29 SiO v = 0, J = 1-0, and H 2 O 6 16 -5 23 maser lines performed with the KVN Yonsei 21 m radio telescope from 2009 November to 2010 January. We searched for these masers in 47 symbiotic stars and detected maser emission from 21 stars, giving the first time detection from 19 stars. Both SiO and H 2 O masers were detected from seven stars of which six were D-type symbiotic stars and one was an S-type star, WRAY 15-1470. In the SiO maser emission, the 28 SiO v = 1 maser was detected from 10 stars, while the v = 2 maser was detected from 15 stars. In particular, the 28 SiO v = 2 maser emission without the v = 1 maser detection was detected from nine stars with a detection rate of 60%, which is much higher than that of isolated Miras/red giants. The 29 SiO v = 0 maser emission was also detected from two stars, H 2-38 and BF Cyg, together with the 28 SiO v = 2 maser. We conclude that these different observational results between isolated Miras/red giants and symbiotic stars may be related with the presence of hot companions in a symbiotic binary system.

  18. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    Science.gov (United States)

    Bansal, Narottam P.; Hyatt, Mark J.

    1989-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  19. The influence of SiO2 Addition on 2MgO-Al2O3-3.3P2O5 Glass

    DEFF Research Database (Denmark)

    Larsen, P.H.; Poulsen, F.W.; Berg, Rolf W.

    1999-01-01

    2MgO-Al2O3-3.3P2O5 glasses with increasing amounts of SiO2 are considered for sealing applications in Solid Oxide Fuel Cells (SOFC). The change in chemical durability under SOFC anode conditions and the linear thermal expansion is measured as functions of the SiO2 concentration. Raman spectroscopy...... analysis of the glasses reveals no sign of important changes in the glass structure upon SiO2 addition. Some increase in glass durability with SiO2 concentration is reported and its cause is discussed....

  20. Electric field induced instabilities in free emulsion films

    Energy Technology Data Exchange (ETDEWEB)

    Tchoukov, P.; Dabros, T. [Natural Resources Canada, Devon, AB (Canada); Mostowfi, F. [Schlumberger DBR Technology Center, Edmonton, AB (Canada); Panchev, N. [Champion Technologies Inc., Houston, TX (United States); Czarnecki, J. [Alberta Univ., Edmonton, AB (Canada). Dept. of Chemical and Materials Engineering

    2009-07-01

    This presentation reported on a study that investigated the mechanism of electric field-induced breakdown of free emulsion films. Instability patterns were observed on the plane of a water-oil-water film following electric polarization. The length-scales of the instabilities were measured by analyzing images immediately after applying the electric field. Linear stability analysis was used to calculate the theoretical dominant wavelengths. The calculated values were found to be in good agreement with measured values. The films were formed in a thin film apparatus modified so that the oil film separated 2 aqueous phase compartments, each in contact with a platinum electrode. This enabled the measurement of disjoining pressure while applying the electric field to the film. It was concluded that breakdown of thin films induced by electric field has many applications, including electrostatic de-emulsification/desalination of crude oil and emulsion stability measurements. It was concluded that electroporation and dielectric breakdown may be responsible for electric field-induced breakdown. This study also presented evidence of an increase in electric field-induced instabilities in emulsion films resulting in rupture. tabs., figs.

  1. Diffusive charge transport in graphene on SiO 2

    Science.gov (United States)

    Chen, J.-H.; Jang, C.; Ishigami, M.; Xiao, S.; Cullen, W. G.; Williams, E. D.; Fuhrer, M. S.

    2009-07-01

    We review our recent work on the physical mechanisms limiting the mobility of graphene on SiO 2. We have used intentional addition of charged scattering impurities and systematic variation of the dielectric environment to differentiate the effects of charged impurities and short-range scatterers. The results show that charged impurities indeed lead to a conductivity linear in density ( σ(n)∝n) in graphene, with a scattering magnitude that agrees quantitatively with theoretical estimates; increased dielectric screening reduces the scattering from charged impurities, but increases the scattering from short-range scatterers. We evaluate the effects of the corrugations (ripples) of graphene on SiO 2 on transport by measuring the height-height correlation function. The results show that the corrugations cannot mimic long-range (charged impurity) scattering effects, and have too small an amplitude-to-wavelength ratio to significantly affect the observed mobility via short-range scattering. Temperature-dependent measurements show that longitudinal acoustic phonons in graphene produce a resistivity that is linear in temperature and independent of carrier density; at higher temperatures, polar optical phonons of the SiO 2 substrate give rise to an activated, carrier density-dependent resistivity. Together the results paint a complete picture of charge carrier transport in graphene on SiO 2 in the diffusive regime.

  2. Laterally enhanced growth of electrodeposited Au to form ultrathin films on nonconductive surfaces

    International Nuclear Information System (INIS)

    Kobayashi, Chiaki; Saito, Mikiko; Homma, Takayuki

    2012-01-01

    We investigated the laterally enhanced growth of electrodeposited Au for fabricating nanogap electrodes. To enhance the lateral growth, we carried out electrodeposition over patterned electrodes onto a SiO 2 surface modified with self-assembled monolayers (SAMs) or dendrimers with amine groups. The morphology and thickness of the Au films were controlled by adjusting deposition conditions such as duration, applied potential, and Au ion concentration in the bath. To investigate the mechanism of the laterally enhanced growth, the surface states of SAM- or dendrimer-modified SiO 2 were analyzed by X-ray photoelectron spectroscopy (XPS). The XPS results indicate the existence of organic molecules and Au ions on the SiO 2 surface, which suggests that laterally enhanced growth is induced by the Au ions coordinated on the amine groups of the organic molecules. To further analyze the mechanism of the laterally enhanced growth, we investigated the relationship between the morphology of the laterally enhanced growth of Au and the amount of Au ions on organic molecules. The laterally enhanced growth of Au is expected to be useful for fabricating thin film nanogap electrodes.

  3. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  4. In-situ observation of equilibrium transitions in Ni films; agglomeration and impurity effects.

    Science.gov (United States)

    Thron, Andrew M; Greene, Peter; Liu, Kai; van Benthem, Klaus

    2014-02-01

    Dewetting of ultra-thin Ni films deposited on SiO2 layers was observed, in cross-section, by in situ scanning transmission electron microscopy. Holes were observed to nucleate by voids which formed at the Ni/SiO2 interface rather than at triple junctions at the free surface of the Ni film. Ni islands were observed to retract, in attempt to reach equilibrium on the SiO2 layer. SiO2 layers with 120 nm thickness were found to limit in situ heating experiments due to poor thermal conductivity of SiO2. The formation of graphite was observed during the agglomeration of ultra-thin Ni films. Graphite was observed to wet both the free surface and the Ni/SiO2 interface of the Ni islands. Cr forms surface oxide layers on the free surface of the SiO2 layer and the Ni islands. Cr does not prevent the dewetting of Ni, however it will likely alter the equilibrium shape of the Ni islands. © 2013 Published by Elsevier B.V.

  5. Selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation.

    Science.gov (United States)

    Miao, Guang; Ye, Feiyan; Wu, Luoming; Ren, Xiaoling; Xiao, Jing; Li, Zhong; Wang, Haihui

    2015-12-30

    This study investigates selective adsorption of thiophenic compounds from fuel over TiO2/SiO2 under UV-irradiation. The TiO2/SiO2 adsorbents were prepared and then characterized by N2 adsorption, X-ray diffraction and X-ray photoelectron spectroscopy. Adsorption isotherms, selectivity and kinetics of TiO2/SiO2 were measured in a UV built-in batch reactor. It was concluded that (a) with the employment of UV-irradiation, high organosulfur uptake of 5.12 mg/g was achieved on the optimized 0.3TiO2/0.7SiO2 adsorbent at low sulfur concentration of 15 ppmw-S, and its adsorption selectivity over naphthalene was up to 325.5; (b) highly dispersed TiO2 served as the photocatalytic sites for DBT oxidation, while SiO2 acted as the selective adsorption sites for the corresponding oxidized DBT using TiO2 as a promoter, the two types of active sites worked cooperatively to achieve the high adsorption selectivity of TiO2/SiO2; (c) The kinetic rate-determining step for the UV photocatalysis-assisted adsorptive desulfurization (PADS) over TiO2/SiO2 was DBT oxidation; (d) consecutive adsorption-regeneration cycles suggested that the 0.3TiO2/0.7SiO2 adsorbent can be regenerated by acetonitrile washing followed with oxidative air treatment. This work demonstrated an effective PADS approach to greatly enhance adsorption capacity and selectivity of thiophenic compounds at low concentrations for deep desulfurization under ambient conditions. Copyright © 2015 Elsevier B.V. All rights reserved.

  6. In situ study of interface reactions of ion beam sputter deposited (Ba0.5Sr0.5)TiO3 films on Si, SiO2, and Ir

    International Nuclear Information System (INIS)

    Gao, Y.; Mueller, A.H.; Irene, E.A.; Auciello, O.; Krauss, A.; Schultz, J.A.

    1999-01-01

    (Ba 0.5 ,Sr 0.5 )TiO 3 (BST) thin films were deposited on MgO, Si, SiO 2 and Ir surfaces by ion beam sputter deposition in oxygen at 700 degree C. In situ spectroscopic ellipsometry (SE) has been used to investigate the evolution of the BST films on different surfaces during both deposition and postannealing processes. First, the optical constants of the BST films in the photon energy range of 1.5 - 4.5 eV were determined by SE analysis on crystallized BST films deposited on MgO single crystal substrates. The interfaces in BST/Si and BST/SiO 2 /Si structure were examined by SE and Auger electron spectroscopy depth profiles. Subcutaneous oxidation in the BST/Ir structure was observed by in situ SE during both ion beam sputter deposition and postdeposition annealing in oxygen at 700 degree C. A study of the thermal stability of the Ir/TiN/SiO 2 /Si structure in oxygen at 700 degree C was carried out using in situ SE. The oxidation of Ir was confirmed by x-ray diffraction. The surface composition and morphology evolution after oxidation were investigated by time of flight mass spectroscopy of recoiled ions (TOF-MSRI) and atomic force microscopy. It has been found that Ti from the underlying TiN barrier layer diffused through the Ir layer onto the surface and thereupon became oxidized. It was also shown that the surface roughness increases with increasing oxidation time. The implications of the instability of Ir/TiN/SiO 2 /Si structure on the performance of capacitor devices based on this substrate are discussed. It has been shown that a combination of in situ SE and TOF-MSRI provides a powerful methodology for in situ monitoring of complex oxide film growth and postannealing processes. copyright 1999 American Vacuum Society

  7. Highly textured fresnoite thin films synthesized in situ by pulsed laser deposition with CO2 laser direct heating

    International Nuclear Information System (INIS)

    Lorenz, Michael; Stölzel, Marko; Brachwitz, Kerstin; Hochmuth, Holger; Grundmann, Marius; De Pablos-Martin, Araceli; Patzig, Christian; Höche, Thomas

    2014-01-01

    Fresnoite Ba 2 TiSi 2 O 8 (BTS) thin films were grown and crystallized in situ using pulsed laser deposition (PLD) with CO 2 laser direct heating of the a-plane sapphire (1 1 0) substrates up to 1250 °C. Starting with 775 °C growth temperature, (0 0 1)- and (1 1 0)-textured BTS and BaTiO 3 phases, respectively, could be assigned in the films, and the typical fern-like BTS crystallization patterns appear. For higher process temperatures of 1100 to 1250 °C, atomically smooth, terraced surface of the films was found, accompanied by crystalline high-temperature phases of Ba–Ti–Si oxides. HAADF micrographs taken in both scanning transmission electron microscopy and energy-dispersive x-ray spectrometry mode show details of morphology and elemental distribution inside the films and at the interface. To balance the inherent Si deficiency of the BTS films, growth from glassy BTS × 2 SiO 2 and BTS × 2.5 SiO 2 targets was considered as well. The latter targets are ideal for PLD since the employed glasses possess 100% of the theoretical density and are homogeneous at the atomic scale. (paper)

  8. Luminescence properties of Ca2 Ga2 SiO7 :RE phosphors for UV white-light-emitting diodes.

    Science.gov (United States)

    Jiao, Mengmeng; Lv, Wenzhen; Lü, Wei; Zhao, Qi; Shao, Baiqi; You, Hongpeng

    2015-03-16

    A series of Eu(2+) -, Ce(3+) -, and Tb(3+) -doped Ca2 Ga2 SiO7 phosphors is synthesized by using a high-temperature solid-state reaction. The powder X-ray diffraction and structure refinement data indicate that our prepared phosphors are single phased and the phosphor crystalizes in a tetrahedral system with the ${P\\bar 42m}$ (113) space group. The Eu(2+) - and Ce(3+) -doped phosphors both have broad excitation bands, which match well with the UV light-emitting diodes chips. Under irradiation of λ=350 nm, Ca2 Ga2 SiO7 :Eu(2+) and Ca2 Ga2 SiO7 :Ce(3+) , Li(+) have green and blue emissions, respectively. Luminescence of Ca2 Ga2 SiO7 :Tb(3+) , Li(+) phosphor varies with the different Tb(3+) contents. The thermal stability and energy-migration mechanism of Ca2 Ga2 SiO7 :Eu(2+) are also studied. The investigation results indicate that the prepared Ca2 Ga2 SiO7 :Eu(2+) and Ca2 Ga2 SiO7 :Ce(3+) , Li(+) samples show potential as green and blue phosphors, respectively, for UV-excited white-light-emitting diodes. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Processing of SiO2 protective layer using HMDS precursor by combustion CVD.

    Science.gov (United States)

    Park, Kyoung-Soo; Kim, Youngman

    2011-08-01

    Hexamethyldisilazane (HMDS, [(CH3)3Si]2NH) was used as a precursor to form SiO2 protective coatings on IN738LC alloys by combustion chemical vapor deposition (CCVD). SEM and XPS showed that the processed coatings were composed mainly of SiO2. The amount of HMDS had the largest effect on the size of the SiO2 agglomerates and the thickness of the deposited coatings. The specimens coated with SiO2 using the 0.05 mol/l HMDS solution showed a significantly higher temperature oxidation resistance than those deposited under other conditions.

  10. Constructing dual-defense mechanisms on membrane surfaces by synergy of PFSA and SiO2 nanoparticles for persistent antifouling performance

    Science.gov (United States)

    Zhou, Linjie; Gao, Kang; Jiao, Zhiwei; Wu, Mengyuan; He, Mingrui; Su, Yanlei; Jiang, Zhongyi

    2018-05-01

    Synthetic antifouling membrane surfaces with dual-defense mechanisms (fouling-resistant and fouling-release mechanism) were constructed through the synergy of perfluorosulfonic acid (PFSA) and SiO2 nanoparticles. During the nonsolvent induced phase separation (NIPS) process, the amphiphilic PFSA polymers spontaneously segregated to membrane surfaces and catalyzed the hydrolysis-polycondensation of tetraethyl orthosilicate (TEOS) to generate hydrophilic SiO2 nanoparticles (NPs). The resulting PVDF/PFSA/SiO2 hybrid membranes were characterized by contact angle measurements, FTIR, XPS, SEM, AFM, TGA, and TEM. The hydrophilic microdomains and low surface energy microdomains of amphiphilic PFSA polymers respectively endowed membrane surfaces with fouling-resistant mechanism and fouling-release mechanism, while the hydrophilic SiO2 NPs intensified the fouling-resistant mechanism. When the addition of TEOS reached 3 wt%, the hybrid membrane with optimal synergy of PFSA and SiO2 NPs displayed low flux decline (17.4% DRt) and high flux recovery (99.8% FRR) during the filtration of oil-in-water emulsion. Meanwhile, the long-time stability test verified that the hybrid membrane possessed persistent antifouling performance.

  11. Activation of stress-related signalling pathway in human cells upon SiO2 nanoparticles exposure as an early indicator of cytotoxicity

    LENUS (Irish Health Repository)

    Mohamed, Bashir M

    2011-07-29

    Abstract Background Nanomaterials such as SiO2 nanoparticles (SiO2NP) are finding increasing applications in the biomedical and biotechnological fields such as disease diagnostics, imaging, drug delivery, food, cosmetics and biosensors development. Thus, a mechanistic and systematic evaluation of the potential biological and toxic effects of SiO2NP becomes crucial in order to assess their complete safe applicability limits. Results In this study, human monocytic leukemia cell line THP-1 and human alveolar epithelial cell line A549 were exposed to a range of amorphous SiO2NP of various sizes and concentrations (0.01, 0.1 and 0.5 mg\\/ml). Key biological indicators of cellular functions including cell population density, cellular morphology, membrane permeability, lysosomal mass\\/pH and activation of transcription factor-2 (ATF-2) were evaluated utilizing quantitative high content screening (HCS) approach and biochemical techniques. Despite the use of extremely high nanoparticle concentrations, our findings showed a low degree of cytotoxicity within the panel of SiO2NP investigated. However, at these concentrations, we observed the onset of stress-related cellular response induced by SiO2NP. Interestingly, cells exposed to alumina-coated SiO2NP showed low level, and in some cases complete absence, of stress response and this was consistent up to the highest dose of 0.5 mg\\/ml. Conclusions The present study demonstrates and highlights the importance of subtle biological changes downstream of primary membrane and endocytosis-associated phenomena resulting from high dose SiO2NP exposure. Increased activation of transcription factors, such as ATF-2, was quantitatively assessed as a function of i) human cell line specific stress-response, ii) SiO2NP size and iii) concentration. Despite the low level of cytotoxicity detected for the amorphous SiO2NP investigated, these findings prompt an in-depth focus for future SiO2NP-cell\\/tissue investigations based on the combined

  12. Activation of stress-related signalling pathway in human cells upon SiO2 nanoparticles exposure as an early indicator of cytotoxicity

    Directory of Open Access Journals (Sweden)

    Mohamed Bashir

    2011-07-01

    Full Text Available Abstract Background Nanomaterials such as SiO2 nanoparticles (SiO2NP are finding increasing applications in the biomedical and biotechnological fields such as disease diagnostics, imaging, drug delivery, food, cosmetics and biosensors development. Thus, a mechanistic and systematic evaluation of the potential biological and toxic effects of SiO2NP becomes crucial in order to assess their complete safe applicability limits. Results In this study, human monocytic leukemia cell line THP-1 and human alveolar epithelial cell line A549 were exposed to a range of amorphous SiO2NP of various sizes and concentrations (0.01, 0.1 and 0.5 mg/ml. Key biological indicators of cellular functions including cell population density, cellular morphology, membrane permeability, lysosomal mass/pH and activation of transcription factor-2 (ATF-2 were evaluated utilizing quantitative high content screening (HCS approach and biochemical techniques. Despite the use of extremely high nanoparticle concentrations, our findings showed a low degree of cytotoxicity within the panel of SiO2NP investigated. However, at these concentrations, we observed the onset of stress-related cellular response induced by SiO2NP. Interestingly, cells exposed to alumina-coated SiO2NP showed low level, and in some cases complete absence, of stress response and this was consistent up to the highest dose of 0.5 mg/ml. Conclusions The present study demonstrates and highlights the importance of subtle biological changes downstream of primary membrane and endocytosis-associated phenomena resulting from high dose SiO2NP exposure. Increased activation of transcription factors, such as ATF-2, was quantitatively assessed as a function of i human cell line specific stress-response, ii SiO2NP size and iii concentration. Despite the low level of cytotoxicity detected for the amorphous SiO2NP investigated, these findings prompt an in-depth focus for future SiO2NP-cell/tissue investigations based on the

  13. Study on spectroscopic properties and effects of tungsten ions in 2Bi2O3-3GeO2/SiO2 glasses.

    Science.gov (United States)

    Yu, Pingsheng; Su, Liangbi; Cheng, Junhua; Zhang, Xia; Xu, Jun

    2017-04-01

    The 2Bi 2 O 3 -3GeO 2 /SiO 2 glass samples have been prepared by the conventional melt quenching technique. XRD patterns, absorption spectra, excitation-emission spectra and Raman measurements were utilized to characterize the synthesized glasses. When substitute SiO 2 for GeO 2 , the 0.4Bi 2 O 3 -(0.4-0.1)GeO 2 -(0.2-0.5)SiO 2 glasses exhibit strong emission centered at about 475nm (under 300nm excitation), and the decay constants are within the scope of 20-40ns. W doping into 2Bi 2 O 3 -3SiO 2 glass could increase the emission intensity of 470nm, and the W-doped 2Bi 2 O 3 -3SiO 2 glass has shown another emission at about 433nm with much shorter decay time (near 10ns). The 2Bi 2 O 3 -3GeO 2 /SiO 2 glass system could be the possible candidate for scintillator in high energy physics applications. Copyright © 2017 Elsevier Ltd. All rights reserved.

  14. Electrical properties of pseudo-single-crystalline Ge films grown by Au-induced layer exchange crystallization at 250 °C

    Science.gov (United States)

    Higashi, H.; Kudo, K.; Yamamoto, K.; Yamada, S.; Kanashima, T.; Tsunoda, I.; Nakashima, H.; Hamaya, K.

    2018-06-01

    We study the electrical properties of pseudo-single-crystalline Ge (PSC-Ge) films grown by a Au-induced layer exchange crystallization method at 250 °C. By inserting the SiNx layer between PSC-Ge and SiO2, we initiatively suppress the influence of the Ge/SiO2 interfacial defective layers, which have been reported in our previous works, on the electrical properties of the PSC-Ge layers. As a result, we can detect the influence of the ionized Au+ donors on the temperature-dependent hole concentration and Hall mobility. To further examine their electrical properties in detail, we also fabricate p-thin-film transistors (TFTs) with the PSC-Ge layer. Although the off-state leakage currents are suppressed by inserting the SiNx layer, the value of on/off ratio remains poor (leakage current although a nominal field effect mobility is enhanced up to ˜25 cm2/V s. Considering these features, we conclude that the Au contaminations into the PSC-Ge layer can affect the electrical properties and device performances despite a low-growth temperature of 250 °C. To achieve further high-performance p-TFTs, we have to suppress the Au contaminations into PSC-Ge during the Au-induced crystallization growth.

  15. Structural and optical properties of SiC-SiO2 nanocomposite thin films

    Science.gov (United States)

    Bozetine, I.; Keffous, A.; Kaci, S.; Menari, H.; Manseri, A.

    2018-03-01

    This study deals with the deposition of thin films of a SiC-SiO2nanocomposite deposited on silicon substrates. The deposition is carried out by a co-sputtering RF magnetron 13.56 MHz, using two targets a polycristallin 6H-SiC and sprigs of SiO2. In order to study the influence of the deposition time on the morphology, the structural and optical properties of the thin films produced, two series of samples were prepared, namely a series A with a 30 min deposition time and a series B of one hour duration. The samples were investigated using different characterization techniques such as Scanning Electron Microscope (SEM), X-ray Diffraction (DRX), Fourier Transform Infrared Spectroscopy (FTIR), Secondary Ion Mass Spectrometry (SIMS) and photoluminescence. The results obtained, reveal an optical gap varies between 1.4 and 2.4 eV depending on the thickness of the film; thus depending on the deposition time. The SIMS profile recorded the presence of oxygen (16O) on the surface, which the signal beneath the silicon signal (28Si) and carbon (12C) signals, which confirms that the oxide (SiO2) is the first material deposited at the interface film - substrate with an a-OSiC structure. The photoluminescence (PL) measurement exhibits two peaks, centred at 390 nm due to the oxide and at 416 nm due probably to the nanocrystals of SiC crystals, note that when the deposition time increases, the intensity of the PL drops drastically, result in agreement with dense and smooth film.

  16. Study of structure and antireflective properties of LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 trilayers for UV applications

    Science.gov (United States)

    Marszalek, K.; Jaglarz, J.; Sahraoui, B.; Winkowski, P.; Kanak, J.

    2015-01-01

    The aim of this paper is to study antireflective properties of the tree-layer systems LaF3/HfO2/SiO2 and LaF3/HfO2/MgF2 deposited on heated optical glass substrates. The films were evaporated by the use two deposition techniques. In first method oxide films were prepared by means of e-gun evaporation in vacuum of 5 × 10-5 mbar in the presence of oxygen. The second was used for the deposition of fluoride films. They were obtained by means of thermal source evaporation. Simulation of reflectance was performed for 1M2H1L (Quarter Wavelength Optical Thickness) film stack on an optical quartz glass with the refractive index n = 1.46. The layer thickness was optimized to achieve the lowest light scattering from glass surface covered with dioxide and fluoride films. The values of the interface roughness were determined through atomic force microscopy measurements. The essence of performed calculation was to find minimum reflectance of light in wide ultraviolet region. The spectral dispersion of the refractive index needed for calculations was determined from ellipsometric measurements using the spectroscopic ellipsometer M2000. Additionally, the total reflectance measurements in integrating sphere coupled with Perkin Elmer 900 spectrophotometer were performed. These investigations allowed to determine the influence of such film features like surface and interface roughness on light scattering.

  17. Synthesis of geopolymer from spent FCC: Effect of SiO2/Al2O<3 and Na2O/SiO2 molar ratios

    Directory of Open Access Journals (Sweden)

    Trochez, J. J.

    2015-03-01

    Full Text Available This paper assesses the feasibility of using a spent fluid catalytic cracking catalyst (SFCC as precursor for the production of geopolymers. The mechanical and structural characterization of alkali-activated SFCC binders formulated with different overall (activator + solid precursor SiO2/Al2O3 and Na2O/SiO2 molar ratios are reported. Formation of an aluminosilicate ‘geopolymer’ gel is observed under all conditions of activation used, along with formation of zeolites. Increased SiO2/Al2O3 induces the formation of geopolymers with reduced mechanical strength, for all the Na2O/SiO2 ratios assessed, which is associated with excess silicate species supplied by the activator. This is least significant at increased alkalinity conditions (higher Na2O/SiO2 ratios, as larger extents of reaction of the spent catalyst are achieved. SiO2/Al2O3 and Na2O/SiO2 ratios of 2.4 and 0.25, respectively, promote the highest compressive strength (67 MPa. This study elucidates the great potential of using SFCC as precursor to produce sustainable ceramic-like materials via alkali-activation.Este artículo estudia la factibilidad de usar un catalizador gastado del proceso de craqueo (SFCC para la producción de geopolímeros. Se evalúan las características mecánicas y estructurales de los geopolímeros producidos con diferentes relaciones molares (activador + precursor solido de SiO2/Al2O3 y Na2O/SiO2. La formación de un gel geopolimérico de tipo aluminosilicato se observa a las diferentes condiciones evaluadas, así como la formación de zeolitas. Un incremento en la relación SiO2/Al2O3 genera geopolímeros de baja resistencia mecánica, a las diferentes relaciones molares Na2O/SiO2 evaluadas, como consecuencia del exceso de especies silicato provenientes del activador. Este efecto es menos significativo al incrementar las condiciones de alcalinidad (mayores relaciones Na2O/SiO2, ya que un mayor grado de reacción del catalizador gastado es alcanzado. Las

  18. Formation of nucleoplasmic protein aggregates impairs nuclear function in response to SiO2 nanoparticles

    International Nuclear Information System (INIS)

    Chen Min; Mikecz, Anna von

    2005-01-01

    Despite of their exponentially growing use, little is known about cell biological effects of nanoparticles. Here, we report uptake of silica (SiO 2 ) nanoparticles to the cell nucleus where they induce aberrant clusters of topoisomerase I (topo I) in the nucleoplasm that additionally contain signature proteins of nuclear domains, and protein aggregation such as ubiquitin, proteasomes, cellular glutamine repeat (polyQ) proteins, and huntingtin. Formation of intranuclear protein aggregates (1) inhibits replication, transcription, and cell proliferation; (2) does not significantly alter proteasomal activity or cell viability; and (3) is reversible by Congo red and trehalose. Since SiO 2 nanoparticles trigger a subnuclear pathology resembling the one occurring in expanded polyglutamine neurodegenerative disorders, we suggest that integrity of the functional architecture of the cell nucleus should be used as a read out for cytotoxicity and considered in the development of safe nanotechnology

  19. Cd2SiO4/Graphene nanocomposite: Ultrasonic assisted synthesis, characterization and electrochemical hydrogen storage application.

    Science.gov (United States)

    Masjedi-Arani, Maryam; Salavati-Niasari, Masoud

    2018-05-01

    For the first time, a simple and rapid sonochemical technique for preparing of pure Cd 2 SiO 4 nanostructures has been developed in presence of various surfactants of SDS, CTAB and PVP. Uniform and fine Cd 2 SiO 4 nanoparticle was synthesized using of polymeric PVP surfactant and ultrasonic irradiation. The optimized cadmium silicate nanostructures added to graphene sheets and Cd 2 SiO 4 /Graphene nanocomposite synthesized through pre-graphenization. Hydrogen storage capacity performances of Cd 2 SiO 4 nanoparticle and Cd 2 SiO 4 /Graphene nanocomposite were compared. Obtained results represent that Cd 2 SiO 4 /Graphene nanocomposites have higher hydrogen storage capacity than Cd 2 SiO 4 nanoparticles. Cd 2 SiO 4 /Graphene nanocomposites and Cd 2 SiO 4 nanoparticles show hydrogen storage capacity of 3300 and 1300 mAh/g, respectively. Copyright © 2018 Elsevier B.V. All rights reserved.

  20. High-frequency permeability in double-layered structure of amorphous Co-Ta-Zr films

    International Nuclear Information System (INIS)

    Ochiai, Y.; Hayakawa, M.; Hayashi, K.; Aso, K.

    1988-01-01

    The high-frequency permeability of amorphous Co-Ta-Zr films was studied and the frequency dependence was described in terms of the eddy-current-loss formula. For the double-layered structure intervened with SiO 2 film, the degradation of the permeability became apparent with the decrease of SiO 2 thickness

  1. Non-iridescent structural colors from uniform-sized SiO2 colloids

    Science.gov (United States)

    Topçu, Gökhan; Güner, Tuğrul; Demir, Mustafa M.

    2018-05-01

    Structural colors have recently attracted interest from diverse fields of research due to their ease of fabrication and eco-friendliness. These types of colors are, in principle, achieved by periodically arranged submicron-diameter colloidal particles. The interaction of light with a structure containing long-range ordered colloidal particles leads to coloration; this usually varies depending on the angle of observation (iridescence). However, the majority of the applications demand constant color that is independent of the viewing angle (non-iridescence). In this work, silica colloids were obtained using the Stöber method at different sizes from 150 to 300 nm in an alcoholic dispersion. The casting of the dispersion on a substrate leaves behind a photonic crystal showing a colorful iridescent film. However, centrifugation and redispersion of the SiO2 particles into fresh solvent may cause the formation of small, aggregated silica domains in the new dispersion. The casting of this dispersion allows for the development of photonic glass, presumably due to the accumulation of aggregates showing stable colloidal film independent of viewing angle. Moreover, depending on the size of the silica colloids, non-iridescent photonic glasses with various colors (violet, blue, green, and orange) are obtained.

  2. Enhanced the hydrophobic surface and the photo-activity of TiO2-SiO2 composites

    Science.gov (United States)

    Wahyuni, S.; Prasetya, A. T.

    2017-02-01

    The aim of this research is to develop nanomaterials for coating applications. This research studied the effect of various TiO2-SiO2 composites in acrylic paint to enhance the hydrophobic properties of the substrate. Titanium dioxide containing silica in the range 20-35 mol% has been synthesized using sol-gel route. The XRD’s spectra show that increasing SiO2 content in the composite, decreasing its crystalline properties but increasing the surface area. TiO2-SiO2 composite was dispersed in acrylic paint in 2% composition by weight. The largest contact angle was 70, which produced by the substrate coated with TS-35-modified acrylic paint. This study also investigated the enhanced photo-activity of TiO2-SiO2 modified with poly-aniline. The XRD spectra show that the treatment does not change the crystal structure of TiO2. The photo-activity of the composite was evaluated by degradation of Rhodamine-B with visible light. The best performance of the degradation process was handled by the composite treated with 0.1mL anilines per gram of TiO2-SiO2 composite (TSP-A). On the other side, the contact angle 70 has not shown an excellent hydrophobic activity. However, the AFM spectra showed that nanoroughness has started to form on the surface of acrylic paint modified with TiO2-SiO2 than acrylic alone.

  3. Synthesis and characterization of ion-implanted Pt nanocrystals in SiO2

    International Nuclear Information System (INIS)

    Giulian, R.; Kluth, P.; Johannessen, B.; Araujo, L.L.; Llewellyn, D.J.; Cookson, D.J.; Ridgway, M.C.

    2007-01-01

    Pt nanocrystals (NCs) produced by ion implantation in SiO 2 films were investigated by Rutherford backscattering spectroscopy (RBS), transmission electron microscopy (TEM) and small angle X-ray scattering (SAXS). The implantations were performed at liquid nitrogen temperature using energies between 3.4 and 5.6 MeV and an ion fluence range of 2-30 x 10 16 cm -2 and were followed by annealing in forming gas (95% N 2 , 5% H 2 ) for one hour at temperatures between 500 and 1100 deg. C. TEM analysis revealed that the NCs are spherical in shape. The mean size of the NCs annealed at 1100 deg. C varied between 2.8 and 3.6 nm for the highest and lowest fluences, respectively, as determined with both TEM and SAXS. In contrast to previous studies on ion implanted metal NCs, larger Pt NCs are located far beyond the Pt peak concentration, potentially the result of a strongly defect mediated NC nucleation

  4. Effect of radiation damage on luminescence of erbium-implanted SiO sub 2 /Si studied by slow positron beam

    CERN Document Server

    Kawasuso, A; Hirata, K; Sekiguchi, T; Kobayashi, Y; Okada, S

    2000-01-01

    The effect of damage on 1.54 mu m luminescence for 30 keV-Er-implanted SiO sub 2 films has been studied by positron annihilation and cathodoluminescence. It was found that S-parameter in the films decreased after implantation, indicating the suppression of positronium formation. The luminescence appeared with the recovery of the S-parameter after 600 deg. C annealing. The intensity reached a maximum at 900 deg. C annealing whereas the S-parameter did not change significantly. It seems that most damages recover at 600 deg. C and thereafter Er ions transform to an optically active state at 900 deg. C.

  5. Photocatalytic application of TiO2/SiO2-based magnetic nanocomposite (Fe3O4@SiO2/TiO2 for reusing of textile wastewater

    Directory of Open Access Journals (Sweden)

    Laleh Enayati Ahangar

    2016-01-01

    Full Text Available In this research we have developed a treatment method for textile wastewater by TiO2/SiO2-based magnetic nanocomposite. Textile wastewater includes a large variety of dyes and chemicals and needs treatments. This manuscript presents a facile method for removing dyes from the textile wastewater by using TiO2/SiO2-based nanocomposite (Fe3O4@SiO2/TiO2 under UV irradiation. This magnetic nanocomposite, as photocatalytically active composite, is synthesized via solution method in mild conditions. A large range of cationic, anionic and neutral dyes including: methyl orange, methylene blue, neutral red, bromocresol green and methyl red are used for treatment investigations. Neutral red and bromocresol green have good results in reusing treatment. The high surface area of nanocomposites improve the kinetic of wastewater treatment. In this method, by using the magnetic properties of Fe3O4 nanoparticles, TiO2-based photocatalyst could be separated and reused for 3 times. The efficiency of this method is respectively 100% and 65% for low concentration (10 ppm and high concentration (50 ppm of neutral red and bromocrosol green after 3 h treatment. The efficiency of treatment using the second used nanocomposite was 90% for 10 ppm of the same dyes.

  6. Whiter, brighter, and more stable cellulose paper coated with TiO2 /SiO2 core/shell nanoparticles using a layer-by-layer approach.

    Science.gov (United States)

    Cheng, Fei; Lorch, Mark; Sajedin, Seyed Mani; Kelly, Stephen M; Kornherr, Andreas

    2013-08-01

    To inhibit the photocatalytic degradation of organic material supports induced by small titania (TiO2 ) nanoparticles, four kinds of TiO2 nanoparticles, that is, commercial P25-TiO2 , commercial rutile phase TiO2 , rutile TiO2 nanorods and rutile TiO2 spheres, prepared from TiCl4 , were coated with a thin, but dense, coating of silica (SiO2 ) using a conventional sol-gel technique to form TiO2 /SiO2 core/shell nanoparticles. These core/shell particles were deposited and fixed as a very thin coating onto the surface of cellulose paper samples by a wet-chemistry polyelectrolyte layer-by-layer approach. The TiO2 /SiO2 nanocoated paper samples exhibit higher whiteness and brightness and greater stability to UV-bleaching than comparable samples of blank paper. There are many potential applications for this green chemistry approach to protect cellulosic fibres from UV-bleaching in sunlight and to improve their whiteness and brightness. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Photo-induced hydrophilicity of TiO2-xNx thin films on PET plates

    International Nuclear Information System (INIS)

    Chou, H.-Y.; Lee, E.-K.; You, J.-W.; Yu, S.-S.

    2007-01-01

    TiO 2-x N x thin films were deposited on PET (polyethylene terephthalate) plates by sputtering a TiN target in a N 2 /O 2 plasma and without heating. X-ray photoemission spectroscopy (XPS) was used to investigate the N 1s, Ti 2p core levels and the nitrogen composition in the TiO 2-x N x films. The results indicate that Ti-O-N bonds are formed in the thin films. Two nitrogen states, substitution and interstitial nitrogen atoms, were attributed to peaks at 396 and 399 eV, respectively. It was observed that the nitrogen atoms occupy both the substitutive and interstitial sites in respective of the nitrogen content in the thin films. UV-VIS absorption spectroscopy of PET coated thin films shows a significant shift of the absorption edge to lower energy in the visible-light region. UV and visible-light irradiation are used to activate PET coated thin films for the development of hydrophilicity. The photo-induced surface wettability conversion reaction of the thin films has been investigated by means of water contact angle measurement. PET plates coated with TiO 2-x N x thin films are found to exhibit lower water contact angle than non-coated plates when the surface is illuminated with UV and visible light. The effects of nitrogen doping on photo-generated hydrophilicity of the thin films are investigated in this work

  8. Efficient photocatalytic activity with carbon-doped SiO2 nanoparticles

    KAUST Repository

    Zhang, Dongen

    2013-01-01

    Photocatalysis provides a \\'green\\' approach to completely eliminate various kinds of contaminants that are fatal for current environmental and energy issues. Semiconductors are one of the most frequently used photocatalysts as they can absorb light over a wide spectral range. However, it is also well known that naked SiO2 is not an efficient photocatalyst due to its relatively large band gap, which could only absorb shortwave ultraviolet light. In this report, nanoscale particles of carbon-doped silicon dioxide (C-doped SiO2) for use in photocatalysis were successfully prepared by a facile one-pot thermal process using tetraethylorthosilicate (TEOS) as the source of both silicon and carbon. These particles were subsequently characterized by thermogravimetric analysis, X-ray diffraction, standard and high resolution transmission electron microscopy and X-ray photoelectron spectroscopy. The C-doped SiO2 displayed outstanding photocatalytic properties, as evidenced by its catalysis of Rhodamine B degradation under near-UV irradiation. We propose that carbon doping of the SiO2 lattice creates new energy states between the bottom of the conduction band and the top of the valence band, which narrows the band gap of the material. As a result, the C-doped SiO2 nanoparticles exhibit excellent photocatalytic activities in a neutral environment. The novel synthesis reported herein for this material is both energy efficient and environmentally friendly and as such shows promise as a technique for low-cost, readily scalable industrial production. © 2013 The Royal Society of Chemistry.

  9. Investigation of pentacene growth on SiO2 gate insulator after photolithography for nitrogen-doped LaB6 bottom-contact electrode formation

    Science.gov (United States)

    Maeda, Yasutaka; Hiroki, Mizuha; Ohmi, Shun-ichiro

    2018-04-01

    Nitrogen-doped (N-doped) LaB6 is a candidate material for the bottom-contact electrode of n-type organic field-effect transistors (OFETs). However, the formation of a N-doped LaB6 electrode affects the surface morphology of a pentacene film. In this study, the effects of surface treatments and a N-doped LaB6 interfacial layer (IL) were investigated to improve the pentacene film quality after N-doped LaB6 electrode patterning with diluted HNO3, followed by resist stripping with acetone and methanol. It was found that the sputtering damage during N-doped LaB6 deposition on a SiO2 gate insulator degraded the crystallinity of pentacene. The H2SO4 and H2O2 (SPM) and diluted HF treatments removed the damaged layer on the SiO2 gate insulator surface. Furthermore, the N-doped LaB6 IL improved the crystallinity of pentacene and realized dendritic grain growth. Owing to these surface treatments, the hole mobility improved from 2.8 × 10-3 to 0.11 cm2/(V·s), and a steep subthreshold swing of 78 mV/dec for the OFET with top-contact configuration was realized in air even after bottom-contact electrode patterning.

  10. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Science.gov (United States)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  11. Trapping time of excitons in Si nanocrystals embedded in a SiO2 matrix

    Science.gov (United States)

    de Jong, E. M. L. D.; de Boer, W. D. A. M.; Yassievich, I. N.; Gregorkiewicz, T.

    2017-05-01

    Silicon (Si) nanocrystals (NCs) are of great interest for many applications, ranging from photovoltaics to optoelectonics. The photoluminescence quantum yield of Si NCs dispersed in SiO2 is limited, suggesting the existence of very efficient processes of nonradiative recombination, among which the formation of a self-trapped exciton state on the surface of the NC. In order to improve the external quantum efficiency of these systems, the carrier relaxation and recombination need to be understood more thoroughly. For that purpose, we perform transient-induced absorption spectroscopy on Si NCs embedded in a SiO2 matrix over a broad probe range for NCs of average sizes from 2.5 to 5.5 nm. The self-trapping of free excitons on surface-related states is experimentally and theoretically discussed and found to be dependent on the NC size. These results offer more insight into the self-trapped exciton state and are important to increase the optical performance of Si NCs.

  12. Antioxidant migration resistance of SiOx layer in SiOx/PLA coated film.

    Science.gov (United States)

    Huang, Chongxing; Zhao, Yuan; Su, Hongxia; Bei, Ronghua

    2018-02-01

    As novel materials for food contact packaging, inorganic silicon oxide (SiO x ) films are high barrier property materials that have been developed rapidly and have attracted the attention of many manufacturers. For the safe use of SiO x films for food packaging it is vital to study the interaction between SiO x layers and food contaminants, as well as the function of a SiO x barrier layer in antioxidant migration resistance. In this study, we deposited a SiO x layer on polylactic acid (PLA)-based films to prepare SiO x /PLA coated films by plasma-enhanced chemical vapour deposition. Additionally, we compared PLA-based films and SiO x /PLA coated films in terms of the migration of different antioxidants (e.g. t-butylhydroquinone [TBHQ], butylated hydroxyanisole [BHA], and butylated hydroxytoluene [BHT]) via specific migration experiments and then investigated the effects of a SiO x layer on antioxidant migration under different conditions. The results indicate that antioxidant migration from SiO x /PLA coated films is similar to that for PLA-based films: with increase of temperature, decrease of food simulant polarity, and increase of single-sided contact time, the antioxidant migration rate and amount in SiO x /PLA coated films increase. The SiO x barrier layer significantly reduced the amount of migration of antioxidants with small and similar molecular weights and similar physical and chemical properties, while the degree of migration blocking was not significantly different among the studied antioxidants. However, the migration was affected by temperature and food simulant. Depending on the food simulants considered, the migration amount in SiO x /PLA coated films was reduced compared with that in PLA-based films by 42-46%, 44-47%, and 44-46% for TBHQ, BHA, and BHT, respectively.

  13. Annealing temperature and environment effects on ZnO nanocrystals embedded in SiO2: a photoluminescence and TEM study.

    Science.gov (United States)

    Pita, Kantisara; Baudin, Pierre; Vu, Quang Vinh; Aad, Roy; Couteau, Christophe; Lérondel, Gilles

    2013-12-06

    We report on efficient ZnO nanocrystal (ZnO-NC) emission in the near-UV region. We show that luminescence from ZnO nanocrystals embedded in a SiO2 matrix can vary significantly as a function of the annealing temperature from 450°C to 700°C. We manage to correlate the emission of the ZnO nanocrystals embedded in SiO2 thin films with transmission electron microscopy images in order to optimize the fabrication process. Emission can be explained using two main contributions, near-band-edge emission (UV range) and defect-related emissions (visible). Both contributions over 500°C are found to be size dependent in intensity due to a decrease of the absorption cross section. For the smallest-size nanocrystals, UV emission can only be accounted for using a blueshifted UV contribution as compared to the ZnO band gap. In order to further optimize the emission properties, we have studied different annealing atmospheres under oxygen and under argon gas. We conclude that a softer annealing temperature at 450°C but with longer annealing time under oxygen is the most preferable scenario in order to improve near-UV emission of the ZnO nanocrystals embedded in an SiO2 matrix.

  14. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  15. Effect of SiO2 addition and gamma irradiation on the lithium borate glasses

    Science.gov (United States)

    Raut, A. P.; Deshpande, V. K.

    2018-01-01

    The physical properties like density, glass transition temperature (Tg), and ionic conductivity of lithium borate (LB) glasses with SiO2 addition were measured before and after gamma irradiation. Remarkable changes in properties have been obtained in the physical properties of LB glasses with SiO2 addition and after gamma irradiation. The increase in density and glass transition temperature of LB glasses with SiO2 addition has been explained with the help of increase in density of cross linking due to SiO4 tetrahedra formation. The increase in ionic conductivity with SiO2 addition was explained with the help of ‘mixed glass former effect’. The increase in density and Tg of LB glasses with SiO2 addition after gamma irradiation has been attributed to fragmentation of bigger ring structure into smaller rings, which increases the density of cross linking and hence compaction. The exposure of gamma irradiation has lead to decrease in ionic conductivity of LB glasses with SiO2 addition. The atomic displacement caused by gamma irradiation resulted in filling of interstices and decrease in trapping sites. This explains the obtained decrease in ionic conductivity after gamma irradiation of glasses. The obtained results of effect of SiO2 addition and gamma irradiation on the density, Tg and ionic conductivity has been supported by FTIR results.

  16. Effect of multiple film on the tritium permeation property in 316L stainless steel

    International Nuclear Information System (INIS)

    Yao Zhenyu; Hao Jiakun; Zhou Changshan; Shan Changqi

    2000-01-01

    The films of TiN + TiC + TiN and TiN + TiC + SiO 2 were deposited on the surface of 316L stainless steel by physical vapor deposition technology. The characteristics of films are tested by SEM technology, it shows that the films are compact, thermal shock-resistant, oxidation-resistant and have good compatibility with bulk. the SIMS and IR analysis results show that the tritium permeation barrier is formed when TiC and SiO 2 films are annealed in hydrogen above 300 degree C. The tritium permeability in 316L with film is measured at various temperature, the results show that the tritium permeability in 316L with TiN + TiC + SiO 2 film is 4-6 orders of magnitude lower, and that in 316L with TiN + TiC + TiN film is 4-5 orders of magnitude lower than that in 316L with Pd film at about 200-600 degree C. These films may be used as the surface coating of the first wall, tritium blanket and heat exchanger in fusion reactor

  17. Radiation-induced amorphization of Langasite La3Ga5SiO14

    Science.gov (United States)

    Yao, Tiankai; Lu, Fengyuan; Zhang, Haifeng; Gong, Bowen; Ji, Wei; Zuo, Lei; Lian, Jie

    2018-03-01

    Single crystals of Langasite La3Ga5SiO14 (LGS) were irradiated by 1 MeV Kr2+ ions at temperature range from 298 to 898 K in order to simulate the damage effect of neutron radiation on Langasite, a candidate sensor material proposed as high temperature and pressure sensors in nuclear reactors. The microstructure evolution of LGS as functions of irradiation dose and temperature was followed by in-situ TEM observation through electron diffraction pattern. LGS is found to be sensitive to ion beam irradiation-induced amorphization from displacive heavy ions with a low critical dose of ∼0.5 ± 0.2 dpa (neutron fluence of (1.6 ± 0.6) × 1019 neutrons/cm2) at room temperature. The critical amorphization temperature, Tc, is determined to be 910 ± 10 K. Under simultaneous ionizing electron (300 keV, 45 nA) and displacive heavy ion irradiations (1-MeV Kr2+ and flux of 6.25 × 1011 ions/cm2·s), LGS displayed greater stability of crystal structure against amorphization, possibly due to the electron radiation-induced recovery of displacive damage by heavy ions.

  18. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    OpenAIRE

    B. Pivac; P. Dubček; J. Dasović; H. Zorc; S. Bernstorff; J. Zavašnik; B. Vlahovic

    2018-01-01

    The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C) in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm) spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction) and self-organization ...

  19. Use of MnO2 and MnO2 SiO2 for sorbing of Sr-90 from liquid rad waste

    International Nuclear Information System (INIS)

    Subiarto; Las, Thamzil; Aan BH, Martin; Utomo, Cahyo Hari

    1998-01-01

    The synthesis of MnO 2 adsorbent and MnO 2 -SiO 2 composite has been done. MnO 2 synthesis is done by the reaction of KMnO 4 , Mn(NO 3 ) 2 .4H 2 O and Na 2 S 2 O 4 ( MnO 2 -A, MnO 2 -B, and MnO 2 -T ). MnO 2 . SiO 2 is made from KMnO 4 , Na 2 SiO 3 , and H 2 O 2 . The result obtained show the best Sr-90 sorption by MnO 2 -A with Kd = 2085.63 ml/g, by MnO 2 -L with Kd = 755.09 ml/g, and by MnO 2 - SiO 2 composite with Kd = 1466.51 ml/g. From this result, we can conclude that MnO 2 -SiO 2 can be expanded for Sr-90 sorption from liquid radioactive waste. (author)

  20. Preparation, Characterization and Thermal Degradation of Polyimide (4-APS/BTDA/SiO2 Composite Films

    Directory of Open Access Journals (Sweden)

    Arash Dehzangi

    2012-04-01

    Full Text Available Polyimide/SiO2 composite films were prepared from tetraethoxysilane (TEOS and poly(amic acid (PAA based on aromatic diamine (4-aminophenyl sulfone (4-APS and aromatic dianhydride (3,3,4,4-benzophenonetetracarboxylic dianhydride (BTDA via a sol-gel process in N-methyl-2-pyrrolidinone (NMP. The prepared polyimide/SiO2 composite films were characterized using X-ray diffraction (XRD, Fourier transform infrared spectroscopy (FTIR, scanning electron microscope (SEM and thermogravimetric analysis (TGA. The FTIR results confirmed the synthesis of polyimide (4-APS/BTDA and the formation of SiO2 particles in the polyimide matrix. Meanwhile, the SEM images showed that the SiO2 particles were well dispersed in the polyimide matrix. Thermal stability and kinetic parameters of the degradation processes for the prepared polyimide/SiO2 composite films were investigated using TGA in N2 atmosphere. The activation energy of the solid-state process was calculated using Flynn–Wall–Ozawa’s method without the knowledge of the reaction mechanism. The results indicated that thermal stability and the values of the calculated activation energies increased with the increase of the TEOS loading and the activation energy also varied with the percentage of weight loss for all compositions.

  1. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  2. Cytotoxicity and effect on GJIC of SiO2 nanoparticles in HL-7702 cells

    International Nuclear Information System (INIS)

    Pan Tao; Jin Minghua; Liu Xiaomei; Du Zhongjun; Zhou Xianqing; Huang Peili; Sun Zhiwei

    2013-01-01

    Objective: To study the cytotoxicity and effect on gap junction intracellular communication (GJIC) of SiO 2 nanoparticles in HL-7702 cells, and to provide experimental basis for toxicity assessment and the security applications of SiO 2 nanoparticles. Methods: Transmission electron microscope (TEM) was used to characterize two kinds of SiO 2 nanoparticles, verifying their size, dispersion and shape; dynamic light scattering (DLS) method was used to analyze the water dispersion and culture medium dispersion of the SiO 2 nanoparticles; MTT assay was carried out to examine the cytotoxicities of the two sizes SiO 2 nanoparticles on the cells; lactate dehydrogenase (LDH) release assay was performed to examine the integrity nano of the cell membrane; Scrape-loading and dye transfer assay was performed to examine the effect of SiO 2 nanoparticles on GJIC. Results: Based on the result of TEM, two kinds of SiO 2 nanoparticles were spherically shaped, uniformly sized and sporadically dispersed; the statistical analysis results showed the diameters of the two nanoparticles were (447.60±20.78) nm and (67.42±5.69) nm, respectively, thus they could be categorized as submicron scale and nano scale. The DLS method results manifested that the hydration nanoparticle sizes of the two SiO 2 nanoparticles were (684.37±18.76) nm, (128.31±7.64) nm in high purity water and (697.02±19.57) nm, (133.74±8.97) nm in RPMI-1640 solution, all the two nanoparticles were well dispersed without aggregation. MTT assay indicated that 24 h after treatment of SiO 2 nanoparticles, the cell viabilities were affected by both the size and the dose of the SiO 2 nanoparticles; the higher the dose was, the less viability the cells exhibited. Moreover, the nano scale particles inflicted more damage to the cells. LDH release assay indicated that the SiO 2 particles could also damage the cell membrane in a dose-dependent and size-dependent way. Scrape-loading and dye transfer assay indicated that the nano

  3. The Effect of SiO2 Shell on the Suppression of Photocatalytic Activity of TiO2 and ZnO Nanoparticles

    International Nuclear Information System (INIS)

    Lee, Min Hee; Lee, Choon Soo; Patil, Umakant Mahadev; Kochuveedu, Saji Thomas

    2012-01-01

    In this study, we investigate the potential use of TiO 2 SiO 2 and ZnO SiO 2 core/shell nanoparticles (NPs) as effective UV shielding agent. In the typical synthesis, SiO 2 was coated over different types of TiO 2 (anatase and rutile) and ZnO by sol-gel method. The synthesized TiO 2 SiO 2 and ZnO SiO 2 Nps were characterized by UV-Vis, XRD, Sem and TEM. The UV-vis absorbance and transmittance spectra of core shell NPs showed an efficient blocking effect in the UV region and more than 90% transmittance in the visible region. XRD and SAED studies confirmed the formation of amorphous SiO 2 coated over the TiO 2 and ZnO NPs. The FESEM and TEM images shows that coating of SiO 2 over the surface of anatase, rutile TiO 2 and ZnO NPs resulted in the increase in particle size by ∼30 nm. In order to study the UV light shielding capability of the samples, photocatalytic degradation of methylene blue dye on TiO 2 SiO 2 and ZnO SiO 2 NPs was performed. Photocatalytic activity for both types of TiO 2 NPs was partially suppressed. In comparison, the photocatalytic activity of ZnO almost vanished after the SiO 2 coating

  4. Magnetic field induced superconductor-insulator transitions for ultra-thin Bi films on the different underlayers

    International Nuclear Information System (INIS)

    Makise, K; Kawaguti, T; Shinozaki, B

    2009-01-01

    This work shows the experimental results of the superconductor-insulator (S-I) transition for ultra-thin Bi films in magnetic fields. The quench-condensed (q-c) Bi film onto insulating underlayers have been interpreted to be homogeneous. In contrast, the Bi film without underlayers has been regarded as a granular film. The electrical transport properties of ultra-thin metal films near the S-I transition depend on the structure of the film. In order to confirm the effect of the underlayer to the homogeneity of the superconducting films, we investigate the characteristics of S-I transitions of q-c nominally homogeneous Bi films on underlayers of two insulating materials, SiO, and Sb. Under almost the same deposition condition except for the material of underlayer, we prepared the Bi films by repeating the additional deposition and performed in-situ electrical measurement. It is found that the transport properties near the S-I transitions show the remarkable difference between two films on different underlayers. As for Bi films on SiO, it turned out that the temperature dependence of resistance per square R sq (T) of the field-tuned transition and the thickness-tuned transition shows similar behavior; it was a thermally activated form. On the other hand, the R sq (T) of Bi films on Sb for thickness-tuned S-I transition showed logarithmic temperature dependence, but that for field-tuned S-I transition showed a thermally activated form.

  5. Influence of SiO2 Addition on Properties of PTFE/TiO2 Microwave Composites

    Science.gov (United States)

    Yuan, Ying; Wang, Jie; Yao, Minghao; Tang, Bin; Li, Enzhu; Zhang, Shuren

    2018-01-01

    Composite substrates for microwave circuit applications have been fabricated by filling polytetrafluoroethylene (PTFE) polymer matrix with ceramic powder consisting of rutile TiO2 ( D 50 ≈ 5 μm) partially substituted with fused amorphous SiO2 ( D 50 ≈ 8 μm) with composition x vol.% SiO2 + (50 - x) vol.% TiO2 ( x = 0, 3, 6, 9, 12), and the effects of SiO2 addition on characteristics such as the density, moisture absorption, microwave dielectric properties, and thermal properties systematically investigated. The results show that the filler was well distributed throughout the matrix. High dielectric constant ( ɛ r > 7.19) and extremely low moisture absorption (ceramic particles served as barriers and improved the thermal stability of the PTFE polymer, retarding its decomposition. The temperature coefficient of dielectric constant ( τ ɛ ) of the composites shifted toward the positive direction (from - 309 ppm/°C to - 179 ppm/°C) as the SiO2 content was increased, while the coefficient of thermal expansion remained almost unchanged (˜ 35 ppm/°C).

  6. The photodeposition of surface plasmon Ag metal on SiO2@α-Fe2O3 nanocomposites sphere for enhancement of the photo-Fenton behavior

    Science.gov (United States)

    Uma, Kasimayan; Arjun, Nadarajan; Pan, Guan-Ting; Yang, Thomas C.-K.

    2017-12-01

    In this study, a simple sol-gel method was used for the synthesis of a core-shell structure of SiO2@α-Fe2O3 nanocomposites for employment as a visible light photocatalyst. It was observed that Ag nanoparticles about 20 nm in size were successfully deposited on the surface of the SiO2@α-Fe2O3 nanocomposites. The photocatalytic activity of the Ag-SiO2@α-Fe2O3 nanocomposites catalyst was investigated by observing the degradation of methylene blue (MB) dye in a photo-Fenton process. The results showed that the Ag nanoparticles acted as centers for photo induced electron transfer. The catalytic activity in the SiO2@α-Fe2O3 nanocomposites were enhanced due to the plasmoni c effect of Ag metal under visible light irradiation. The addition of H2O2 played an important role, generating more OH radicals which improved the photo-Fenton catalytic activity, resulting in quicker degradation of the MB dye using the Ag-SiO2@α-Fe2O3 nanocomposite catalyst.

  7. Reaction analysis of initial oxidation of silicon by UV-light-excited ozone and the application to rapid and uniform SiO2 film growth

    International Nuclear Information System (INIS)

    Tosaka, Aki; Nonaka, Hidehiko; Ichimura, Shingo; Nishiguchi, Tetsuya

    2007-01-01

    UV-light-excited O 3 prepared by irradiation of nearly 100% pure O 3 with a KrF excimer laser (λ=248 nm, irradiated area=30x10 mm 2 ) was utilized for low-temperature Si oxidation. The initial oxidation rate was determined, and the activation energy was shown to be almost zero (0.049 eV). To clarify the optimum oxidation conditions, the dependence of the SiO 2 film growth rate on the total photon number and the photon density was investigated. The evolution of O 3 density after UV-light irradiation was experimentally measured, and the O( 1 D) density change is discussed. O( 1 D) density changes are successfully explained by using a second-order reaction model, indicating that a pulse supply of oxygen atoms is essential in the initial oxidation process. The uniform oxidation of 8 in. Si wafer has been carried out using a wafer-transfer type chamber by irradiating the wafer with KrF excimer laser light expanded linearly to the wafer width by a concave lens

  8. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    Science.gov (United States)

    Kachurin, G. A.; Cherkova, S. G.; Marin, D. V.; Kesler, V. G.; Volodin, V. A.; Skuratov, V. A.

    2012-07-01

    Three hundred and twenty nanometer-thick SiO2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 1012 cm-2 and 1014 cm-2, or with 700 MeV Bi ions in the fluence range of 3 × 1012-1 × 1013 cm-2. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm-1, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO2. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ˜10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  9. Bioactivity of gel-glass powders in the CaO-SiO2 system: a comparison with ternary (CaO-P2O5-SiO2) and quaternary glasses (SiO2-CaO-P2O5-Na2O).

    Science.gov (United States)

    Saravanapavan, Priya; Jones, Julian R; Pryce, Russell S; Hench, Larry L

    2003-07-01

    Bioactive glasses react chemically with body fluids in a manner that is compatible with the repair processes of the tissues. This results in the formation of an interfacial bond between the glasses and living tissue. Bioactive glasses also stimulate bone-cell proliferation. This behavior is dependent on the chemical composition as well as the surface texture of the glasses. It has been recently reported that gel-derived monolith specimens in the binary SiO2 - CaO are bioactive over a similar molar range of SiO2 content as the previously studied ternary CaO-P2O5-SiO2 system. In this report, the preparation and bioactivity of the binary gel-glass powder with 70 mol % SiO2 is discussed and its bioactivity is compared with the melt-derived 45S5 (quaternary) Bioglass and sol-gel-derived 58S (ternary) bioactive gel-glass compositions. Dissolution kinetic parameters K(1) and K(2) were also computed based on the silicon release for all glass powders. It was shown that the simple two-component SiO2-CaO gel-glass powder is bioactive with comparable dissolution rates as the clinically used melt-derived 45S5 Bioglass powder and extensively studied sol-gel-derived 58S gel-glass powder. Copyright 2003 Wiley Periodicals, Inc.

  10. Luminescent and scintillation properties of Sc3+and La3+doped Y2SiO5 powders and single crystalline films

    International Nuclear Information System (INIS)

    Zorenko, Yu.; Gorbenko, V.; Zorenko, T.; Voznyak, T.; Voloshynovskii, A.; Vistovskiy, V.; Paprocki, K.; Mosińska, L.; Bilski, P.; Twardak, A.; Fedorov, A.; Nikl, M.; Mares, J.A.

    2016-01-01

    The paper is dedicated to the investigation of the luminescence of Sc 3+ and La 3+ isoelectronic impurities in Y 2 SiO 5 (YSO) single crystalline films (SCF), grown by the liquid phase epitaxy (LPE) method, and in the powder analogs of these compounds prepared using the ceramic technology. The Sc 3+ and La 3+ dopants replacing the Y 3+ cations in Y1and Y2 positions of YSO host introduce the strong complex emission bands in the UV range peaked at 330 and 345 nm, respectively. The Sc 3+ and La 3+ dopant in YSO matrix yields also the strong TSL peaks at 400 and 405 K related to the ScY and ScLa centers formation, respectively. The luminescence and scintillation properties of YSO SCFs doped with Sc 3+ and La 3+ ions and co-doped with Ce 3+ ions on the trace impurity level have been also studied in our work. We have found that the light yield (LY) of these YSO:Sc and YSO:La SCFs can reach 50–65% of LY in reference YSO:Ce SCF due to strong quenching influence of Pb 2+ ions. Finally, the potential of Sc 3+ and La 3+ doped SCF of orthosilicates for creation of heavy scintillation screens, emitting in the UV range, is discussed.

  11. PENGUJIAN AKTIVITAS KOMPOSIT Fe2O3-SiO2 SEBAGAI FOTOKATALIS PADA FOTODEGRADASI 4-KLOROFENOL (The Activity Test of Fe2O3-SiO2 Composite As Photocatalyst on 4-Chlorophenol Photodegradation

    Directory of Open Access Journals (Sweden)

    Eko Sri Kunarti

    2009-03-01

    Full Text Available ABSTRAK  Pada penelitian ini telah dilakukan pengujian aktivitas komposit Fe2O3-SiO2 sebagai fotokatalis pada fotodegradasi 4-klorofenol. Penelitian diawali dengan preparasi dan karakterisasi fotokatalis Fe2O3-SiO2. Preparasi dilakukan dengan metode sol-gel pada temperatur kamar menggunakan tetraetil ortosilikat (TEOS dan besi (III nitrat sebagai prekursor diikuti dengan perlakuan termal pada temperature 500 oC. Karakterisasi dilakukan dengan metode spektrometri inframerah, difraksi sinar-X dan spektrometri fluoresensi sinar-X. Uji aktivitas komposit untuk fotodegradasi 4-klorofenol dilakukan dalam reaktor tertutup yang dilengkapi dengan lampu UV. Pada uji ini telah dipelajari pengaruh waktu penyinaran dan pH larutan terhadap efektivitas fotodegradasi 4-klorofenol. Hasil penelitian menunjukkan bahwa komposit Fe2O3-SiO2 dapat dipreparasi dengan metode sol-gel pada temperatur kamar diikuti perlakuan termal. Komposit Fe2O3-SiO2 dapat meningkatkan efektivitas fotodegradasi 4-klorofenol dari 11,86 % menjadi 55,38 %. Efektivitas fotodegradasi 4- klorofenol dipengaruhi waktu penyinaran dan pH larutan yang semakin lama waktu penyinaran efektifitas fotodegradasi semakin tinggi, namun waktu penyinaran yang lebih lama dari 4 jam dapat menurunkan efektivitasnya. pH larutan memberikan pengaruh yang berbeda-beda pada efektivitas fotodegradasi 4-klorofenol.   ABSTRACT The activity test of Fe2O3-SiO2 composite as photocatalyst on 4-chlorophenol photodegradation has been studied. The research was initiated by preparation of Fe2O3-SiO2 photocatalyst and followed by characterization. The preparation was conducted by sol-gel method at room temperature using tetraethylorthosilicate (TEOS and iron (III nitrate as precursors followed by thermal treatment at a temperature of 500oC. The characterizations were performed by X-ray Diffraction (XRD, Infrared and X-ray Fluorescence Spectrophotometry. The photocatalytic activity test of composites for 4 chlorophenol

  12. Analysis of SiO2 nanoparticles binding proteins in rat blood and brain homogenate

    Directory of Open Access Journals (Sweden)

    Shim KH

    2014-12-01

    Full Text Available Kyu Hwan Shim,1 John Hulme,1 Eun Ho Maeng,2 Meyoung-Kon Kim,3 Seong Soo A An1 1Department of Bionano Technology, Gachon Medical Research Institute, Gachon University, Sungnam-si, 2Department of Analysis, KTR, Kimpo, Gyeonggi-do, 3Department of Biochemistry and Molecular Biology, Korea University Medical School and College, Seoul, South Korea Abstract: A multitude of nanoparticles, such as titanium oxide (TiO2, zinc oxide, aluminum oxide, gold oxide, silver oxide, iron oxide, and silica oxide, are found in many chemical, cosmetic, pharmaceutical, and electronic products. Recently, SiO2 nanoparticles were shown to have an inert toxicity profile and no association with an irreversible toxicological change in animal models. Hence, exposure to SiO2 nanoparticles is on the increase. SiO2 nanoparticles are routinely used in numerous materials, from strengthening filler for concrete and other construction composites, to nontoxic platforms for biomedical application, such as drug delivery and theragnostics. On the other hand, recent in vitro experiments indicated that SiO2 nanoparticles were cytotoxic. Therefore, we investigated these nanoparticles to identify potentially toxic pathways by analyzing the adsorbed protein corona on the surface of SiO2 nanoparticles in the blood and brain of the rat. Four types of SiO2 nanoparticles were chosen for investigation, and the protein corona of each type was analyzed using liquid chromatography-tandem mass spectrometry technology. In total, 115 and 48 plasma proteins from the rat were identified as being bound to negatively charged 20 nm and 100 nm SiO2 nanoparticles, respectively, and 50 and 36 proteins were found for 20 nm and 100 nm arginine-coated SiO2 nanoparticles, respectively. Higher numbers of proteins were adsorbed onto the 20 nm sized SiO2 nanoparticles than onto the 100 nm sized nanoparticles regardless of charge. When proteins were compared between the two charges, higher numbers of proteins were

  13. Photoluminescence properties of powder and pulsed laser-deposited PbS nanoparticles in SiO2

    International Nuclear Information System (INIS)

    Dhlamini, M.S.; Terblans, J.J.; Ntwaeaborwa, O.M.; Ngaruiya, J.M.; Hillie, K.T.; Botha, J.R.; Swart, H.C.

    2008-01-01

    Thin films of lead sulfide (PbS) nanoparticles embedded in an amorphous silica (SiO 2 ) host were grown on Si(1 0 0) substrates at different temperatures by the pulsed laser deposition (PLD) technique. Surface morphology and photoluminescence (PL) properties of samples were analyzed with scanning electron microscopy (SEM) and a 458 nm Ar + laser, respectively. The PL data show a blue-shift from the normal emission at ∼3200 nm in PbS bulk to ∼560-700 nm in nanoparticulate PbS powders and thin films. Furthermore, the PL emission of the films was red-shifted from that of the powders at ∼560 to ∼660 nm. The blue-shifting of the emission wavelengths from 3200 to ∼560-700 nm is attributed to quantum confinement of charge carriers in the restricted volume of nanoparticles, while the red-shift between powders and thin-film PbS nanoparticles is speculated to be due to an increase in the defect concentration. The red-shift increased slightly with an increase in deposition temperature, which suggests that there has been a relative growth in particle sizes during the PLD of the films at higher temperatures. Generally, the PL emission of the powders was more intense than that of the films, although the intensity of some of the films was improved marginally by post-deposition annealing at 400 deg. C. This paper compares the PL properties of powder and pulsed laser-deposited thin films of PbS nanoparticles and the effects of deposition temperatures

  14. High-energy ion-beam-induced phase separation in SiOx films

    International Nuclear Information System (INIS)

    Arnoldbik, W.M.; Tomozeiu, N.; Hattum, E.D. van; Lof, R.W.; Vredenberg, A.M.; Habraken, F.H.P.M.

    2005-01-01

    The modification of the nanostructure of silicon suboxide (SiO x ) films as a result of high-energy heavy-ion irradiation has been studied for the entire range 0.1≤x x films have been obtained by radio-frequency magnetron sputter deposition. For 50 MeV 63 Cu 8+ ions and an angle of incidence of 20 deg. with the plane of the surface, and for x≥0.5, it takes a fluence of about 10 14 /cm 2 to reach a Si-O-Si infrared absorption spectrum, which is supposed to be characteristic for a Si-SiO 2 composite film structure. For smaller x values, it takes a much larger fluence. The interpretation of the IR spectra is corroborated for the surface region by results from x-ray photoelectron spectroscopy. The results present evidence for a mechanism, in which the phase separation takes place in the thermal spike, initiated by the energy deposited in many overlapping independent ion tracks. Such a process is possible since the suboxides fulfill the conditions for spinodal decomposition

  15. Amorphous SiO {sub x} nanowires grown on silicon (100) substrates via rapid thermal process of nanodiamond films

    Energy Technology Data Exchange (ETDEWEB)

    Liang Xingbo [State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Wang Lei [State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Yang Deren [State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China)]. E-mail: mseyang@zju.edu.cn

    2006-05-01

    Rapid thermal process (RTP) has been carried out on the deposited nanocrystalline diamond (NCD) films. The RTP treatments performed at 800 and 1200 deg. C have been shown to exert prominent influence on the morphology and structure of the NCD films. The loss of material at grain boundaries has been observed at both 800 and 1200 deg. C RTP treatments. Large-scale amorphous SiO {sub x} nanowires with diameters of 30-50 nm and length up to 10 {mu}m were synthesized after RTP treatment at 1200 deg. C for 60 s. The synthesized nanowires were characterized in detail by scanning electron microscopy, transmission electron microscopy, selected area electron diffraction and energy-dispersed X-ray spectrometry analysis. A possible growth mechanism has been proposed to explain the observed phenomenon.

  16. Porous asymmetric SiO2-g-PMMA nanoparticles produced by phase inversion

    KAUST Repository

    Munirasu, Selvaraj

    2014-07-22

    A new kind of asymmetric organic-inorganic porous structure has been proposed. Asymmetric lattices of polymer grafted silica nanoparticles were manufactured by casting and phase inversion in water. Silica nanoparticles were first functionalized with 3-(dimethylethoxysilyl)propyl-2-bromoisobutyrate, followed by grafting of poly(methylmethacrylate) (PMMA) segments, performed by atom-transfer radical polymerization. Mechanically stable self-standing films were prepared by casting a dispersion of functionalized nanoparticles in different solvents and immersion in water. The resulting asymmetrically porous morphology and nanoparticle assembly was characterized by scanning electron and atomic force microscopy. The PMMA functionalized SiO2 hybrid material in acetone or acetone/dioxane led to the best-assembled structures. Porous asymmetric membranes were prepared by adding free PMMA and PMMA terminated with hydrophilic hydroxyl group. Nitrogen flow of 2800 L m-2 h -1 was measured at 1.3 bar demonstrating the porosity and potential application for membrane technology. © 2014 Springer Science+Business Media New York.

  17. Metal dioxides as analogue of SiO2 under strong compression studied by synchrotron XRD and simulations

    Science.gov (United States)

    Liu, H.; Liu, L. L.

    2017-12-01

    The phase transition sequence of SiO2 inducing by high pressure was theoretically predicted as coordination number (CN=6) structures (rutile, pyrite), CN=8 (Pnma) and CN=9 (P-62m) structures, but only the phases up to pyrite structure in SiO2 were observed experimentally up to now. The CN8 phase and CN9 phases of SiO2 were predicted to be stable at least 650 GPa, which is challenging to achieve in the static DAC experiment at present. In other metal dioxide systems, such as TiO2, the ambient rutile and anatase phases first transform to pyrite (CN6), then to the baddeleyite (CN7) phase, to a Pnma (CN8) phase and P-62m(CN9) phase. In this report, under strong compression at room temperature, several metal dioxides were studied experimentally and theoretically, to verify whether this theoretical predicted trend is common transition path under strong compression. This work was supported by Natural Science Foundation of China (11374075), Heilongjiang Province Science Fund for Distinguished Young Scholars (JC201005), Longjiang Scholar, the Fundamental Research Funds for the Central Universities (HIT. BRET1.2010002, HIT. IBRSEM.A.201403).

  18. TiO2, SiO2 and ZrO2 Nanoparticles Synergistically Provoke Cellular Oxidative Damage in Freshwater Microalgae

    Directory of Open Access Journals (Sweden)

    Yinghan Liu

    2018-02-01

    Full Text Available Metal-based nanoparticles (NPs are the most widely used engineered nanomaterials. The individual toxicities of metal-based NPs have been plentifully studied. However, the mixture toxicity of multiple NP systems (n ≥ 3 remains much less understood. Herein, the toxicity of titanium dioxide (TiO2 nanoparticles (NPs, silicon dioxide (SiO2 NPs and zirconium dioxide (ZrO2 NPs to unicellular freshwater algae Scenedesmus obliquus was investigated individually and in binary and ternary combination. Results show that the ternary combination systems of TiO2, SiO2 and ZrO2 NPs at a mixture concentration of 1 mg/L significantly enhanced mitochondrial membrane potential and intracellular reactive oxygen species level in the algae. Moreover, the ternary NP systems remarkably increased the activity of the antioxidant defense enzymes superoxide dismutase and catalase, together with an increase in lipid peroxidation products and small molecule metabolites. Furthermore, the observation of superficial structures of S. obliquus revealed obvious oxidative damage induced by the ternary mixtures. Taken together, the ternary NP systems exerted more severe oxidative stress in the algae than the individual and the binary NP systems. Thus, our findings highlight the importance of the assessment of the synergistic toxicity of multi-nanomaterial systems.

  19. SIMULTANEOUS OBSERVATIONS OF SiO AND H{sub 2}O MASERS TOWARD KNOWN STELLAR H{sub 2}O MASER SOURCES

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jaeheon [Yonsei University Observatory, Seongsan-ro 262, Seodaemun, Seoul 120-749 (Korea, Republic of); Cho, Se-Hyung [Korean VLBI Network Yonsei Radio Astronomy Observatory, Yonsei University, Seongsan-ro 262, Seodaemun, Seoul 120-749 (Korea, Republic of); Kim, Sang Joon, E-mail: jhkim@kasi.re.kr, E-mail: cho@kasi.re.kr, E-mail: sjkim1@khu.ac.kr [Department of Astronomy and Space Science, Kyung Hee University, Seocheon-Dong, Giheung-Gu, Yongin, Gyeonggi-Do 446-701 (Korea, Republic of)

    2013-01-01

    We present the results of simultaneous observations of SiO v = 1, 2, {sup 29}SiO v = 0, J = 1-0, and H{sub 2}O 6{sub 16}-5{sub 23} maser lines toward 152 known stellar H{sub 2}O maser sources using the Yonsei 21 m radio telescope of the Korean VLBI Network from 2009 June to 2011 January. Both SiO and H{sub 2}O masers were detected from 62 sources with a detection rate of 40.8%. The SiO-only maser emission without H{sub 2}O maser detection was detected from 27 sources, while the H{sub 2}O-only maser without SiO maser detection was detected from 22 sources. Therefore, the overall SiO maser emission was detected from 89 sources, resulting in a detection rate of 58.6%. We have identified 70 new detections of the SiO maser emission. For both H{sub 2}O and SiO maser detected sources, the peak and integrated antenna temperatures of SiO masers are stronger than those of H{sub 2}O masers in both Mira variables and OH/IR stars and the relative intensity ratios of H{sub 2}O to SiO masers in OH/IR stars are larger than those in Mira variables. In addition, distributions of 152 observed sources were investigated in the IRAS two-color diagram.

  20. Crack-resistant Al2O3-SiO2 glasses.

    Science.gov (United States)

    Rosales-Sosa, Gustavo A; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-07

    Obtaining "hard" and "crack-resistant" glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3 • 40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  1. Gigantic transverse voltage induced via off-diagonal thermoelectric effect in CaxCoO2 thin films

    Science.gov (United States)

    Takahashi, Kouhei; Kanno, Tsutomu; Sakai, Akihiro; Adachi, Hideaki; Yamada, Yuka

    2010-07-01

    Gigantic transverse voltages exceeding several tens volt have been observed in CaxCoO2 thin films with tilted c-axis orientation upon illumination of nanosecond laser pulses. The voltage signals were highly anisotropic within the film surface showing close relation with the c-axis tilt direction. The magnitude and the decay time of the voltage strongly depended on the film thickness. These results confirm that the large laser-induced voltage originates from a phenomenon termed the off-diagonal thermoelectric effect, by which a film out-of-plane temperature gradient leads to generation of a film in-plane voltage.

  2. Microwave electromagnetic and absorption properties of SiO2/C core/shell composites plated with metal cobalt

    Science.gov (United States)

    Shen, Guozhu; Fang, Xumin; Wu, Hongyan; Wei, Hongyu; Li, Jingfa; Li, Kaipeng; Mei, Buqing; Xu, Yewen

    2017-04-01

    A facile method has been developed to fabricate magnetic core/shell SiO2/C/Co sub-microspheres via the pyrolysis of SiO2/PANI (polyaniline) and electroless plating method. The electromagnetic parameters of these SiO2/C and SiO2/C/Co composites were measured and the microwave reflection loss properties were evaluated in the frequency range of 2-18 GHz. The results show that the dielectric loss of SiO2/C composite increases with the increase of carbonization temperature and the magnetic loss enhances due to the deposition of cobalt on the SiO2/C sub-microspheres. The reflection loss results exhibit that the microwave absorption properties of the SiO2/C/Co composites are more excellent than those of SiO2/C composites for each thickness. The maximum effective absorption bandwidth (reflection loss ≤ -10 dB) arrives at 5.0 GHz (13.0-18 GHz) for SiO2/C/Co composite with 1.5 mm of thickness and the minimum reflection loss value is -24.0 dB at 5.0 GHz with 4.0 mm of thickness. The microwave loss mechanism of the SiO2/C/Co composites was also discussed in this paper.

  3. Enhanced antioxidation and microwave absorbing properties of SiO2-coated flaky carbonyl iron particles

    Science.gov (United States)

    Zhou, Yingying; Xie, Hui; Zhou, Wancheng; Ren, Zhaowen

    2018-01-01

    SiO2 was successfully coated on the surface of flaky carbonyl iron particles using a chemical bath deposition method in the presence of 3-aminopropyl triethoxysilane (APTES). The morphologies, composition, valence states of elements, as well as antioxidation and electromagnetic properties of the samples were characterized by scanning electron microscope (SEM), energy dispersive spectrometer (EDS), X-ray photoelectron spectroscopy (XPS), thermogravimetric (TG) and microwave network analyzer. TG curve shows the obvious weight gain of carbonyl iron was deferred to 360 °C after SiO2-coated, which can be ascribed to the exits of SiO2 overlayer. Compared with the raw carbonyl iron, SiO2-coated sample shows good wave absorption performance due to its impedance matching. The electromagnetic properties of raw and SiO2-coated carbonyl iron particles were characterized in X band before and after heat treatment at 250 °C for 10 h. It was established that SiO2-coated carbonyl iron demonstrate good thermal stability, indicating SiO2-coating is useful in the usage of microwave absorbers operating at temperature up to 250 °C.

  4. X-ray absorption spectroscopy study on SiC-side interface structure of SiO2–SiC formed by thermal oxidation in dry oxygen

    Science.gov (United States)

    Isomura, Noritake; Kosaka, Satoru; Kataoka, Keita; Watanabe, Yukihiko; Kimoto, Yasuji

    2018-06-01

    Extended X-ray absorption fine structure (EXAFS) spectroscopy is demonstrated to measure the fine atomic structure of SiO2–SiC interfaces. The SiC-side of the interface can be measured by fabricating thin SiO2 films and using SiC-selective EXAFS measurements. Fourier transforms of the oscillations of the EXAFS spectra correspond to radial-structure functions and reveal a new peak of the first nearest neighbor of Si for m-face SiC, which does not appear in measurements of the Si-face. This finding suggests that the m-face interface could include a structure with shorter Si–C distances. Numerical calculations provide additional support for this finding.

  5. Enhancement of electron transfer from CdSe core/shell quantum dots to TiO2 films by thermal annealing

    International Nuclear Information System (INIS)

    Shao, Cong; Meng, Xiangdong; Jing, Pengtao; Sun, Mingye; Zhao, Jialong; Li, Haibo

    2013-01-01

    We demonstrated the enhancement of electron transfer from CdSe/ZnS core/shell quantum dots (QDs) to TiO 2 films via thermal annealing by means of steady-state and time-resolved photoluminescence (PL) spectroscopy. The significant decrease in PL intensities and lifetimes of the QDs on TiO 2 films was clearly observed after thermal annealing at temperature ranging from 100 °C to 300 °C. The obtained rates of electron transfer from CdSe core/shell QDs with red, yellow, and green emissions to TiO 2 films were significantly enhanced from several times to an order of magnitude (from ∼10 7 s −1 to ∼10 8 s −1 ). The improvement in efficiencies of electron transfer in the TiO 2 /CdSe QD systems was also confirmed. The enhancement could be considered to result from the thermal annealing reduced distance between CdSe QDs and TiO 2 films. The experimental results revealed that thermal annealing would play an important role on improving performances of QD based optoelectronic devices. -- Highlights: • Annealing-induced enhancement of electron transfer from CdSe to TiO 2 is reported. • CdSe QDs on TiO 2 and SiO 2 films are annealed at various temperatures. • Steady-state and time-resolved PL spectroscopy of CdSe QDs is studied. • The enhancement is related to the reduced distance between CdSe QDs and TiO 2

  6. Fabrication of a Large-Area Superhydrophobic SiO2 Nanorod Structured Surface Using Glancing Angle Deposition

    Directory of Open Access Journals (Sweden)

    Xun Lu

    2017-01-01

    Full Text Available A glancing angle deposition (GLAD technique was used to generate SiO2 nanorods on a glass substrate to fabricate a low-cost superhydrophobic functional nanostructured surface. GLAD-deposited SiO2 nanorod structures were fabricated using various deposition rates, substrate rotating speeds, oblique angles, and deposition times to analyze the effects of processing conditions on the characteristics of the fabricated functional nanostructures. The wettability of the surface was measured after surface modification with a self-assembled monolayer (SAM. The measured water contact angles were primarily affected by substrate rotation speed and oblique angle because the surface fraction of the GLAD nanostructure was mainly affected by these parameters. A maximum contact angle of 157° was obtained from the GLAD sample fabricated at a rotation speed of 5 rpm and an oblique angle of 87°. Although the deposition thickness (height of the nanorods was not a dominant factor for determining the wettability, we selected a deposition thickness of 260 nm as the optimum processing condition based on the measured optical transmittance of the samples because optically transparent films can serve as superhydrophobic functional nanostructures for optical applications.

  7. Development of nano SiO2 incorporated nano zinc phosphate coatings on mild steel

    International Nuclear Information System (INIS)

    Tamilselvi, M.; Kamaraj, P.; Arthanareeswari, M.; Devikala, S.; Selvi, J. Arockia

    2015-01-01

    Highlights: • Nano SiO 2 incorporated nano zinc phosphate coating on mild steel was developed. • Coatings showed enhanced corrosion resistance. • The nano SiO 2 is adsorbed on mild steel surface and become nucleation sites. • The nano SiO 2 accelerates the phosphating process. - Abstract: This paper reports the development of nano SiO 2 incorporated nano zinc phosphate coatings on mild steel at low temperature for achieving better corrosion protection. A new formulation of phosphating bath at low temperature with nano SiO 2 was attempted to explore the possibilities of development of nano zinc phosphate coatings on mild steel with improved corrosion resistance. The coatings developed were studied by Scanning Electron Microscopy (SEM), Energy-Dispersive X-ray Spectroscopy (EDX), X-ray Diffraction (XRD), Transmission Electron Microscopy (TEM) and Electrochemical measurements. Significant variation in the coating weight, morphology and corrosion resistance was observed as nano SiO 2 concentrations varied from 0.5–4 g/L. The results showed that, the nano SiO 2 in the phosphating solution changed the initial potential of the interface between mild steel substrate and phosphating solution and reduce the activation energy of the phosphating process, increase the nucleation sites and yielded zinc phosphate coatings of higher coating weight, greater surface coverage and enhanced corrosion resistance. Better corrosion resistance was observed for coatings derived from phosphating bath containing 1.5 g/L nano SiO 2 . The new formulation reported in the present study was free from Ni or Mn salts and had very low concentration of sodium nitrite (0.4 g/L) as accelerator

  8. Design of flexible PANI-coated CuO-TiO2-SiO2 heterostructure nanofibers with high ammonia sensing response values

    Science.gov (United States)

    Pang, Zengyuan; Nie, Qingxin; Lv, Pengfei; Yu, Jian; Huang, Fenglin; Wei, Qufu

    2017-06-01

    We report a room-temperature ammonia sensor with extra high response values and ideal flexibility, including polyaniline (PANI)-coated titanium dioxide-silicon dioxide (TiO2-SiO2) or copper oxide-titanium dioxide-silicon dioxide (CuO-TiO2-SiO2) composite nanofibers. Such flexible inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers were prepared by electrospinning, followed by calcination. Then, in situ polymerization of aniline monomers was carried out with inorganic TiO2-SiO2 and CuO-TiO2-SiO2 composite nanofibers as templates. Gas sensing tests at room temperature indicated that the obtained CuO-TiO2-SiO2/PANI composite nanofibers had much higher response values to ammonia gas (ca. 45.67-100 ppm) than most of those reported before as well as the prepared TiO2-SiO2/PANI composite nanofibers here. These excellent sensing properties may be due to the P-N, P-P heterojunctions and a structure similar to field-effect transistors formed on the interfaces between PANI, TiO2, and CuO, which is p-type, n-type, and p-type semiconductor, respectively. In addition, the prepared free-standing CuO-TiO2-SiO2/PANI composite nanofiber membrane was easy to handle and possessed ideal flexibility, which is promising for potential applications in wearable sensors in the future.

  9. Nano-structure formation of Fe-Pt perpendicular magnetic recording media co-deposited with MgO, Al2O3 and SiO2 additives

    International Nuclear Information System (INIS)

    Safran, G.; Suzuki, T.; Ouchi, K.; Barna, P.B.; Radnoczi, G.

    2006-01-01

    Perpendicular magnetic recording media samples were prepared by sputter deposition on sapphire with a layer sequence of MgO seed-layer/Cr under-layer/FeSi soft magnetic under-layer/MgO intermediate layer/FePt-oxide recording layer. The effects of MgO, Al 2 O 3 and SiO 2 additives on the morphology and orientation of the FePt layer were investigated by transmission electron microscopy. The samples exhibited (001) orientation of the L1 FePt phase with the mutual orientations of sapphire substrate//MgO(100)[001]//Cr(100)[11-bar0]//FeSi(100)[11-bar0]//MgO(100) [001]//FePt(001)[100]. The morphology of the FePt films varied due to the co-deposited oxides: The FePt layers were continuous and segmented by stacking faults aligned at 54 o to the surface. Films with SiO 2 addition, beside the oriented columnar FePt grains, exhibited a fraction of misoriented crystallites due to random repeated nucleation. Al 2 O 3 addition resulted in a layered structure, i.e. an initial continuous epitaxial FePt layer covered by a secondary layer of FePt-Al 2 O 3 composite. Both components (FePt and MgO) of the MgO-added samples were grown epitaxially on the MgO intermediate layer, so that a nano-composite of intercalated (001) FePt and (001) MgO was formed. The revealed microstructures and formation mechanisms may facilitate the improvement of the structural and magnetic properties of the FePt-oxide composite perpendicular magnetic recording media

  10. Facile synthesis of microporous SiO2/triangular Ag composite nanostructures for photocatalysis

    Science.gov (United States)

    Sirohi, Sidhharth; Singh, Anandpreet; Dagar, Chakit; Saini, Gajender; Pani, Balaram; Nain, Ratyakshi

    2017-11-01

    In this article, we present a novel fabrication of microporous SiO2/triangular Ag nanoparticles for dye (methylene blue) adsorption and plasmon-mediated degradation. Microporous SiO2 nanoparticles with pore size aminopropyl) trimethoxysilane) to introduce amine groups. Amine-functionalized microporous silica was used for adsorption of triangular silver (Ag) nanoparticles. The synthesized microporous SiO2 nanostructures were investigated for adsorption of different dyes including methylene blue, congo red, direct green 26 and curcumin crystalline. Amine-functionalized microporous SiO2/triangular Ag nanostructures were used for plasmon-mediated photocatalysis of methylene blue. The experimental results revealed that the large surface area of microporous silica facilitated adsorption of dye. Triangular Ag nanoparticles, due to their better charge carrier generation and enhanced surface plasmon resonance, further enhanced the photocatalysis performance.

  11. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  12. Composition and crystallization kinetics of R2O-Al2O3-SiO2 glass-ceramics

    International Nuclear Information System (INIS)

    Xiong, Dehua; Cheng, Jinshu; Li, Hong

    2010-01-01

    The crystallization behavior and microstructure of R 2 O-Al 2 O 3 -SiO 2 (R means K, Na and Li) glass were investigated by means of differential scanning calorimeter (DSC), X-ray diffraction (XRD) and scanning electron microscopy (SEM). The crystallization kinetic parameters including the crystallization apparent activation energy (E a ), the Avrami parameter (n), glass transition temperature (T g ) and the activity energy of glass transition (E t ) were also measured with different methods. The results have shown that: the DSC traces of composition A parent glass have two different precipitation crystallization peaks corresponding to E a1 (A) = 151.4 kJ/mol (Li 2 SiO 3 ) and E a2 (A) = 623.1 kJ/mol (Li 2 Si 2 O 5 ), the average value of n = 1.70 (Li 2 Si 2 O 5 ) for the surface crystallization and E t (A) = 202.8 kJ/mol. And E a (B) = 50.7 kJ/mol (Li 2 SiO 3 ), the average value of n = 3.89 (Li 2 SiO 3 ) for the bulk crystallization and E t (B) = 220.4 kJ/mol for the composition B parent glass. Because of the content of R 2 O is bigger than composition A, composition B parent glass has a lower E a , T g and a larger n, E t .

  13. Red/blue-shift dual-directional regulation of α-(Ca, Sr)2SiO4:Eu(2+) phosphors resulting from the incorporation content of Eu(2+)/Sr(2+) ions.

    Science.gov (United States)

    Lu, Zhijuan; Mao, Zhiyong; Chen, Jingjing; Wang, Dajian

    2015-09-21

    In this work, tunable emission from green to red and the inverse tuning from red to green in α-(Ca, Sr)2SiO4:Eu(2+) phosphors were demonstrated magically by varying the incorporation content of Eu(2+) and Sr(2+) ions, respectively. The tunable emission properties and the tuning mechanism of red-shift resulting from the Eu(2+) content as well as that of blue-shift induced by the Sr(2+) content were investigated in detail. As a result of fine-controlling the incorporation content of Eu(2+), the emission peak red-shifts from 541 nm to 640 nm. On the other hand, the emission peak inversely blue-shifts from 640 nm to 546 nm through fine-adjusting the incorporation content of Sr(2+). The excellent tuning characteristics for α-(Ca, Sr)2SiO4:Eu(2+) phosphors presented in this work exhibited their various application prospects in solid-state lighting combining with a blue chip or a near-UV chip.

  14. Synthesis of metallic nanoparticles in SiO2 matrices

    International Nuclear Information System (INIS)

    Gutierrez W, C.; Mondragon G, G.; Perez H, R.; Mendoza A, D.

    2004-01-01

    Metallic nanoparticles was synthesized in SiO 2 matrices by means of a process of two stages. The first one proceeded via sol-gel, incorporating the metallic precursors to the reaction system before the solidification of the matrix. Later on, the samples underwent a thermal treatment in atmosphere of H 2 , carrying out the reduction of the metals that finally formed to the nanoparticles. Then it was detected the presence of smaller nanoparticles than 20 nm, dispersed and with the property of being liberated easily of the matrix, conserving a free surface, chemically reactive and with response to external electromagnetic radiation. The system SiO 2 -Pd showed an important thermoluminescent response. (Author)

  15. Structural aspects of B2O3-substituted (PbO)0.5(SiO2)0.5 glasses

    International Nuclear Information System (INIS)

    Sudarsan, V.; Kulshreshtha, S.K.; Shrikhande, V.K.; Kothiyal, G.P.

    2002-01-01

    Lead borosilicate glasses having general formulae (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x with 0.0≤x≤0.4 and (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y with 0.0≤y≤0.5 have been prepared by a conventional melt-quench method and characterized by 29 Si, 11 B magic angle spinning (MAS) NMR techniques and infrared spectroscopy, as regards their structural features. From 29 Si NMR results, it has been inferred that with increasing concentration of boron oxide, (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 )x glasses exhibit a systematic increase in the number of Q 4 structural units of Si at the expense of Q 2 structural units, along with the formation of Si-O-B linkages. On the other hand, for (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y glasses, there is no direct interaction between SiO 2 and B 2 O 3 in the glass network, as revealed by the 29 Si MAS NMR studies. Boron exists in both trigonal and tetrahedral configurations for these two series of glasses and for the (PbO) 0.5 (SiO 2 ) 0.5-y (B 2 O 3 ) y series of glasses; the relative concentration of these two structural units remains almost constant with increasing B 2 O 3 concentration. In contrast, for (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x glasses, there is a slight increase in the number of BO 3 structural units above x = 0.2, as there is a competition between SiO 2 and B 2 O 3 for interaction with Pb 2+ , thereby leading to the formation of BO 3 structural units. For both series of glasses, the thermal expansion coefficient is found to decrease with increasing B 2 O 3 concentration, the effect being more pronounced for the (PbO) 0.5-x (SiO 2 ) 0.5 (B 2 O 3 ) x series of glasses due to the increased concentration of Q 4 structural units of silicon and better cross-linking as a result of the formation of Si-O-B-type linkages. (author)

  16. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  17. Structure and crystallization of SiO2 and B2O3 doped lithium disilicate glasses from theory and experiment.

    Science.gov (United States)

    Erlebach, Andreas; Thieme, Katrin; Sierka, Marek; Rüssel, Christian

    2017-09-27

    Solid solutions of SiO 2 and B 2 O 3 in Li 22SiO 2 are synthesized and characterized for the first time. Their structure and crystallization mechanisms are investigated employing a combination of simulations at the density functional theory level and experiments on the crystallization of SiO 2 and B 2 O 3 doped lithium disilicate glasses. The remarkable agreement of calculated and experimentally determined cell parameters reveals the preferential, kinetically controlled incorporation of [SiO 4 ] and [BO 4 ] at the Li + lattice sites of the Li 22SiO 2 crystal structure. While the addition of SiO 2 increases the glass viscosity resulting in lower crystal growth velocities, glasses containing B 2 O 3 show a reduction of both viscosities and crystal growth velocities. These observations could be rationalized by a change of the chemical composition of the glass matrix surrounding the precipitated crystal phase during the course of crystallization, which leads to a deceleration of the attachment of building units required for further crystal growth at the liquid-crystal interface.

  18. Light-emitting Si films formed by neutral cluster deposition in a thin O2 gas

    International Nuclear Information System (INIS)

    Honda, Y.; Takei, M.; Ohno, H.; Shida, S.; Goda, K.

    2005-01-01

    We have fabricated the light-emitting Si-rich and oxygen-rich amorphous SiO 2 (a-SiO 2 ) films using the neutral cluster deposition (NCD) method without and with oxygen gas admitted, respectively, and demonstrate for the first time that these films show a photoluminescent feature. The Si thin films were observed by atomic force microscopy and high-resolution transmission electron microscopy, and analyzed by means of X-ray photoelectron spectroscopy, photoluminescence (PL) and FTIR-attenuated total reflection measurements. All of the PL spectra show mountainous distribution with a peak around 620 nm. It is found that the increase in the oxygen termination in the a-SiO 2 films evidently makes the PL intensity increase. It is demonstrated that NCD technique is one of the hopeful methods to fabricate light-emitting Si thin films

  19. Beyond sixfold coordinated Si in SiO2 glass at ultrahigh pressures.

    Science.gov (United States)

    Prescher, Clemens; Prakapenka, Vitali B; Stefanski, Johannes; Jahn, Sandro; Skinner, Lawrie B; Wang, Yanbin

    2017-09-19

    We investigated the structure of SiO 2 glass up to 172 GPa using high-energy X-ray diffraction. The combination of a multichannel collimator with diamond anvil cells enabled the measurement of structural changes in silica glass with total X-ray diffraction to previously unachievable pressures. We show that SiO 2 first undergoes a change in Si-O coordination number from fourfold to sixfold between 15 and 50 GPa, in agreement with previous investigations. Above 50 GPa, the estimated coordination number continuously increases from 6 to 6.8 at 172 GPa. Si-O bond length shows first an increase due to the fourfold to sixfold coordination change and then a smaller linear decrease up to 172 GPa. We reconcile the changes in relation to the oxygen-packing fraction, showing that oxygen packing decreases at ultrahigh pressures to accommodate the higher than sixfold Si-O coordination. These results give experimental insight into the structural changes of silicate glasses as analogue materials for silicate melts at ultrahigh pressures.

  20. Synthesis and Characterization of Ti-Phenyl at SiO2 Core-Shell Nanoparticles Catalyst

    International Nuclear Information System (INIS)

    Syamsi Aini; Jon Efendi; Syamsi Aini; Jon Efendi

    2012-01-01

    This study highlights the potential use of Ti-Phenyl at SiO 2 core-shell nanoparticles as heterogeneous catalysis in oxidation reaction. The Ti-Phenyl at SiO 2 was synthesized by reduction of TiCl 4 and diazonium salt with sodium borohydride to produce phenyl titanium nanoparticles (Ti-Phenyl), followed by the silica shell coating using tetraethyl orthosilicate (TEOS). The Ti-Phenyl at SiO 2 nanoparticles were characterized by Fourier transform infrared (FTIR) spectrometer, diffuse reflectance (DR) UV-visible spectrometer, thermogravimetric analyzer (TGA), X-ray diffraction (XRD) spectrometer, field emission scanning electron microscope (FESEM) and transmission electron microscope (TEM). The core-shell size of Ti-Phenyl at SiO 2 was in the range of 40 to 100 nm with its core composed with an agglomeration of Ti-Phenyl. The Ti-Phenyl at SiO 2 was active as a catalyst in the liquid phase epoxidation of 1-octene with aqueous hydrogen peroxide as an oxidant. (author)

  1. Cotton fabric finishing with TiO2/SiO2 composite hydrosol based on ionic cross-linking method

    International Nuclear Information System (INIS)

    Xu, Z.J.; Tian, Y.L.; Liu, H.L.; Du, Z.Q.

    2015-01-01

    Highlights: • We studied the cotton finishing with TiO 2 /SiO 2 based on ionic cross-linking method. • The samples treated with CHTAC had lower value of whiteness. • The samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. • The ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) was better than with TiO 2 /SiO 2 sol alone. - Abstract: Cotton fabric was successfully modified by 3-chloro-2-hydroxypropyl trimethyl ammonium chloride (CHTAC), 1,2,3,4-butanetetracarboxylic acid (BTCA) and TiO 2 /SiO 2 sol. Self-cleaning characteristic was investigated using a Color Measuring and Matching System with 6 h sunlight irradiation. And the stability of TiO 2 /SiO 2 coatings was explored by measuring the washing fastness and wrinkle resistance of treated cotton samples. In addition, whiteness index, crease recovery angle and tensile strength retention (%) of treated samples were evaluated. Moreover, the morphology, structure change and crystallinity of samples were observed by scanning electron microscopy (SEM), Fourier transform infrared spectroscopy (FTIR) and X-ray diffraction (XRD), respectively. The results revealed that the samples treated with CHTAC had lower value of whiteness index as compared with original cotton fabric. It was also found that samples treated with BTCA achieved higher crease recovery angle and lower tensile strength. Moreover, the treatment of CHTAC and BTCA had adverse effect on the crystallinity of cotton samples, as treated samples had lower crystallinity in comparison with raw cotton fabrics. Nevertheless, the stability of self-cleaning coatings was better for samples treated with ionic cross-linking treatment (CHTAC + BTCA + TiO 2 /SiO 2 ) than samples treated with TiO 2 /SiO 2 sol alone. Furthermore, compared with original samples the UV-blocking property of ionic cross-linking treated samples was obviously enhanced

  2. Rewritable phase-change optical recording in Ge2Sb2Te5 films induced by picosecond laser pulses

    International Nuclear Information System (INIS)

    Siegel, J.; Schropp, A.; Solis, J.; Afonso, C.N.; Wuttig, M.

    2004-01-01

    The phase transformation dynamics induced in Ge 2 Sb 2 Te 5 films by picosecond laser pulses were studied using real-time reflectivity measurements with subnanosecond resolution. Evidence was found that the thermal diffusivity of the substrate plays a crucial role in determining the ability of the films to crystallize and amorphize. A film/substrate configuration with optimized heat flow conditions for ultrafast phase cycling with picosecond laser pulses was designed and produced. In this system, we achieved reversible phase transformations with large optical contrast (>20%) using single laser pulses with a duration of 30 ps within well-defined fluence windows. The amorphization (writing) process is completed within less than 1 ns, whereas crystallization (erasing) needs approximately 13 ns to be completed

  3. Analysis of thermal detrapping of holes created by electron irradiation in high purity amorphous SiO_2 using the induced and secondary current measurements

    International Nuclear Information System (INIS)

    Said, K.; Moya, G.; Si Ahmed, A.; Damamme, G.; Kallel, A.

    2016-01-01

    Graphical abstract: - Highlights: • Positive charging of high purity amorphous SiO_2 achieved by electron irradiation in a specially equipped scanning electron microscope. • Quantity of detrapped holes evaluated via measurements of induced and secondary electron currents. • Study of isothermal detrapping for different temperatures (300–663 K). • Analysis of the hole detrapping via a first order kinetics. • Evaluation of the hole detrapping parameters (activation energy and frequency factor). - Abstract: Isothermal detrapping of holes after electron irradiation (using a SEM) in high purity amorphous SiO_2 is evaluated at different temperatures (in the range 300–663 K) by means of the induced and secondary current measurements. In order to single out the hole detrapping, the specific charging conditions (1 keV defocused electron beam of low density) leading to positive charging are adopted. The thermal detrapping, which stems from a single trap, begins at 523 K and is completed at 663 K. After annealing in air at 973 K during 48 h, two detrapping stages are revealed: the former is connected with an additional shallow trap, while the latter requires temperatures above 663 K for a complete detrapping. The first order kinetics describes reasonably well the detrapping process. The frequency factors (near 10"1"0 s"−"1) and the activation energies (about 1.6 eV) deduced from this analysis could be assigned, respectively, to the relaxation connected to detrapping and to the trap energy level of the charged oxygen vacancy.

  4. Ca2 Al2 SiO7 :Ce3+ phosphors for mechanoluminescence dosimetry.

    Science.gov (United States)

    Tiwari, Geetanjali; Brahme, Nameeta; Sharma, Ravi; Bisen, D P; Sao, Sanjay Kumar; Sahu, Ishwar Prasad

    2016-12-01

    A series of Ce 3+ ion single-doped Ca 2 Al 2 SiO 7 phosphors was synthesized by a combustion-assisted method at an initiating temperature of 600 °C. The samples were annealed at 1100 °C for 3 h and their X-ray diffraction patterns confirmed a tetragonal structure. The phase structure, particle size, surface morphology and elemental analysis were analyzed using X-ray diffraction (XRD), transmission electron microscope (TEM), scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) spectroscopy techniques. Thermoluminescence (TL) intensity increased with increase in ultraviolet (UV) light exposure time up to 15 min. With further increase in the UV irradiation time the TL intensity decreases. The increase in TL intensity indicates that trap concentration increased with UV exposure time. A broad peak at 121 °C suggested the existence of a trapping level. The peak of mechanoluminescence (ML) intensity versus time curve increased linearly with increasing impact velocity of the moving piston. Mechanoluminescence intensity increased with increase in UV irradiation time up to 15 min. Under UV-irradiation excitation, the TL and ML emission spectra of Ca 2 Al 2 SiO 7 :Ce 3+ phosphor showed the characteristic emission of Ce 3+ peaking at 400 nm (UV-violet) and originating from the Ce 3+ transitions of 5d-4f ( 2 F 5/2 and 2 F 7/2 ). The photoluminescence (PL) emission spectra for Ca 2 Al 2 SiO 7 :Ce 3+ were similar to the ML/TL emission spectra. The mechanism of ML excitation and the suitability of the Ca 2 Al 2 SiO 7 :Ce 3+ phosphor for radiation dosimetry are discussed. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  5. Efficiency of Lu2SiO5:Ce (LSO) powder phosphor as X-ray to light converter under mammographic imaging conditions

    International Nuclear Information System (INIS)

    David, S.; Michail, C.; Valais, I.; Nikolopoulos, D.; Liaparinos, P.; Kalivas, N.; Kalatzis, I.; Toutountzis, A.; Efthimiou, N.; Loudos, G.; Sianoudis, I.; Cavouras, D.; Dimitropoulos, N.; Nomicos, C.D.; Kandarakis, I.; Panayiotakis, G.S.

    2007-01-01

    The aim of the present study was to examine the light emission efficiency of Lu 2 SiO 5 :Ce (LSO) powder scintillator under X-ray mammographic imaging conditions. Powder LSO scintillator has never been used in X-ray imaging. For the purposes of the present study, a 25 mg/cm 2 thick scintillating screen was prepared in our laboratory, by sedimentation of Lu 2 SiO 5 :Ce powder. Absolute luminescence efficiency measurements were performed within the range of X-ray tube voltages (22-49 kVp) used in mammographic applications. Parameters related to X-ray detection, i.e. the energy absorption efficiency (EAE) and the quantum detection efficiency (QDE) were calculated. A theoretical model, describing radiation and light transfer, was employed to fit experimental data and to estimate values of the intrinsic conversion efficiency and the light attenuation coefficients of the screen. The spectral compatibility of the LSO powder scintillator to mammographic X-ray films and to various electronic optical detectors was determined by performing light emission spectrum measurements and by taking into account the spectral sensitivity of the optical detectors. Results in the voltage range used in mammography showed that Lu 2 SiO 5 :Ce powder scintillator has approximately 10% higher values of QDE and 4.5% higher values of EAE than Gd 2 O 2 S:Tb

  6. Scaling of the anomalous Hall current in Fe100−x(SiO2)x films

    KAUST Repository

    Xu, W. J.; Zhang, Bei; Wang, Q. X.; Mi, W. B.; Wang, Z.; Li, W.; Yu, R. H.; Zhang, Xixiang

    2011-01-01

    To study the origin of the anomalous Hall effect, Fe100−x(SiO2)x granular films with a volume fraction of SiO2 (0 ⩽ x ⩽ 40.51) were fabricated using cosputtering. Hall and longitudinal resistivities were measured in the temperature range of 5–350 K

  7. Space Weathering of Silicates Simulated by Successive Laser Irradiation: In Situ Reflectance Measurements of Fo90, Fo99+, and Sio2

    Science.gov (United States)

    Loeffler, M. J.; Dukes, C. A.; Christoffersen, R.; Baragiola, R. A.

    2016-01-01

    Pulsed-laser irradiation causes the visible-near-infrared spectral slope of olivine (Fo90 and Fo99+) and SiO2 to increase (redden), while the olivine samples darken and the SiO2 samples brighten slightly. XPS analysis shows that irradiation of Fo90 produces metallic Fe. Analytical SEM and TEM measurements confirm that reddening in the Fo90 olivine samples correlates with the production of nanophase metallic Fe (npFe0) grains, 2050 nm in size. The reddening observed in the SiO2 sample is consistent with the formation of SiO or other SiOx species that absorb in the visible. The weak spectral brightening induced by laser irradiation of SiO2 is consistent with a change in surface topography of the sample. The darkening observed in the olivine samples is likely caused by the formation of larger npFe0 particles, such as the 100400 nm diameter npFe0 identified during our TEM analysis of Fo90 samples. The Fo90 reflectance spectra are qualitatively similar to those in previous experiments suggesting that in all cases formation of npFe0 is causing the spectral alteration. Finally, we find that the accumulation of successive laserpulses cause continued sample darkening in the Vis-NIR, which suggests that repeated surface impacts are an efficient way to darken airless body surfaces.

  8. Raman and optical absorption spectroscopic investigation of Yb-Er codoped phosphate glasses containing SiO2

    Institute of Scientific and Technical Information of China (English)

    Youkuo Chen; Lei Wen; Lili Hu; Wei Chen; Y. Guyot; G. Boulon

    2009-01-01

    Yb-Er codoped Na2O-Al2O3-P2Os-xSiO2 glasses containing 0 鈥? 20 mol% SiO2 were prepared successfully. The addition of SiO2 to the phosphate glass not only lengthens the bond between P5+ and non-bridging oxygen but also reduces the number of P=O bond. In contrast with silicate glass in which there is only four-fold coordinated Si4+, most probably there coexist [SiO4] tetrahedron and [SiO6] octahedron in our glasses. Within the range of 0 鈥? 20 mol% SiO2 addition, the stimulated emission cross-section of Er3+ ion only decreases no more than 10%. The Judd-Ofelt intensity parameters of Er3+, 惟.2 does not change greatly, but 惟74 and 惟6 decrease obviously with increasing SiO2 addition, because the bond between Er + and O2- is more strongly covalently bonded.

  9. Heterogeneous integration of thin film compound semiconductor lasers and SU8 waveguides on SiO2/Si

    Science.gov (United States)

    Palit, Sabarni; Kirch, Jeremy; Mawst, Luke; Kuech, Thomas; Jokerst, Nan Marie

    2010-02-01

    We present the heterogeneous integration of a 3.8 μm thick InGaAs/GaAs edge emitting laser that was metal-metal bonded to SiO2/Si and end-fire coupled into a 2.8 μm thick tapered SU8 polymer waveguide integrated on the same substrate. The system was driven in pulsed mode and the waveguide output was captured on an IR imaging array to characterize the mode. The waveguide output was also coupled into a multimode fiber, and into an optical head and spectrum analyzer, indicating lasing at ~997 nm and a threshold current density of 250 A/cm2.

  10. SiO2 stabilized Pt/C cathode catalyst for proton exchange membrane fuel cells

    International Nuclear Information System (INIS)

    Zhu Tong; Du Chunyu; Liu Chuntao; Yin Geping; Shi Pengfei

    2011-01-01

    This paper describes the preparation of SiO 2 stabilized Pt/C catalyst (SiO 2 /Pt/C) by the hydrolysis of alkoxysilane, and examines the possibility that the SiO 2 /Pt/C is used as a durable cathode catalyst for proton exchange membrane fuel cells (PEMFCs). TEM and XRD results revealed that the hydrolysis of alkoxysilane did not significantly change the morphology and crystalline structure of Pt particles. The SiO 2 /Pt/C catalyst exhibited higher durability than the Pt/C one, due to the facts that the silica layers covered were beneficial for reducing the Pt aggregation and dissolution as well as increasing the corrosion resistance of supports, although the benefit of silica covering was lower than the case of Pt/CNT catalyst. Also, it was observed that the activity of the SiO 2 /Pt/C catalyst for the oxygen reduction reaction was somewhat reduced compared to the Pt/C one after the silica covering. This reduction was partially due to the low oxygen kinetics as revealed by the rotating-disk-electrode measurement. Silica covering by hydrolysis of only 3-aminopropyl trimethoxysilane is able to achieve a good balance between the durability and activity, leading to SiO 2 /Pt/C as a promising cathode catalyst for PEMFCs.

  11. Characterization of the implantation damage in SiO2 with x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ajioka, T.; Ushio, S.

    1986-01-01

    X-ray photoelectron spectroscopy (XPS) has been applied to characterize the damage introduced into SiO 2 by ion implantation. By measuring the peak width of Si/sub 2p/ from SiO 2 which corresponds to perturbation of the SiO 2 network, good depth profiles of the damage have been obtained for implanted samples and subsequently annealed samples. The results show that the damage distributed more widely than that calculated from energy deposition and that the perturbation of the network is caused not only by radiation damage but also by the existence of impurities in the network. It has been found that the XPS method is effective to understand the atomic structure, and thus, electrical properties of SiO 2

  12. Adsorption of uranyl in SiO2 porous glass

    International Nuclear Information System (INIS)

    Benedetto, F. E.; Prado, M. O.

    2013-01-01

    Vitreous SiO 2 porous matrices can be used in many applications involving the uptake of chemical species on its solid surface. In this work, vitreous silica sponges were prepared from a sodium borosilicate glass manufactured in our laboratory. The product obtained was then separated into phases with subsequent leaching of the soluble phase rich in B and Na. The resulting porous matrices have a specific surface of 35 m2/gr. Adsorption of uranyl ions onto the SiO 2 porous surface was studied to evaluate the use of this material as a filter for treatment of uranium containing water. The effects of contact time, adsorbent mass and equilibrium concentration of solution were studied. The porous adsorbent exhibits a pseudo-second-order kinetic behavior. The sponges with adsorbed uranium were thermally sealed as a way of U immobilization. Retention of uranium was confirmed during the matrix sealing by TGA. Uranium concentration before and after adsorption tests were made by means of ICP-OES. For uranium concentration of 800 ppm, 72 hours contact time and pH of 3.5, the amount of uranium adsorbed was 21.06 ± 0.02 mg U per gram of vitreous porous SiO 2 . (author)

  13. Studies of the confinement at laser-induced backside dry etching using infrared nanosecond laser pulses

    Science.gov (United States)

    Ehrhardt, M.; Lorenz, P.; Bayer, L.; Han, B.; Zimmer, K.

    2018-01-01

    In the present study, laser-induced backside etching of SiO2 at an interface to an organic material using laser pulses with a wavelength of λ = 1064 nm and a pulse length of τ = 7 ns have been performed in order to investigate selected processes involved in etching of the SiO2 at confined ablation conditions with wavelengths well below the band gap of SiO2. Therefore, in between the utilized metallic absorber layer and the SiO2 surface, a polymer interlayer with a thickness between 20 nm to 150 nm was placed with the aim, to separate the laser absorption process in the metallic absorber layer from the etching process of the SiO2 surface due to the provided organic interlayer. The influence of the confinement of the backside etching process was analyzed by the deposition of different thick polymer layers on top of the metallic absorber layer. In particular, it was found that the SiO2 etching depth decreases with higher polymer interlayer thickness. However, the etching depth increases with increasing the confinement layer thickness. SEM images of the laser processed areas show that the absorber and confinement layers are ruptured from the sample surface without showing melting, and suggesting a lift off process of these films. The driving force for the layers lift off and the etching of the SiO2 is probably the generated laser-induce plasma from the confined ablation that provides the pressure for lift off, the high temperatures and reactive organic species that can chemically attack the SiO2 surface at these conditions.

  14. Effects of four step sintering on Y2O3: SiO2 nanocomposite

    International Nuclear Information System (INIS)

    Ahlawat, Rachna

    2015-01-01

    Need for high performance materials for advanced applications have led to the development of new concepts in materials design processing and their fabrication. The development of nanocrystalline materials with improved and novel properties is an important turning point in materials research. In present work, we report synthesis and structural characterization of Y 2 O 3 : SiO 2 nanocomposite. Sol-gel technique is being used to prepare-Y 2 O 3 SiO 2 nanocomposite due to its effectiveness in preparing samples with good mixing of starting materials and at relatively low reaction temperature. We have used Y(NO 3 ) 3 .4H 2 O and TEOS as precursors and followed usual approach of sol-gel technique, the final product of Y 2 O 3 : SiO 2 nanocomposite is obtained in the form of powder. The powder samples were sintered at different temperature for different time durations in programmable muffle furnace. The samples were characterized by complementary techniques as X-Ray Diffraction (XRD), Fourier Transforms Infrared Spectroscopy (FTIR) and Transmission Electron Microscopy (TEM). (author)

  15. The electrorheological properties of nano-sized SiO2 particle materials doped with rare earths

    International Nuclear Information System (INIS)

    Liu Yang; Liao Fuhui; Li Junran; Zhang Shaohua; Chen Shumei; Wei Chenguan; Gao Song

    2006-01-01

    Electrorheological (ER) materials of pure SiO 2 and SiO 2 doped with rare earths (RE = Ce, Gd, Y) (non-metallic glasses (silicates)) were prepared using Na 2 SiO 3 and RECl 3 as starting materials. The electrorheological properties are not enhanced by all rare earth additions. The material doped with Ce exhibits the best ER performance

  16. Analysis of multiferroic properties in BiMnO3 thin films

    International Nuclear Information System (INIS)

    Grizalez, M; Mendoza, G A; Prieto, P

    2009-01-01

    Textured BiMnO 3 [111] thin films on SrTiO 3 (100) and Pt/TiO 2 /SiO 2 substrates were grown via r.f. magnetron sputtering (13.56 MHz). The XRD spectra confirmed a monoclinic structure and high-quality textured films for the BiMnO 3 films. The films grown on SrTiO 3 (100) showed higher crystalline quality than those developed on Pt/TiO 2 /SiO 2 . Through optimized oxygen pressure of 5x10 -2 mbar during the r.f. sputtering deposition, the crystalline orientation of the BiMnO 3 film was improved with respect to the previously reported value of 2x10 -1 mbar. The values of spontaneous polarization (P s ), remnant polarization (P r ), and coercive field (F c ) from ferroelectric hysteresis loops (P-E) at different temperatures were also obtained. Samples with higher crystalline order revealed better dielectric properties (high P s and P r values and a low F c ). For films on both types of substrates, the ferroelectric behavior was found to persist up to 400K. Measurements at higher temperatures were difficult to obtain given the increased conductivity of the films. Magnetic hysteresis loops from 5K to 120K were obtained for BiMnO 3 films grown on SrTiO 3 and Pt/TiO 2 /SiO 2 substrates. The results suggested that the coexistence of the magnetic and electric phases persists up to 120K.

  17. Electrical characteristics of top contact pentacene organic thin film

    Indian Academy of Sciences (India)

    Organic thin film transistors (OTFTs) were fabricated using pentacene as the active layer with two different gate dielectrics, namely SiO2 and poly(methyl methacrylate) (PMMA), in top contact geometry for comparative studies. OTFTs with SiO2 as dielectric and gold deposited on the rough side of highly doped silicon (n+ -Si) ...

  18. Luminescence characteristics of Mg2SiO4:Nd

    International Nuclear Information System (INIS)

    Indira, P.; Subrahmanyam, R.V.; Murthy, K.V.R.

    2011-01-01

    Thermoluminescence (TL) properties of Magnesium Ortho silicate (2:1) Mg 2 SiO 4 doped with various concentrations of rare earth (Nd) have been studied. The phosphor material were prepared using standard solid state reaction technique and heated specimens at 1100 ± 20 deg C for two hours. 4% Ammonium chloride was used as flux. The received material was grinded in an agate mortar and pestle. The TL exhibited by the Mg 2 SiO 4 with varying concentration of Nd is interesting in nature. It is interesting to note but as the concentration of Nd increases the peak around 125 deg C TL peak intensity increases. But the hump around 200 deg C resolved as TL peak at 253 deg C with high intensity. (author)

  19. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo

    2018-02-28

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates. Then, bottom-contact graphene field effect transistors (G-FETs) were fabricated on the NP SiO2/Si substrate to measure the transfer curves. The graphene transferred onto the NP SiO2/Si substrate showed relatively n-doped behavior compared to the graphene transferred onto a flat SiO2/Si substrate, as evidenced by the blue-shift of the 2D peak position (∼2700 cm−1) in the Raman spectra due to contact doping. As the porosity increased within the substrate, the Dirac voltage shifted to a more positive or negative value, depending on the initial doping type (p- or n-type, respectively) of the contact doping. The Dirac voltage shifts with porosity were ascribed mainly to the compensation for the reduced capacitance owing to the SiO2–air hetero-structured dielectric layer within the periodically aligned nanopores capped by the suspended graphene (electrostatic doping). The hysteresis (Dirac voltage difference during the forward and backward scans) was reduced when utilizing an NP SiO2/Si substrate with smaller pores and/or a low porosity because fewer H2O or O2 molecules could be trapped inside the smaller pores.

  20. Fourier transform infrared spectroscopic study of gamma irradiated SiO2 nanoparticles

    Science.gov (United States)

    Huseynov, Elchin; Garibov, Adil; Mehdiyeva, Ravan; Huseynova, Efsane

    2018-03-01

    In the present work, nano SiO2 particles are investigated before and after gamma irradiation (25, 50, 75, 100 and 200 kGy) using Fourier transform infrared (FTIR) spectroscopy method for the wavenumber between 400-4000 cm-1. It is found that as a result of spectroscopic analysis, five new peaks have appeared after gamma radiation. Two of new obtained peaks (which are located at 687 cm-1 and 2357 cm-1 of wavenumber) were formed as a result of gamma radiation interaction with Si-O bonds. Another three new peaks (peaks appropriate to 941, 2052 and 2357 cm-1 values of wavenumber) appear as a result of interaction of water with nano SiO2 particles after gamma irradiation. It has been defined as asymmetrical bending vibration, symmetrical bending vibration, symmetrical stretching vibration and asymmetrical stretching vibration of Si-O bonds appropriate to peaks.

  1. Effect of Ni/Al2O3-SiO2 and Ni/Al2O3-SiO2 with K2O Promoter Catalysts on H2, CO and CH4 Concentration by CO2 Gasification of Rosa Multiflora Biomass

    Directory of Open Access Journals (Sweden)

    Tursunov Obid

    2017-11-01

    Full Text Available The thermal behaviour of the Rosa mutiflora biomass by thermogravimetric analysis was studied at heating rate 3 K min−1 from ambient temperature to 950 °C. TGA tests were performed in high purity carbon dioxide (99 998% with a flow rate 200 ml/min and 100 mg of sample, milled and sieved to a particle size below 250 µm. Moreover, yields of gasification products such as hydrogen (H2, carbon monoxide (CO and methane (CH4 were determined based on the thermovolumetric measurements of catalytic (Ni/Al2O3-SiO2 and Ni/Al2O3-SiO2 with K2O promoter catalysts and non-catalytic gasification of the Rosa multiflora biomass. Additionally, carbon conversion degrees are presented. Calculations were made of the kinetic parameters of carbon monoxide and hydrogen formation reaction in the catalytic and non-catalytic CO2 gasification processes. A high temperature of 950 °C along with Ni/Al2O3-SiO2and Ni/Al2O3-SiO2 with K2O promoter catalysts resulted in a higher conversion of Rosa multiflora biomass into gaseous yield production with greatly increasing of H2 and CO contents. Consequently, H2 and CO are the key factors to produce renewable energy and bio-gases (synthesis gas. The parameters obtained during the experimental examinations enable a tentative assessment of plant biomasses for the process of large-scale gasification in industrial sectors.

  2. Hazards of TiO2 and amorphous SiO2 nanoparticles

    NARCIS (Netherlands)

    Reijnders, L.; Kahn, H.A.; Arif, I.A.

    2012-01-01

    TiO2 and amorphous SiO2 nanoparticles have been described as ‘safe’, ‘non-toxic’ and ‘environment friendly’ in scientific literature. However, though toxicity data are far from complete, there is evidence that these nanoparticles are hazardous. TiO2 nanoparticles have been found hazardous to humans

  3. Silicon electrodeposition from chloride-fluoride melts containing K2SiF6 and SiO2

    Directory of Open Access Journals (Sweden)

    Zhuk Sergey I.

    2017-01-01

    Full Text Available Silicon electrodeposition on glassy carbon from the KF-KCl-K2SiF6, KF-KCl-K2SiF6-KOH and KF-KCl-K2SiF6-SiO2 melts was studied by the cyclic voltammetry. Тhe electroreduction of Si(IV to metallic Si was observed as a single 4-electron wave under all considered conditions. The reactions of cathode reduction of silicon from fluoride and oxyfluoride complexes were suggested. It was shown that the process can be controlled by the preliminary transformation of SiO44- to SiF62- and SiOxFyz-. The influence of the current density on structure and morphology of silicon deposits obtained during galvanostatic electrolysis of the KF-KCl-K2SiF6-SiO2 melt was studied.

  4. Robust nanopatterning by laser-induced dewetting of metal nanofilms

    International Nuclear Information System (INIS)

    Favazza, Christopher; Kalyanaraman, Ramki; Sureshkumar, Radhakrishna

    2006-01-01

    We have observed nanopattern formation with robust and controllable spatial ordering by laser-induced dewetting in nanoscopic metal films. Pattern evolution in Co film of thickness 1≤h≤8 nm on SiO 2 was achieved under multiple pulse irradiation using a 9 ns pulse laser. Dewetting leads to the formation of cellular patterns which evolve into polygons that eventually break up into nanoparticles with unimodal size distribution and short range ordering in nearest neighbour spacing R. Spatial ordering was attributed to a hydrodynamic thin film instability and resulted in a predictable variation of R and particle diameter D with h. The length scales R and D were found to be independent of the laser energy. These results suggest that spatially ordered metal nanoparticles can be robustly assembled by laser-induced dewetting

  5. Robust nanopatterning by laser-induced dewetting of metal nanofilms.

    Science.gov (United States)

    Favazza, Christopher; Kalyanaraman, Ramki; Sureshkumar, Radhakrishna

    2006-08-28

    We have observed nanopattern formation with robust and controllable spatial ordering by laser-induced dewetting in nanoscopic metal films. Pattern evolution in Co film of thickness 1≤h≤8 nm on SiO(2) was achieved under multiple pulse irradiation using a 9 ns pulse laser. Dewetting leads to the formation of cellular patterns which evolve into polygons that eventually break up into nanoparticles with unimodal size distribution and short range ordering in nearest neighbour spacing R. Spatial ordering was attributed to a hydrodynamic thin film instability and resulted in a predictable variation of R and particle diameter D with h. The length scales R and D were found to be independent of the laser energy. These results suggest that spatially ordered metal nanoparticles can be robustly assembled by laser-induced dewetting.

  6. Co-electrospinning fabrication and photocatalytic performance of TiO2/SiO2 core/sheath nanofibers with tunable sheath thickness

    International Nuclear Information System (INIS)

    Cao, Houbao; Du, Pingfan; Song, Lixin; Xiong, Jie; Yang, Junjie; Xing, Tonghai; Liu, Xin; Wu, Rongrong; Wang, Minchao; Shao, Xiaoli

    2013-01-01

    Graphical abstract: - Highlights: • The core–sheath TiO 2 /SiO 2 nanofibers were fabricated by co-electrospinning technique. • The catalytic property of nanofibers with different sheath thickness was studied. • The potential methods of improving catalytic efficiency are suggested. - Abstract: In this paper, core/sheath TiO 2 /SiO 2 nanofibers with tunable sheath thickness were directly fabricated via a facile co-electrospinning technique with subsequent calcination at 500 °C. The morphologies and structures of core/sheath TiO 2 /SiO 2 nanofibers were characterized by TGA, FESEM, TEM, FTIR, XPS and BET. It was found that the 1D core/sheath nanofibers are made up of anatase–rutile TiO 2 core and amorphous SiO 2 sheath. The influences of SiO 2 sheath and its thickness on the photoreactivity were evaluated by observing photo-degradation of methylene blue aqueous solution under the irradiation of UV light. Compared with pure TiO 2 nanofibers, the core/sheath TiO 2 /SiO 2 nanofibers performed a better catalytic performance. That was attributed to not only efficient separation of hole–electron pairs resulting from the formation of heterojunction but also larger surface area and surface silanol group which will be useful to provide higher capacity for oxygen adsorption to generate more hydroxyl radicals. And the optimized core/sheath TiO 2 /SiO 2 nanofibers with a sheath thickness of 37 nm exhibited the best photocatalytic performance

  7. Stability of trapped electrons in SiO2

    International Nuclear Information System (INIS)

    Fleetwood, D.M.; Winokur, P.S.; Flament, O.; Leray, J.L.

    1998-01-01

    Electron trapping near the Si/SiO 2 interface plays a crucial role in mitigating the response of MOS devices to ionizing radiation or high-field stress. These electrons offset positive charge due to trapped holes, and can be present at densities exceeding 10 12 cm -2 in the presence of a similar density of trapped positive charge. The nature of the defects that serve as hosts for trapped electrons in the near-interfacial SiO 2 is presently unknown, although there is compelling evidence that these defects are often intimately associated with trapped holes. This association is depicted most directly in the model of Lelis et al., which suggests that trapped electrons and holes occupy opposite sides of a compensated E center in SiO 2 . Charge exchange between electron traps and the Si can occur over a wide range of time scales, depending on the trap depth and location relative to the Si/SiO 2 interface. Here the authors report a detailed study of the stability of electron traps associated with trapped holes near the Si/SiO 2 interface

  8. Theory of Al2O3 incorporation in SiO2

    DEFF Research Database (Denmark)

    Lægsgaard, Jesper

    2002-01-01

    Different possible forms of Al2O3 units in a SiO2 network are studied theoretically within the framework of density-functional theory. Total-energy differences between the various configurations are obtained, and simple thermodynamical arguments are used to provide an estimate of their relative...

  9. Surface texture modification of spin-coated SiO2 xerogel thin films ...

    Indian Academy of Sciences (India)

    hydrolysis and condensation of tetraethylorthosilicate (TEOS) with ethanol as a solvent. Further, the deposited thin films were ... termed as hydrolysis and condensation that occur simultane- ously in the formation of silica films. ... rial leads to capillary tensions, which destroy the structure of silica (Naik and Ghosh 2009).

  10. Crystallization and chemical durability of glasses in the system Bi2O3-SiO2

    International Nuclear Information System (INIS)

    Fredericci, C.

    2011-01-01

    The crystallization of the Bi 2 O 3 -SiO 2 -TiO 2 -Al 2 O 3 -Na 2 O-K 2 O and Bi 2 O 3 -SiO 2 -ZnO-Al 2 O 3 -B 2 O 3 -Na 2 O glasses was studied using glass samples prepared by traditional melt-quench method. Differential thermal analysis (DTA) curves suggested that surface crystallization played a major role in the crystallization of the glass samples. X-ray diffraction (XRD) analysis revealed the crystallization of bismuth silicate for both glasses and bismuth silicate and zinc silicate for the glass containing ZnO. Through scanning electron microscopy (MEV) and energy dispersive spectroscopy (EDS), it was possible to observe that the crystals of zinc silicate (Zn 2 SiO 4 ) were readily attacked by hot 0,1 N sulfuric acid, whereas bismuth silicate crystals were more resistant to acidic attack etching. (author)

  11. Preparation and morphological and optical characterization of azo-polymer-based SiO2 sonogel hybrid composites

    International Nuclear Information System (INIS)

    Morales-Saavedra, Omar G; Ontiveros-Barrera, Fernando G; Torres-Zúñiga, Vicente; Guadalupe-Bañuelos, José; Ortega-Martínez, Roberto; Rivera, Ernesto; García, Tonatiuh

    2009-01-01

    The well-established catalyst-free sonogel route was successfully implemented to fabricate highly pure, optically active, solid state polymeric azo- dye/SiO 2 -based hybrid composites. Bulk samples exhibit controllable geometrical shapes and monolithic structure with variable dopant concentrations. Since the implemented azo-dye chromophores exhibit a push–pull structure, hybrid film samples were spin-coated on ITO-covered glass substrates; molecular alignment was then performed via electrical poling in order to explore the quadratic nonlinear optical performance of this kind of composite. Comprehensive morphological, spectroscopic and optical characterization of the samples were performed with several experimental techniques: atomic force microscopy, x-ray diffraction and infrared, Raman, photoluminescent and ultraviolet–visible spectroscopies. The linear refractive indices of both bulk and thin film samples were measured according to the Brewster angle technique and a numerical analysis of the transmission spectral data, respectively. Regardless of the low glass transition temperatures of the studied polymers, some hybrid film samples were able to display stable nonlinear optical activity such as second harmonic generation. Results show that the chromophores were satisfactorily embedded into the highly pure SiO 2 sonogel network without significant guest–host molecular interactions, thus preserving their optical properties and producing sol–gel hybrid glasses suitable for optical applications

  12. Characterization of heterogeneous SiO2 materials by scanning electron microscope and micro fluorescence XAS techniques

    International Nuclear Information System (INIS)

    Khouchaf, L.; Boinski, F.; Tuilier, M.H.; Flank, A.M.

    2006-01-01

    Micro X-ray absorption near edge structure XANES and micro fluorescence experiments have been carried out using X-ray microbeam from synchrotron radiation source with high brightness to investigate the local structural evolutions of heterogeneous and natural SiO 2 submitted to alkali-silica reaction ASR process. Compared to elemental maps obtained by Environmental Scanning Electron Microscope ESEM, micro fluorescence X maps showed the diffusion of potassium cations inside the grains with higher accuracy. Si K-edge spectra show the disorder induced by the dissolution of the grain from the outside to the inside. Potassium K-edge spectra do not show significant changes around K cations. The breaking of Si-O-Si bonds and the disorder of the (SiO 4 ) n network may be affected to potassium cations

  13. Si nanocrystals embedded in SiO2: Optical studies in the vacuum ultraviolet range

    DEFF Research Database (Denmark)

    Pankratov, V.; Osinniy, Viktor; Kotlov, A.

    2011-01-01

    done. It is demonstrated that the experimentally determined blueshift of the photoluminescence excitation and absorption spectra is larger than the theoretical predictions. The influence of point defects in the SiO2 matrix on the optical and luminescence properties of the embedded Si nanocrystals...... is discussed. Moreover, it is demonstrated that no energy transfer takes place between the SiO2 and Si nanocrystals when the excitation energy is higher than the band-to-band transition energy in SiO2....

  14. Low energy electron irradiation induced carbon etching: Triggering carbon film reacting with oxygen from SiO{sub 2} substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China); Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education and Guangdong Province, College of Optoelectronic Engineering, Shenzhen University, Shenzhen 518060 (China); Wang, Chao, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn; Diao, Dongfeng, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China)

    2016-08-01

    We report low-energy (50–200 eV) electron irradiation induced etching of thin carbon films on a SiO{sub 2} substrate. The etching mechanism was interpreted that electron irradiation stimulated the dissociation of the carbon film and SiO{sub 2}, and then triggered the carbon film reacting with oxygen from the SiO{sub 2} substrate. A requirement for triggering the etching of the carbon film is that the incident electron penetrates through the whole carbon film, which is related to both irradiation energy and film thickness. This study provides a convenient electron-assisted etching with the precursor substrate, which sheds light on an efficient pathway to the fabrication of nanodevices and nanosurfaces.

  15. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  16. B2O3/SiO2 substitution effect on structure and properties of Na2O-CaO-SrO-P2O5-SiO2 bioactive glasses from molecular dynamics simulations.

    Science.gov (United States)

    Ren, Mengguo; Lu, Xiaonan; Deng, Lu; Kuo, Po-Hsuen; Du, Jincheng

    2018-05-23

    The effect of B2O3/SiO2 substitution in SrO-containing 55S4.3 bioactive glasses on glass structure and properties, such as ionic diffusion and glass transition temperature, was investigated by combining experiments and molecular dynamics simulations with newly developed potentials. Both short-range (such as bond length and bond angle) and medium-range (such as polyhedral connection and ring size distribution) structures were determined as a function of glass composition. The simulation results were used to explain the experimental results for glass properties such as glass transition temperature and bioactivity. The fraction of bridging oxygen increased linearly with increasing B2O3 content, resulting in an increase in overall glass network connectivity. Ion diffusion behavior was found to be sensitive to changes in glass composition and the trend of the change with the level of substitution is also temperature dependent. The differential scanning calorimetry (DSC) results show a decrease in glass transition temperature (Tg) with increasing B2O3 content. This is explained by the increase in ion diffusion coefficient and decrease in ion diffusion energy barrier in glass melts, as suggested by high-temperature range (above Tg) ion diffusion calculations as B2O3/SiO2 substitution increases. In the low-temperature range (below Tg), the Ea for modifier ions increased with B2O3/SiO2 substitution, which can be explained by the increase in glass network connectivity. Vibrational density of states (VDOS) were calculated and show spectral feature changes as a result of the substitution. The change in bioactivity with B2O3/SiO2 substitution is discussed with the change in pH value and release of boric acid into the solution.

  17. Thickness measurement of a thin hetero-oxide film with an interfacial oxide layer by X-ray photoelectron spectroscopy

    Science.gov (United States)

    Kim, Kyung Joong; Lee, Seung Mi; Jang, Jong Shik; Moret, Mona

    2012-02-01

    The general equation Tove = L cos θ ln(Rexp/R0 + 1) for the thickness measurement of thin oxide films by X-ray photoelectron spectroscopy (XPS) was applied to a HfO2/SiO2/Si(1 0 0) as a thin hetero-oxide film system with an interfacial oxide layer. The contribution of the thick interfacial SiO2 layer to the thickness of the HfO2 overlayer was counterbalanced by multiplying the ratio between the intensity of Si4+ from a thick SiO2 film and that of Si0 from a Si(1 0 0) substrate to the intensity of Si4+ from the HfO2/SiO2/Si(1 0 0) film. With this approximation, the thickness levels of the HfO2 overlayers showed a small standard deviation of 0.03 nm in a series of HfO2 (2 nm)/SiO2 (2-6 nm)/Si(1 0 0) films. Mutual calibration with XPS and transmission electron microscopy (TEM) was used to verify the thickness of HfO2 overlayers in a series of HfO2 (1-4 nm)/SiO2 (3 nm)/Si(1 0 0) films. From the linear relation between the thickness values derived from XPS and TEM, the effective attenuation length of the photoelectrons and the thickness of the HfO2 overlayer could be determined.

  18. Improved opto-electronic properties of silicon heterojunction solar cells with SiO x /Tungsten-doped indium oxide double anti-reflective coatings

    Science.gov (United States)

    Yu, Jian; Zhou, Jie; Bian, Jiantao; Zhang, Liping; Liu, Yucheng; Shi, Jianhua; Meng, Fanying; Liu, Jinning; Liu, Zhengxin

    2017-08-01

    Amorphous SiO x was prepared by plasma enhanced chemical vapor deposition (PECVD) to form SiO x /tungsten-doped indium oxide (IWO) double anti-reflective coatings for silicon heterojunction (SHJ) solar cell. The sheet resistance of SiO x /IWO stacks decreases due to plasma treatment during deposition process, which means thinner IWO film would be deposited for better optical response. However, the comparisons of three anti-reflective coating (ARC) structures reveal that SiO x film limits carier transport and the path of IWO-SiO x -Ag structure is non-conductive. The decrease of sheet resistance is defined as pseudo conductivity. IWO film capping with SiO x allows observably reduced reflectance and better response in 300-400 and 600-1200 nm wavelength ranges. Compared with IWO single ARC, the average reflection is reduced by 1.65% with 70 nm SiO x /80 nm IWO double anti-reflective coatings (DARCs) in 500-1200 nm wavelength range, leading to growing external quantum efficiency response, short circuit current density (J sc), and efficiency. After well optimization of SiO x /IWO stacks, an impressive efficiency of 23.08% is obtained with high J sc and without compromising open circuit voltage (V oc) and fill factor. SiO x /IWO DARCs provide better anti-reflective properties over a broad range of wavelength, showing promising application for SHJ solar cells.

  19. Ultralow-density SiO2 aerogels prepared by a two-step sol-gel process

    International Nuclear Information System (INIS)

    Wang Jue; Li Qing; Shen Jun; Zhou Bin; Chen Lingyan; Jiang; Weiyang

    1996-01-01

    Low density SiO 2 gels are prepared by a two-step sol-gel process from TEOS. The influence of various solution ratios on the gelation process is investigated. The comparative characterization of gels using different solvent, such as ethanol, acetone and methyl cyanide, is also given. The ultralow-density SiO 2 aerogels with density less than 10 kg/m 3 are prepared by CO 2 supercritical drying technique. The structure difference between SiO 2 aerogels prepared by conventional single-step process and the two-step process is also presented

  20. Structure-Dependent Spectroscopic Properties of Yb3+-Doped Phosphosilicate Glasses Modified by SiO2

    Directory of Open Access Journals (Sweden)

    Ling Wang

    2017-02-01

    Full Text Available Yb3+-doped phosphate glasses containing different amounts of SiO2 were successfully synthesized by the conventional melt-quenching method. The influence mechanism of SiO2 on the structural and spectroscopic properties was investigated systematically using the micro-Raman technique. It was worth noting that the glass with 26.7 mol % SiO2 possessed the longest fluorescence lifetime (1.51 ms, the highest gain coefficient (1.10 ms·pm2, the maximum Stark splitting manifold of 2F7/2 level (781 cm−1, and the largest scalar crystal-field NJ and Yb3+ asymmetry degree. Micro-Raman spectra revealed that introducing SiO2 promoted the formation of P=O linkages, but broke the P=O linkages when the SiO2 content was greater than 26.7 mol %. Based on the previous 29Si MAS NMR experimental results, these findings further demonstrated that the formation of [SiO6] may significantly affect the formation of P=O linkages, and thus influences the spectroscopic properties of the glass. These results indicate that phosphosilicate glasses may have potential applications as a Yb3+-doped gain medium for solid-state lasers and optical fiber amplifiers.

  1. Structure-Dependent Spectroscopic Properties of Yb3+-Doped Phosphosilicate Glasses Modified by SiO2

    Science.gov (United States)

    Wang, Ling; Zeng, Huidan; Yang, Bin; Ye, Feng; Chen, Jianding; Chen, Guorong; Smith, Andew T.; Sun, Luyi

    2017-01-01

    Yb3+-doped phosphate glasses containing different amounts of SiO2 were successfully synthesized by the conventional melt-quenching method. The influence mechanism of SiO2 on the structural and spectroscopic properties was investigated systematically using the micro-Raman technique. It was worth noting that the glass with 26.7 mol % SiO2 possessed the longest fluorescence lifetime (1.51 ms), the highest gain coefficient (1.10 ms·pm2), the maximum Stark splitting manifold of 2F7/2 level (781 cm−1), and the largest scalar crystal-field NJ and Yb3+ asymmetry degree. Micro-Raman spectra revealed that introducing SiO2 promoted the formation of P=O linkages, but broke the P=O linkages when the SiO2 content was greater than 26.7 mol %. Based on the previous 29Si MAS NMR experimental results, these findings further demonstrated that the formation of [SiO6] may significantly affect the formation of P=O linkages, and thus influences the spectroscopic properties of the glass. These results indicate that phosphosilicate glasses may have potential applications as a Yb3+-doped gain medium for solid-state lasers and optical fiber amplifiers. PMID:28772601

  2. Enhancement of photocatalytic properties of TiO2 nanoparticles doped with CeO2 and supported on SiO2 for phenol degradation

    International Nuclear Information System (INIS)

    Hao, Chunjing; Li, Jing; Zhang, Zailei; Ji, Yongjun; Zhan, Hanhui; Xiao, Fangxing; Wang, Dan; Liu, Bin; Su, Fabing

    2015-01-01

    Highlights: • CeO 2 -TiO 2 /SiO 2 composites were prepared via a facile co-precipitation method. • Introduction of SiO 2 support increases the dispersion of CeO 2 -TiO 2 . • CeO 2 -TiO 2 /SiO 2 exhibits an enhanced photocatalytic efficiency for phenol degradation. • Ce 3+ /Ce 4+ pair coexisting in CeO 2 improves electron–hole pairs separation efficiency. - Abstract: A series of CeO 2 -TiO 2 and CeO 2 -TiO 2 /SiO 2 composites were prepared with TiCl 4 and Ce (NO 3 ) 3 ·6H 2 O as precursors via a facile co-precipitation method. The obtained samples were characterized by various techniques such as X-ray diffraction (XRD), nitrogen adsorption (N 2 -BET), Fourier transformation infrared spectrum (FT-IR), scanning electron microscopy (SEM), transmission electron microscopy (TEM), and UV–Vis spectroscopy measurements. The results indicated that TiO 2 doped with CeO 2 and supported on SiO 2 could reduce the crystallite size, inhibit the phase transformation, enhance the thermal stability, and effectively extend the spectral response from UV to visible range. When applied to the phenol photodegradation on a homemade batch reactor with an external cooling jacket, the CeO 2 -TiO 2 /SiO 2 catalysts exhibited significantly enhanced photodegradation efficiency in comparison with commercial Degussa P25 and CeO 2 -TiO 2 . The unique catalytic properties of CeO 2 -TiO 2 /SiO 2 were ascribed to improved electron–hole pairs separation efficiency and formation of more reactive oxygen species owing to the presence of Ce 3+ /Ce 4+ , as well as high dispersion of active component of CeO 2 -TiO 2 as a result of the introduction of SiO 2 support. Furthermore, the catalysts can be easily recovered from the reaction solution by centrifugation and reused for four cycles without significant loss of activity

  3. Characterization of thin films with synchrotron radiation in SPring-8

    International Nuclear Information System (INIS)

    Komiya, Satoshi

    2005-01-01

    Many studies about thin films by using synchrotron radiation in SPring-8 were reviewed. Structural analyses and assessment of thin films used for electronics, and also assessment of insulating films for the gate used in LSI were carried out. Film thickness, unevenness, and density of SiO 2 films in order of nanomer thickness were determined by interference fringes of x-ray reflection curves. The interface structure of (SiO 2 /Si) films was studied by x-ray crystal truncation rod scattering, and the correlation between leakage character depending on nitrogen concentration and interface structure was clarified on SiON film. The oxygen concentration in HfO films in nanometer thickness was determined by x-ray fluorescence analysis, and the interface reaction for HfO 2 /SiO 2 was clearly observed by electron spectroscopy. The structure of amorphous thin films with large dielectric constant was analyzed by x-ray absorption fine structure (XAFS) spectrum. Devices fabricated from multi-layer films showing giant magnetic resistance were developed for hard disk with a large memory. The character of giant magnetic resistance was governed by multi-layer thin film structure piled up by magnetic and nonmagnetic polycrystalline thin metals. For the multi-layer structure, the concentration distribution of constituent elements was determined to the direction of film thickness by x-ray reflection analysis and grazing incident x-ray fluorescence analysis. In the semiconductor laser source, Ga 1-x In x N, used for DVD, the local structure around In ions was studied by XAFS since constituent instability, especially overpopulation of In element, caused the deterioration of lifetime and light emission of the laser. The lattice constant of the light emission layer in InGaAs was measured by x-ray micro-beams. (author)

  4. Fiber-optic thermometer application of thermal radiation from rare-earth end-doped SiO2 fiber

    International Nuclear Information System (INIS)

    Katsumata, Toru; Morita, Kentaro; Komuro, Shuji; Aizawa, Hiroaki

    2014-01-01

    Visible light thermal radiation from SiO 2 glass doped with Y, La, Ce, Pr, Nd, Eu, Tb, Dy, Ho, Er, Tm, Yb, and Lu were studied for the fiber-optic thermometer application based on the temperature dependence of thermal radiation. Thermal radiations according to Planck's law of radiation are observed from the SiO 2 fibers doped with Y, La, Ce, Pr, Eu, Tb, and Lu at the temperature above 1100 K. Thermal radiations due to f-f transitions of rare-earth ions are observed from the SiO 2 fibers doped with Nd, Dy, Ho, Er, Tm, and Yb at the temperature above 900 K. Peak intensities of thermal radiations from rare-earth doped SiO 2 fibers increase sensitively with temperature. Thermal activation energies of thermal radiations by f-f transitions seen in Nd, Dy, Ho, Er, Tm, and Yb doped SiO 2 fibers are smaller than those from SiO 2 fibers doped with Y, La, Ce, Pr, Eu, Tb, and Lu. Thermal radiation due to highly efficient f-f transitions in Nd, Dy, Ho, Er, Tm, and Yb ions emits more easily than usual thermal radiation process. Thermal radiations from rare-earth doped SiO 2 are potentially applicable for the fiber-optic thermometry above 900 K

  5. Preparation of Raspberry-like Superhydrophobic SiO2 Particles by Sol-gel Method and Its Potential Applications

    Directory of Open Access Journals (Sweden)

    Xu Gui-Long

    2011-12-01

    Full Text Available Raspberry‐like SiO2 particles with a nano‐micro‐binary structure were prepared by a simple sol‐gel method using tetraethoxysilane (TEOS and methyltriethoxysilane (MTES as precursors. The chemical components and morphology of the SiO2 particles were characterized by Fourier transform infrared spectroscopy (FT‐IR and a Transmission electron microscope (TEM. The surface topography and wetting behaviour of the raspberry‐like SiO2 surface were observed with a Scanning electron microscope (SEM and studied by the water/oil contact angle (CA, respectively. The thermal stability of the prepared SiO2 particles was characterized by TGA analysis. The results show that the highly dispersed SiO2 particles initially prepared by the sol‐gel method turn into raspberry‐like particles with during the aging process. The raspberry‐like SiO2 particles show superhydrophobicity and superoleophilicity across a wide range of pH values. The SiO2 particles were thermally stable up to 475°C, while above this temperature the hydrophobicity decreases and finally becomes superhydrophobic when the temperature reaches 600°C. The raspberry‐like SiO2 particles which were prepared have potential applications in the fields of superhydrophobic surfaces, water‐oil separation, anti‐corrosion and fluid transportation.

  6. Synthesis and photocatalytic properties of porous TiO2 films prepared by ODA/sol-gel method

    International Nuclear Information System (INIS)

    Zhang Wenjie; Bai Jiawei

    2012-01-01

    Porous TiO 2 films were deposited on SiO 2 pre-coated glass-slides by sol-gel method using octadecylamine (ODA) as template. The amount of ODA in the sol played an important role on the physicochemical properties and photocatalytic performance of the TiO 2 films. The films prepared at different conditions were all composed of anatase titanium dioxide crystals, and TiO 2 crystalline size got larger with increasing ODA amount. The maximum specific surface area of 41.5 m 2 /g was obtained for TiO 2 powders prepared from titanium sol containing 2.0 g ODA. Methyl orange degradation rate was enhanced along with increasing ODA amount and reached the maximal value at 2.0 g addition of ODA. After 40 min of UV-light irradiation, methyl orange degradation rate reached 30.5% on the porous film, which was about 10% higher than that on the smooth film. Porous TiO 2 film showed almost constant activity with slight decrease from 30.5% to 28.5% after 4 times of recycles.

  7. Temperature field analysis of single layer TiO2 film components induced by long-pulse and short-pulse lasers

    International Nuclear Information System (INIS)

    Wang Bin; Zhang Hongchao; Qin Yuan; Wang Xi; Ni Xiaowu; Shen Zhonghua; Lu Jian

    2011-01-01

    To study the differences between the damaging of thin film components induced by long-pulse and short-pulse lasers, a model of single layer TiO 2 film components with platinum high-absorptance inclusions was established. The temperature rises of TiO 2 films with inclusions of different sizes and different depths induced by a 1 ms long-pulse and a 10 ns short-pulse lasers were analyzed based on temperature field theory. The results show that there is a radius range of inclusions that corresponds to high temperature rises. Short-pulse lasers are more sensitive to high-absorptance inclusions and long-pulse lasers are more easily damage the substrate. The first-damage decision method is drawn from calculations.

  8. In-situ synthesis of SiO2@MOF composites for high-efficiency removal of aniline from aqueous solution

    Science.gov (United States)

    Han, Tongtong; Li, Caifeng; Guo, Xiangyu; Huang, Hongliang; Liu, Dahuan; Zhong, Chongli

    2016-12-01

    A series of SiO2@aluminum-MOF(MIL-68) composites with different SiO2 loadings have been synthesized by a simple and mild compositing strategy for high-efficiency removal of aniline. As evidenced from SEM and TEM images as well as the particle size distribution, the incorporation of SiO2 can improve the dispersity of MIL-68(Al) in composites, and result in the smaller particle size than that of pristine MIL-68(Al). Besides, the adsorption of aniline over SiO2, MIL-68(Al), the physical mixture of these two materials, and SiO2@MIL-68(Al) composites was investigated comparatively, demonstrating a relatively high adsorption capacity (531.9 mg g-1) of 7% SiO2@MIL-68(Al) towards aniline. Combining the ultrafast adsorption dynamics (reaching equilibrium within 40 s) and great reusability, 7% SiO2@MIL-68(Al) shows excellent adsorption performance. This indicates that the SiO2@MIL-68(Al) composites possess great potential applications as a kind of fascinating adsorbent in water pollution protection.

  9. Assembly of Fe3O4 nanoparticles on SiO2 monodisperse spheres

    Indian Academy of Sciences (India)

    Assembly of Fe3O4 nanoparticles on SiO2 monodisperse spheres. K C BARICK and D BAHADUR*. Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology Bombay,. Mumbai 400 076, India. Abstract. The assembly of superparamagnetic Fe3O4 nanoparticles on submicroscopic SiO2 ...

  10. Origin of the n -type and p -type conductivity of MoS 2 monolayers on a SiO 2 substrate

    KAUST Repository

    Dolui, Kapildeb

    2013-04-02

    Ab initio density functional theory calculations are performed to study the electronic properties of a MoS2 monolayer deposited over a SiO 2 substrate in the presence of interface impurities and defects. When MoS2 is placed on a defect-free substrate, the oxide plays an insignificant role since the conduction band top and the valence band minimum of MoS2 are located approximately in the middle of the SiO2 band gap. However, if Na impurities and O dangling bonds are introduced at the SiO2 surface, these lead to localized states, which modulate the conductivity of the MoS2 monolayer from n- to p-type. Our results show that the conductive properties of MoS2 deposited on SiO 2 are mainly determined by the detailed structure of the MoS 2/SiO2 interface, and suggest that doping the substrate can represent a viable strategy for engineering MoS2-based devices. © 2013 American Physical Society.

  11. MAPLE deposition and characterization of SnO2 colloidal nanoparticle thin films

    International Nuclear Information System (INIS)

    Caricato, A P; Martino, M; Romano, F; Tunno, T; Valerini, D; Epifani, M; Rella, R; Taurino, A

    2009-01-01

    In this paper we report on the deposition and characterization of tin oxide (SnO 2 ) nanoparticle thin films. The films were deposited by the matrix-assisted pulsed laser evaporation (MAPLE) technique. SnO 2 colloidal nanoparticles with a trioctylphosphine capping layer were diluted in toluene with a concentration of 0.2 wt% and frozen at liquid nitrogen temperature. The frozen target was irradiated with a KrF (248 nm, τ = 20 ns) excimer laser (6000 pulses at 10 Hz). The nanoparticles were deposited on silica (SiO 2 ) and (1 0 0) Si substrates and submitted to morphological (high resolution scanning electron microscopy (SEM)), structural Fourier transform infrared spectroscopy (FTIR) and optical (UV-Vis transmission) characterizations. SEM and FTIR analyses showed that trioctylphosphine was the main component in the as-deposited films. The trioctylphosphine was removed after an annealing in vacuum at 400 0 C, thus allowing to get uniform SnO 2 nanoparticle films in which the starting nanoparticle dimensions were preserved. The energy gap value, determined by optical characterizations, was 4.2 eV, higher than the bulk SnO 2 energy gap (3.6 eV), due to quantum confinement effects.

  12. Permeation mechanisms of pulsed microwave plasma deposited silicon oxide films for food packaging applications

    International Nuclear Information System (INIS)

    Deilmann, Michael; Grabowski, Mirko; Theiss, Sebastian; Bibinov, Nikita; Awakowicz, Peter

    2008-01-01

    Silicon oxide barrier layers are deposited on polyethylene terephthalate as permeation barriers for food packaging applications by means of a low pressure microwave plasma. Hexamethyldisiloxane (HMDSO) and oxygen are used as process gases to deposit SiO x coatings via pulsed low pressure plasmas. The layer composition of the coating is investigated by Fourier transform infrared spectroscopy and energy dispersive x-ray spectroscopy to show correlations with barrier properties of the films. The oxygen permeation barrier is determined by the carrier gas method using an electrochemical detector. The transition from low to high barrier films is mapped by the transition from organic SiO x C y H z layers to quartz-like SiO 1.7 films containing silanol bound hydrogen. A residual permeation as low as J = 1 ± 0.3 cm 3 m -2 day -1 bar -1 is achieved, which is a good value for food packaging applications. Additionally, the activation energy E p of oxygen permeation is analysed and a strong increase from E p = 31.5 kJ mol -1 for SiO x C y H z -like coatings to E p = 53.7 kJ mol -1 for SiO 1.7 films is observed by increasing the oxygen dilution of HMDSO:O 2 plasma. The reason for the residual permeation of high barrier films is discussed and coating defects are visualized by capacitively coupled atomic oxygen plasma etching of coated substrates. A defect density of 3000 mm -2 is revealed

  13. Particle emission induced by the interaction of highly charged slow Xe-ions with a SiO2 surface

    International Nuclear Information System (INIS)

    Schiwietz, G.; Skogvall, B.; Schneider, D.; Clark, M.; DeWitt, D.; McDonald, J.

    1991-01-01

    Sputtering of surface atoms by low energy (a few keV) heavy ions is a commonly used technique in material science and applied physics. In general, sputtering occurs via nuclear energy transfer processes and is determined mainly by the atom-atom interaction potentials. In the energy range of interest these potentials depend only slightly on the charge state of one collision partner if the other is neutral. The development of new ion-sources, however, allows for the use of ions with charged states of q > 50. For these highly charged ions it is conceivable that electronic processes come into play as well. If, for example, the density of charged surface atoms exceeds a certain limit, then particle emission can occur via the electrostatic repulsion of target atoms, the so-called Coulomb explosion. Indications for such electronic effects have been found in a few investigations of ion-induced sputtering Si (q q+ ). However, the order of magnitude of this effect is not clear until now. In this work we present preliminary data on sputtering, ion backscattering, electron and photon emission from SiO 2 surface induced by incident Xe ions of very high charge states (q=30--50). The experiment was performed at the electron beam ion trap (EBIT) of the Lawrence Livermore National Laboratory using a time-of-flight (TOF) ion analyzer-system from the Hahn-Meitner-Institute, Berlin

  14. Synthesis, characterization and electroanalytical application of a new SiO2/SnO2 carbon ceramic electrode

    International Nuclear Information System (INIS)

    Arguello, Jacqueline; Magosso, Herica A.; Landers, Richard; Pimentel, Vinicius L.; Gushikem, Yoshitaka

    2010-01-01

    A new SiO 2 /SnO 2 carbon ceramic composite was prepared by the sol-gel method, and its potential application in electrochemistry as a novel electrode material has been studied. The prepared xerogel was structurally and electrochemically characterized by scanning electron microscopy coupled to energy dispersive spectroscopy, X-ray photoelectron spectroscopy, X-ray diffraction and cyclic voltammetry. The composite was pressed in a rigid disk-shape and used as a conductive substrate to immobilize a water-soluble organic-inorganic hybrid polymer, 3-n-propyl-4-picolinium chloride silsesquioxane. The oxidation of nitrite was studied on this polymer film coated electrode in aqueous solution using cyclic voltammetry and differential pulse voltammetry. This modified electrode exhibited a better defined voltammetric peak shifted negatively about 60 mV. The linear detection limit found for nitrite was from 1.3 x 10 -5 to 1.3 x 10 -3 mol l -1 and the detection limit was 3.3 x 10 -6 mol l -1 .

  15. UV Light-Driven Photodegradation of Methylene Blue by Using Mn0.5Zn0.5Fe2O4/SiO2 Nanocomposites

    Science.gov (United States)

    Indrayana, I. P. T.; Julian, T.; Suharyadi, E.

    2018-04-01

    The photodegradation activity of nanocomposites for 20 ppm methylene blue solution has been investigated in this work. Nanocomposites Mn0.5Zn0.5Fe2O4/SiO2 have been synthesized using coprecipitation method. The X-ray diffraction (XRD) pattern confirmed the formation of three phases in sample Mn0.5Zn0.5Fe2O4/SiO2 i.e., Mn0.5Zn0.5Fe2O4, Zn(OH)2, and SiO2. The appearance of SiO2 phase showed that the encapsulation process has been carried out. The calculated particles size of Mn0.5Zn0.5Fe2O4/SiO2 is greater than Mn0.5Zn0.5Fe2O4. Bonding analysis via vibrational spectra for Mn0.5Zn0.5Fe2O4/SiO2 confirmed the formation of bonds Me-O-Si stretching (2854.65 cm-1) and Si-O-Si asymmetric stretching (1026.13 cm-1). The optical gap energy of Mn0.5Zn0.5Fe2O4/SiO2 was smaller (2.70 eV) than Mn0.5Zn0.5Fe2O4 (3.04 eV) due to smaller lattice dislocation and microstrain that affect their electronic structure. The Mn0.5Zn0.5Fe2O4/SiO2 showed high photodegradation ability due to smaller optical gap energy and the appearance of SiO2 ligand that can easily attract dye molecules. The Mn0.5Zn0.5Fe2O4/SiO2 also showed high degradation activity even without UV light radiation. The result showed that photodegradation reaction doesn’t follow pseudo-first order kinetics.

  16. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    Science.gov (United States)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  17. Positron and positronium annihilation in silica-based thin films studied by a pulsed positron beam

    International Nuclear Information System (INIS)

    Suzuki, R.; Ohdaira, T.; Kobayashi, Y.; Ito, K.; Shioya, Y.; Ishimaru, T.

    2003-01-01

    Positron and positronium annihilation in silica-based thin films has been investigated by means of measurement techniques with a monoenergetic pulsed positron beam. The age-momentum correlation study revealed that positron annihilation in thermally grown SiO 2 is basically the same as that in bulk amorphous SiO 2 while o-Ps in the PECVD grown SiCOH film predominantly annihilate with electrons of C and H at the microvoid surfaces. We also discuss time-dependent three-gamma annihilation in porous low-k films by two-dimensional positron annihilation lifetime spectroscopy

  18. Self-assembly and electrical characteristics of 4-pentynoic acid functionalized Fe3O4-γ-Fe2O3 nanoparticles on SiO2/n-Si

    Science.gov (United States)

    Baharuddin, Aainaa Aqilah; Ang, Bee Chin; Wong, Yew Hoong

    2017-11-01

    A novel investigation on a relationship between temperature-influential self-assembly (70-300 °C) of 4-pentynoic acid functionalized Fe3O4-γ-Fe2O3 nanoparticles (NPs) on SiO2/n-Si with electrical properties was reported with the interests for metal-oxide-semiconductor applications. X-ray diffractometer (XRD) analysis conveyed that 8 ± 1 nm of the NPs were assembled. Increasing heating temperature induced growth of native oxide (SiO2). Raman analysis confirmed the coexistence of Fe3O4-γ-Fe2O3. Attenuated Total Reflectance Infrared (ATR-IR) spectra showed that self-assembly occurred via Sisbnd Osbnd C linkages. While Sisbnd Osbnd C linkages were broken down at elevated temperatures, formations of Si-OH defects were amplified; a consequence of physisorbed surfactants disintegration. Atomic force microscopy (AFM) showed that sample with more physisorbed surfactants exhibited the highest root-mean-square (RMS) roughness (18.12 ± 7.13 nm) whereas sample with lesser physisorbed surfactants displayed otherwise (12.99 ± 4.39 nm RMS roughness). Field Emission Scanning Electron Microscope (FE-SEM) analysis showed non-uniform aggregation of the NPs, deposited as film (12.6 μm thickness). The increased saturation magnetization (71.527 A m2/kg) and coercivity (929.942 A/m) acquired by vibrating sample magnetometer (VSM) of the sample heated at 300 °C verified the surfactants' disintegration. Leakage current density-electric field (J-E) characteristics showed that sample heated at 150 °C with the most aggregated NPs as well as the most developed Sisbnd Osbnd C linkages demonstrated the highest breakdown field and barrier height at 2.58 × 10-3 MV/cm and 0.38 eV respectively. Whereas sample heated at 300 °C with the least Sisbnd Osbnd C linkages as well as lesser aggregated NPs showed the lowest breakdown field and barrier height at 1.08 × 10-3 MV/cm and 0.19 eV respectively. This study opens up better understandings on how formation and breaking down of covalent

  19. Preparation of TiO2-SiO2 composite photocatalysts for environmental applications

    Czech Academy of Sciences Publication Activity Database

    Paušová, Š.; Krýsa, J.; Jirkovský, Jaromír; Prevot, V.; Mailhot, G.

    2014-01-01

    Roč. 89, č. 8 (2014), s. 1129-1135 ISSN 0268-2575 Institutional support: RVO:61388955 Keywords : photocatalysis * TiO2/SiO2 * composite Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 2.349, year: 2014

  20. Integrated nanophotonic hubs based on ZnO-Tb(OH3/SiO2 nanocomposites

    Directory of Open Access Journals (Sweden)

    Lin Yu

    2011-01-01

    Full Text Available Abstract Optical integration is essential for practical application, but it remains unexplored for nanoscale devices. A newly designed nanocomposite based on ZnO semiconductor nanowires and Tb(OH3/SiO2 core/shell nanospheres has been synthesized and studied. The unique sea urchin-type morphology, bright and sharply visible emission bands of lanthanide, and large aspect ratio of ZnO crystalline nanotips make this novel composite an excellent signal receiver, waveguide, and emitter. The multifunctional composite of ZnO nanotips and Tb(OH3/SiO2 nanoparticles therefore can serve as an integrated nanophotonics hub. Moreover, the composite of ZnO nanotips deposited on a Tb(OH3/SiO2 photonic crystal can act as a directional light fountain, in which the confined radiation from Tb ions inside the photonic crystal can be well guided and escape through the ZnO nanotips. Therefore, the output emission arising from Tb ions is truly directional, and its intensity can be greatly enhanced. With highly enhanced lasing emissions in ZnO-Tb(OH3/SiO2 as well as SnO2-Tb(OH3/SiO2 nanocomposites, we demonstrate that our approach is extremely beneficial for the creation of low threshold and high-power nanolaser.

  1. Refractories in the Al2O3-ZrO2-SiO2 system

    International Nuclear Information System (INIS)

    Banerjee, S.P.; Bhadra, A.K.; Sircar, N.R.

    1978-01-01

    The effect of addition of ZrO 2 in different proportions in the refractories of the Al 2 O 3 -SiO 2 system was studied. The investigation was confined to two broad ranges of compositions incorporating zirconia (15-30 percent and 80-85 percent) in the Al 2 O 3 -ZrO 2 -SiO 2 system. The overall attainment of properties is dependent upon the mode of fabrication and firing, and bears a relationship with the phase assemblages and the relative proportion thereof. Of the different characteristics, the trend of dissociation of zircon has been found to be specially significant vis-a-vis the temperature of firing and thermal shock resistance. Reassociation of the dissociated products has been ascribed to bring forth improved resistance to thermal spalling. The different products developed during this investigation are considered to be very promising which find useful applications in view of the properties attained by them. (auth.)

  2. Management challenges at film-induced tourism heritage attractions

    OpenAIRE

    Bakiewicz, Justyna.; Leask, Anna.; Barron, Paul.; Rakic, Tijana.

    2017-01-01

    In response to an increasingly competitive environment, a number of heritage visitor attractions (HVAs) have encouraged filming, as well as subsequent film-induced tourism, in order to raise their profile, generate revenue and differentiate themselves from other attractions. However, while film-induced tourism may bring benefits, it can also be problematic, especially at HVAs which have conservation at their core. This paper identifies a variety of challenges that film-induced tourism has cre...

  3. Bulk properties and near-critical behaviour of SiO2 fluid

    Science.gov (United States)

    Green, Eleanor C. R.; Artacho, Emilio; Connolly, James A. D.

    2018-06-01

    Rocky planets and satellites form through impact and accretion processes that often involve silicate fluids at extreme temperatures. First-principles molecular dynamics (FPMD) simulations have been used to investigate the bulk thermodynamic properties of SiO2 fluid at high temperatures (4000-6000 K) and low densities (500-2240 kg m-3), conditions which are relevant to protoplanetary disc condensation. Liquid SiO2 is highly networked at the upper end of this density range, but depolymerises with increasing temperature and volume, in a process characterised by the formation of oxygen-oxygen (Odbnd O) pairs. The onset of vaporisation is closely associated with the depolymerisation process, and is likely to be non-stoichiometric at high temperature, initiated via the exsolution of O2 molecules to leave a Si-enriched fluid. By 6000 K the simulated fluid is supercritical. A large anomaly in the constant-volume heat capacity occurs near the critical temperature. We present tabulated thermodynamic properties for silica fluid that reconcile observations from FPMD simulations with current knowledge of the SiO2 melting curve and experimental Hugoniot curves.

  4. Microstructural dependence on relevant physical-mechanical properties on SiO2-Na2O-CaO-P2O5 biological glasses.

    Science.gov (United States)

    Rajendran, V; Begum, A Nishara; Azooz, M A; el Batal, F H

    2002-11-01

    Bioactive glasses of the system SiO2-Na2O-CaO-P2O5 have been prepared by the normal melting and annealing technique. The elastic moduli, attenuation, Vickers hardness, fracture toughness and fracture surface energy have been obtained using the known method at room temperature. The temperature dependence of elastic moduli and attenuation measurements have been extended over a wide range of temperature from 150 to 500 K. The SiO2 content dependence of velocities, attenuation, elastic moduli, and other parameters show an interesting observation at 45 wt% of SiO2 by exhibiting an anomalous behaviour. A linear relation is developed for Tg, which explores the influence of Na2O on SiO2-Na2O-CaO-P2O5 bioactive glasses. The measured hardness, fracture toughness and fracture surface energy show a linear relation with Young's modulus. It is also interesting to note that the observed results are functions of polymerisation and the number of non-bridging oxygens (NBO) prevailing in the network with change in SiO2 content. The temperature dependence of velocities, attenuation and elastic moduli show the existence of softening in the glass network structure as temperature increases.

  5. Novel self-organization mechanism in ultrathin liquid films: theory and experiment.

    Science.gov (United States)

    Trice, Justin; Favazza, Christopher; Thomas, Dennis; Garcia, Hernando; Kalyanaraman, Ramki; Sureshkumar, Radhakrishna

    2008-07-04

    When an ultrathin metal film of thickness h (h*, in contrast to the classical spinodal dewetting behavior where Lambda increases monotonically as h2. These predictions agree well with experimental observations for Co and Fe films on SiO2.

  6. Site Occupancies, Luminescence, and Thermometric Properties of LiY9(SiO4)6O2:Ce3+ Phosphors.

    Science.gov (United States)

    Zhou, Weijie; Pan, Fengjuan; Zhou, Lei; Hou, Dejian; Huang, Yan; Tao, Ye; Liang, Hongbin

    2016-10-04

    In this work, we report the tunable emission properties of Ce 3+ in an apatite-type LiY 9 (SiO 4 ) 6 O 2 compound via adjusting the doping concentration or temperature. The occupancies of Ce 3+ ions at two different sites (Wyckoff 6h and 4f sites) in LiY 9 (SiO 4 ) 6 O 2 have been determined by Rietveld refinements. Two kinds of Ce 3+ f-d transitions have been studied in detail and then assigned to certain sites. The effects of temperature and doping concentration on Ce 3+ luminescence properties have been systematically investigated. It is found that the Ce 3+ ions prefer occupying Wyckoff 6h sites and the energy transfer between Ce 3+ at two sites becomes more efficient with an increase in doping concentration. In addition, the charge-transfer vibronic exciton (CTVE) induced by the existence of free oxygen ion plays an important role in the thermal quenching of Ce 3+ at 6h sites. Because of the tunable emissions from cyan to blue with increasing temperature, the phosphors LiY 9 (SiO 4 ) 6 O 2 :Ce 3+ are endowed with possible thermometric applications.

  7. The effect of rare earth dopants on the structure, surface texture and photocatalytic properties of TiO2-SiO2 prepared by sol-gel method

    International Nuclear Information System (INIS)

    Mohamed, R.M.; Mkhalid, I.A.

    2010-01-01

    The sol-gel method was successfully used to prepare a series of TiO 2 -SiO 2 and rare earth (RE) (La 3+ , Nd 3+ , Sm 3+ , Gd 3+ )-doped TiO 2 -SiO 2 nanoparticles at a doping level of 3 atomic percent. The structural features of parent TiO 2 -SiO 2 and RE-TiO 2 -SiO 2 fired at 550 o C have been investigated by XRD, UV-diffuse reflection, SEM and nitrogen adsorption measurements at -196 o C. XRD data verified the formation of typical characteristic anatase form in all the prepared RE-doped TiO 2 -SiO 2 samples. In comparison with the pure TiO 2 -SiO 2 samples (ca. 35 nm in diameter), the RE-TiO 2 -SiO 2 samples have relatively small particle size indicating that the doping with RE metal ions can improve the particle morphology, and retard the grain growth of TiO 2 -SiO 2 during heat treatment. The results indicated that Gd 3+ doped TiO 2 -SiO 2 has the lowest bandgap and particle size compared with pure TiO 2 -SiO 2 and other nanoparticles of RE-doped TiO 2 -SiO 2 . The highest surface area (S BET ) and pore volume (V p ) values were recorded for Gd-TiO 2 -SiO 2 as well. The effect of doping on the photoactivity was evaluated by the photocatalytic degradation of EDTA as a probe reaction. Among all the pure and RE-doped TiO 2 -SiO 2 , Gd 3+ -TiO 2 -SiO 2 performed the highest catalytic activity towards the tested reaction. That might be due to its special characteristics of particle size, surface texture and bandgap properties. Details of the synthesis procedure and results of the characterization studies of the produced RE-TiO 2 -SiO 2 are presented in this paper.

  8. Efficient VEGF targeting delivery of DOX using Bevacizumab conjugated SiO2@LDH for anti-neuroblastoma therapy.

    Science.gov (United States)

    Zhu, Rongrong; Wang, Zhaoqi; Liang, Peng; He, Xiaolie; Zhuang, Xizhen; Huang, Ruiqi; Wang, Mei; Wang, Qigang; Qian, Yechang; Wang, Shilong

    2017-11-01

    Vascular endothelial growth factor (VEGF) plays an important role in angiogenesis and is highly expressed in carcinoma, which make it an important target for tumor targeting therapy. Neuroblastoma is the main cause for cancer-related death in children. Like most solid tumors, it is also accompanied with the overexpression of VEGF. Doxorubicin Hydrochloride (DOX), a typical chemotherapeutic agent, exhibits efficient anticancer activities for various cancers. However, DOX, without targeting ability, usually causes severe damage to normal tissues. To overcome the shortages, we designed a novel nano-composite, which is Bevacizumab (Bev) modified SiO 2 @LDH nanoparticles (SiO 2 @LDH-Bev), loading with DOX to achieve targeting ability and curative efficiency. SiO 2 @LDH-DOX and SiO 2 @LDH-Bev-DOX nanoparticles were synthesized and the physicochemical properties were characterized by TEM detection, Zeta potential analysis, FTIR, Raman and XPS analysis. Then in vitro and in vivo anti-neuroblastoma efficiency, targeting ability and mechanisms of anti-carcinoma and anti-angiogenesis of SiO 2 @LDH-Bev-DOX were explored. Our results indicated that we obtained the core-shell structure SiO 2 @LDH-Bev with an average diameter of 253±10nm and the amount of conjugated Bev was 4.59±0.38μg/mg SiO 2 @LDH-Bev. SiO 2 @LDH-Bev-DOX could improve the cellular uptake and the targeting effect of DOX to brain and tumor, enhance the anti-neuroblastoma and anti-angiogenesis efficiency both in vitro and in vivo, and alleviate side effects of DOX sharply, especially hepatic injury. In addition, we also demonstrated that angiogenesis inhibitory effect was mediated by DOX and VEGF triggered signal pathways, including PI3K/Akt, Raf/MEK/ERK, and adhesion related pathways. In summary, SiO 2 @LDH-Bev could be a potential VEGF targeting nanocarrier applied in VEGF positive cancer therapy. This paper explored that a novel core-shell structure nanomaterial SiO 2 @LDH and modified SiO 2 @LDH with

  9. Nanocrystalline-diamond thin films with high pH and penicillin sensitivity prepared on a capacitive Si-SiO2 structure

    International Nuclear Information System (INIS)

    Poghossian, A.; Abouzar, M.H.; Razavi, A.; Baecker, M.; Bijnens, N.; Williams, O.A.; Haenen, K.; Moritz, W.; Wagner, P.; Schoening, M.J.

    2009-01-01

    A capacitive field-effect EDIS (electrolyte-diamond-insulator-semiconductor) sensor with improved pH and penicillin sensitivity has been realised using a nanocrystalline-diamond (NCD) film as sensitive gate material. The NCD growth process on SiO 2 as well as an additional surface treatment in oxidising medium have been optimised to provide high pH-sensitive, non-porous O-terminated films without damage of the underlying SiO 2 layer. The surface morphology of O-terminated NCD thin films and the layer structure of EDIS sensors have been studied by scanning electron microscopy (SEM) and transmission electron microscopy (TEM) methods. To establish the relative coverage of the surface functional groups generated by the oxidation of NCD surfaces, X-ray photoelectron spectroscopy analysis was carried out. The hydrophilicity of NCD thin films has been studied by water contact-angle measurements. A nearly Nernstian pH sensitivity of 54-57 mV/pH has been observed for O-terminated NCD films treated in an oxidising boiling mixture for 80 min and in oxygen plasma. The high pH-sensitive properties of O-terminated NCD have been used to develop an EDIS-based penicillin biosensor. A freshly prepared penicillin biosensor possesses a high sensitivity of 85 mV/decade in the concentration range of 0.1-2.5 mM penicillin G. The lower detection limit is 5 μM.

  10. Temperature field analysis of single layer TiO2 film components induced by long-pulse and short-pulse lasers.

    Science.gov (United States)

    Wang, Bin; Zhang, Hongchao; Qin, Yuan; Wang, Xi; Ni, Xiaowu; Shen, Zhonghua; Lu, Jian

    2011-07-10

    To study the differences between the damaging of thin film components induced by long-pulse and short-pulse lasers, a model of single layer TiO(2) film components with platinum high-absorptance inclusions was established. The temperature rises of TiO(2) films with inclusions of different sizes and different depths induced by a 1 ms long-pulse and a 10 ns short-pulse lasers were analyzed based on temperature field theory. The results show that there is a radius range of inclusions that corresponds to high temperature rises. Short-pulse lasers are more sensitive to high-absorptance inclusions and long-pulse lasers are more easily damage the substrate. The first-damage decision method is drawn from calculations. © 2011 Optical Society of America

  11. Photo-induced effects of the virgin Ge_2_4_._9Sb_1_1_._6S_6_3_._5 film

    International Nuclear Information System (INIS)

    Knotek, P.; Tichy, L.; Kutalek, P.

    2015-01-01

    Amorphous Ge_2_4_._9Sb_1_1_._6S_6_3_._5 film was prepared through thermal evaporation. A blue shift of the optical band gap by approximately 100 meV was observed as a result of self-bleaching process of protected film aged for two years. The magnitude of the light induced blue shift of the optical band of the virgin film is primarily dependent on the light penetration depth and on the light intensity. The kinetics of photo-bleaching follows the stretch exponential function with a formal rate of bleaching depending on the light intensity while the saturated state is independent from the light intensity. The far infrared spectra indicate that ageing, illumination by over-band gap-photons and annealing of the virgin film are mainly accompanied by the film network ordering. Illumination by UV light photons led to a blue shift accompanied by the significant oxidation as evidenced by the results of the far infrared spectra and the energy dispersive analysis. - Highlights: • “Giant” photo-induced effects in virgin Ge_2_4_._9Sb_1_1_._6S_6_3_._5 film • The role of the film thickness, the wavelengths and intensity of excitation photons • The changes of the photo-sensitivity due to the self-ageing process • The high-intensity illumination (> 10 W/cm"2) led to the different processes

  12. Lu.sub.2./sub.SiO.sub.5./sub.:Ce and Y.sub.2./sub.SiO.sub.5./sub.:Ce single crystals and single crystalline film scintillators: comparisom of the luminescent and scintillation properties

    Czech Academy of Sciences Publication Activity Database

    Zorenko, Y.; Gorbenko, V.; Savchyn, V.; Zorenko, T.; Grinyov, B.; Sidletskiy, O.; Fedorov, A.; Mareš, Jiří A.; Nikl, Martin; Kučera, M.

    2013-01-01

    Roč. 56, SEP (2013), s. 84-89 ISSN 1350-4487. [International Conference on Luminescent Detectors and Transformers of Ionizing Radiation 2012 /8./, LUMDETR 2012. Halle (Saale), 10.09.2012-14.09.2012] R&D Projects: GA ČR GAP204/12/0805 Institutional support: RVO:68378271 Keywords : Lu 2 SiO 5 :Ce * Y 2 SiO 2 * single crystalline film, * single crystal * luminescence Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.140, year: 2013

  13. Two-Dimensional SiO2/VO2 Photonic Crystals with Statically Visible and Dynamically Infrared Modulated for Smart Window Deployment.

    Science.gov (United States)

    Ke, Yujie; Balin, Igal; Wang, Ning; Lu, Qi; Tok, Alfred Iing Yoong; White, Timothy J; Magdassi, Shlomo; Abdulhalim, Ibrahim; Long, Yi

    2016-12-07

    Two-dimensional (2D) photonic structures, widely used for generating photonic band gaps (PBG) in a variety of materials, are for the first time integrated with the temperature-dependent phase change of vanadium dioxide (VO 2 ). VO 2 possesses thermochromic properties, whose potential remains unrealized due to an undesirable yellow-brown color. Here, a SiO 2 /VO 2 core/shell 2D photonic crystal is demonstrated to exhibit static visible light tunability and dynamic near-infrared (NIR) modulation. Three-dimensional (3D) finite difference time domain (FDTD) simulations predict that the transmittance can be tuned across the visible spectrum, while maintaining good solar regulation efficiency (ΔT sol = 11.0%) and high solar transmittance (T lum = 49.6%). Experiments show that the color changes of VO 2 films are accompanied by NIR modulation. This work presents a novel way to manipulate VO 2 photonic structures to modulate light transmission as a function of wavelength at different temperatures.

  14. Photoluminescence of Se-related oxygen deficient center in ion-implanted silica films

    International Nuclear Information System (INIS)

    Zatsepin, A.F.; Buntov, E.A.; Pustovarov, V.A.; Fitting, H.-J.

    2013-01-01

    The results of low-temperature time-resolved photoluminescence (PL) investigation of thin SiO 2 films implanted with Se + ions are presented. The films demonstrate an intensive PL band in the violet spectral region, which is attributed to the triplet luminescence of a new variant of selenium-related oxygen deficient center (ODC). The main peculiarity of the defect energy structure is the inefficient direct optical excitation. Comparison with spectral characteristics of isoelectronic Si-, Ge- and SnODCs show that the difference in electronic properties of the new center is related to ion size factor. It was established that the dominating triplet PL excitation under VUV light irradiation is related to the energy transfer from SiO 2 excitons. A possible model of Se-related ODC is considered. -- Highlights: • The low-temperature photoluminescence of thin SiO 2 films implanted with Se + ions was studied. • The 3.4 eV PL band was attributed to triplet luminescence of Se-related ODC. • The peculiarity of SeODC electronic properties is related to ion size factor. • The dominating VUV excitation of triplet PL is related to energy transfer from SiO 2 excitons. • A possible model of Se-related ODC is considered

  15. Characterization of Ultra thin chromium layers deposited ou to SiO2 using the Le-PIXE and the RB S techniques

    International Nuclear Information System (INIS)

    Zahraman, K.; Nsouli, B.; Roumie, M.

    2007-01-01

    In this paper, we demonstrate the ability of the Le-PIXE (Low Energy PIXE) technique, using proton energies < 1 MeV, for the monitoring of the thickness and the thickness uniformity of ultra thin (0.5 nm < t < 20 nm) chromium layers deposited onto quartz substrates. Chromium is a good candidate for obtaining conductive ultra thin layers on insulator substrates such as quartz (SiO2). The resistivity of such layers is highly related to the quality of the deposited chromium film. In order to optimize the deposition process, there is a need for rapid and accurate monitoring of such films (film thickness, thickness uniformity over a big surface...). The acquisition time needed to obtain results with less than 3-4 % precision was 5 minutes for the thinnest layers. The validation for the use of the Le-PIXE technique was checked by means of conventional RB S technique.

  16. Thickness and composition of ultrathin SiO2 layers on Si

    International Nuclear Information System (INIS)

    Marel, C. van der; Verheijen, M.A.; Tamminga, Y.; Pijnenburg, R.H.W.; Tombros, N.; Cubaynes, F.

    2004-01-01

    Ultrathin SiO 2 layers are of importance for the semiconductor industry. One of the techniques that can be used to determine the chemical composition and thickness of this type of layers is x-ray photoelectron spectroscopy (XPS). As shown by Seah and Spencer [Surf. Interface Anal. 33, 640 (2002)], it is not trivial to characterize this type of layer by means of XPS in a reliable way. We have investigated a series of ultrathin layers of SiO 2 on Si (in the range from 0.3 to 3 nm) using XPS. The samples were also analyzed by means of transmission electron microscopy (TEM), Rutherford backscattering (RBS), and ellipsometry. The thickness of the SiO 2 layers (d) was determined from the XPS results using three different approaches: the 'standard' equation (Seah and Spencer) for d, an overlayer-substrate model calculation, and the QUASES-Tougaard [Surf. Interface Anal. 26, 249 (1998), QUASES-Tougaard: Software package for Quantitative Analysis of Surfaces by Electron Spectroscopy, version 4.4 (2000); http://www.quases.com] method. Good agreement was obtained between the results of XPS analyses using the 'standard' equation, the overlayer-substrate model calculation, and RBS results. The QUASES-Tougaard results were approximately 62% above the other XPS results. The optical values for the thickness were always slightly higher than the thickness according to XPS or RBS. Using the model calculation, these (relatively small) deviations from the optical results could be explained as being a consequence of surface contaminations with hydrocarbons. For a thickness above 2.5 nm, the TEM results were in good agreement with the results obtained from the other techniques (apart from QUASES-Tougaard). Below 2.5 nm, significant deviations were found between RBS, XPS, and optical data on the one hand and TEM results on the other hand; the deviations became larger as the thickness of the SiO 2 decreased. This effect may be related to interface states of oxygen, which have been

  17. Design and Synthesis of Hierarchical SiO2@C/TiO2 Hollow Spheres for High-Performance Supercapacitors.

    Science.gov (United States)

    Zhang, Ying; Zhao, Yan; Cao, Shunsheng; Yin, Zhengliang; Cheng, Li; Wu, Limin

    2017-09-06

    TiO 2 has been widely investigated as an electrode material because of its long cycle life and good durability, but the relatively low theoretical capacity restricts its practical application. Herein, we design and synthesize novel hierarchical SiO 2 @C/TiO 2 (HSCT) hollow spheres via a template-directed method. These unique HSCT hollow spheres combine advantages from both TiO 2 such as cycle stability and SiO 2 with a high accessible area and ionic transport. In particular, the existence of a C layer is able to enhance the electrical conductivity. The SiO 2 layer with a porous structure can increase the ion diffusion channels and accelerate the ion transfer from the outer to the inner layers. The electrochemical measurements demonstrate that the HSCT-hollow-sphere-based electrode manifests a high specific capacitance of 1018 F g -1 at 1 A g -1 which is higher than those for hollow TiO 2 (113 F g -1 ) and SiO 2 /TiO 2 (252 F g -1 ) electrodes, and substantially higher than those of all the previously reported TiO 2 -based electrodes.

  18. Defect layer in SiO2-Sic interface proved by a slow positron beam

    International Nuclear Information System (INIS)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Miyashita, A.; Suzuki, R.; Ohdaira, T.

    2006-01-01

    The structure of the SiO 2 -4ph-SiC interface layer produced by dry oxidation has been studied by positron annihilation spectroscopy using slow positron beams. From Doppler broadening measurements, the interface layer was clearly distinguished from the SiO 2 and SiC layers and was observed to be defective. At the interface layer, a single long positron lifetime of 451 ps, which is close to the second lifetime in the SiO 2 layer, was obtained, thus suggesting that the structure of the interface layer resembles an amorphous SiO 2 network. A comparison was made between the obtained electron momentum distribution at the interface layer and the theoretical calculation. It was found that positrons annihilate with oxygen valence electrons. By annealing after the oxidation, the annihilation probability of the positrons with oxygen valence electrons and the number of interface traps decreased in the same temperature range, thus suggesting a correlation between interface traps and positron annihilation sites

  19. Synthesis of unidirectional structures of SiO2-Ag using Au nanoparticles as nucleation centers

    International Nuclear Information System (INIS)

    Villa S, G.; Mendoza A, D.; Gutierrez W, C.; Perez H, R.

    2008-01-01

    This paper reports a method to synthesize Ag unidirectional structures covered with SiO 2 by sol-gel technique using Au nanoparticles as nucleation centers of the unidirectional structures. In the first phase unidirectional structures of SiO 2 -Ag CI are obtained by sol-gel, using TEOS as a precursor of metallic structures (Ag) and the incorporation of Au nanoparticles as nucleation centers for growth of unidirectional structures. In the second stage, one-way systems are subjected to thermal treatment in H 2 atmosphere for obtain AG 0 particles through mechanisms that diffusion and coalescence of silver to form structures that have a thin cover of SiO 2 . Analysis by scanning electron microscopy, transmission and atomic force microscopy allowed to determine the chemical composition and microstructural properties of unidirectional systems SiO 2 -Ag. (Author)

  20. Positron annihilation spectroscopy of the interface between nanocrystalline Si and SiO2

    International Nuclear Information System (INIS)

    Pi, X.D.; Coleman, P.G.; Harding, R.; Davies, G.; Gwilliam, R.M.; Sealy, B.J.

    2003-01-01

    Positron annihilation spectroscopy has been employed to study changes in the interface region between nanocrystalline Si and SiO 2 , following annealing between 400 deg. C and 900 deg. C in nitrogen or oxygen. With the support of photoluminescence spectroscopy we find that nitrogen and oxygen are trapped in voids at the interface at low temperatures. At temperatures above 700 deg. C both nitrogen and oxygen react with Si nanocrystals, and the resulting volume increase introduces stress in the SiO 2 matrix which is relaxed by the shrinkage of its intrinsic open volume. Oxygen appears to enhance Si diffusion in SiO 2 so that the agglomeration of Si nanocrystals occurs more readily during annealing in oxygen than in nitrogen

  1. Photoluminescence and scintillation properties of Ce-doped Sr2(Gd1-xLux)8(SiO4)6O2 (x = 0.1, 0.2, 0.4, 0.5, 0.6) crystals

    Science.gov (United States)

    Igashira, Takuya; Kawano, Naoki; Okada, Go; Kawaguchi, Noriaki; Yanagida, Takayuki

    2018-05-01

    Apatite crystals with chemical compositions of 0.5% Ce-doped Sr2(Gd1-xLux)8(SiO4)6O2 (x = 0.1, 0.2, 0.4, 0.5, 0.6) were synthesized by the Floating Zone method, and then we evaluated their photoluminescence (PL) and scintillation properties. All the Ce-doped samples exhibited PL and scintillation with an intense broad emission in 400-550 nm in which the origin was attributed to the 5d-4f transition of Ce3+, and the emission peak became broader with increasing the concentration of Lu3+. Both PL and scintillation decay time profiles were best-approximated by a sum of two exponential decay functions, and the origin of slower component was attributed to the 5d-4f transition of Ce3+. In the X-ray induced afterglow measurements, the Ce-doped Sr2(Gd0.4Lu0.6)8(SiO4)6O2 sample exhibited the lowest afterglow level. Furthermore, the Ce-doped Sr2(Gd0.5Lu0.5)8(SiO4)6O2 and Sr2(Gd0.4Lu0.6)8(SiO4)6O2 samples showed a clear full energy deposited peak under 5.5 MeV 241Am α-ray irradiation, and the estimated absolute scintillation light yields were around 290 and 1300 ph/5.5 MeV-α, respectively.

  2. Microstructural, thermal, physical and mechanical behavior of the self compacting concrete containing SiO2 nanoparticles

    International Nuclear Information System (INIS)

    Nazari, Ali; Riahi, Shadi

    2010-01-01

    Research highlights: → TiO 2 nanoparticles effects on flexural strength of self compacting concrete. → Physical and microstructural consideration. → Mechanical tests. → Thermal analysis. → Porosimetry. - Abstract: In the present study, flexural strength, thermal properties and microstructure of self compacting concrete with different amount of SiO 2 nanoparticles has been investigated. SiO 2 nanoparticles with the average particle size of 15 nm were partially added to self compacting concrete and various behaviors of the specimens have been measured. The results indicate that SiO 2 nanoparticles are able to improve the flexural strength of self compacting concrete and recover the negative effects of superplasticizer on flexural strength of the specimens. SiO 2 nanoparticle as a partial replacement of cement up to 4 wt% could accelerate C-S-H gel formation as a result of the increased crystalline Ca(OH) 2 amount at the early ages of hydration. The increased the SiO 2 nanoparticles' content more than 4 wt%, causes the reduced the flexural strength because of unsuitable dispersion of nanoparticles in the concrete matrix. Accelerated peak appearance in conduction calorimetry tests, more weight loss in thermogravimetric analysis and more rapid appearance of peaks related to hydrated products in X-ray diffraction results, all also indicate that SiO 2 nanoparticles up to 4 wt% could improve the mechanical and physical properties of the specimens. Finally, SiO 2 nanoparticles could improve the pore structure of concrete and shift the distributed pores to harmless and few-harm pores.

  3. Cr doping induced negative transverse magnetoresistance in C d3A s2 thin films

    Science.gov (United States)

    Liu, Yanwen; Tiwari, Rajarshi; Narayan, Awadhesh; Jin, Zhao; Yuan, Xiang; Zhang, Cheng; Chen, Feng; Li, Liang; Xia, Zhengcai; Sanvito, Stefano; Zhou, Peng; Xiu, Faxian

    2018-02-01

    The magnetoresistance of a material conveys various dynamic information about charge and spin carriers, inspiring both fundamental studies in physics and practical applications such as magnetic sensors, data storage, and spintronic devices. Magnetic impurities play a crucial role in the magnetoresistance as they induce exotic states of matter such as the quantum anomalous Hall effect in topological insulators and tunable ferromagnetic phases in dilute magnetic semiconductors. However, magnetically doped topological Dirac semimetals are hitherto lacking. Here, we report a systematic study of Cr-doped C d3A s2 thin films grown by molecular-beam epitaxy. With the Cr doping, C d3A s2 thin films exhibit unexpected negative transverse magnetoresistance and strong quantum oscillations, bearing a trivial Berry's phase and an enhanced effective mass. More importantly, with ionic gating the magnetoresistance of Cr-doped C d3A s2 thin films can be drastically tuned from negative to positive, demonstrating the strong correlation between electrons and the localized spins of the Cr impurities, which we interpret through the formation of magnetic polarons. Such a negative magnetoresistance under perpendicular magnetic field and its gate tunability have not been observed previously in the Dirac semimetal C d3A s2 . The Cr-induced topological phase transition and the formation of magnetic polarons in C d3A s2 provide insights into the magnetic interaction in Dirac semimetals as well as their potential applications in spintronics.

  4. In vitro cytotoxicity of SiO2 or ZnO nanoparticles with different sizes and surface charges on U373MG human glioblastoma cells

    Directory of Open Access Journals (Sweden)

    Kim JE

    2014-12-01

    Full Text Available Jung-Eun Kim,1,* Hyejin Kim,1,* Seong Soo A An,2 Eun Ho Maeng,3 Meyoung-Kon Kim,4 Yoon-Jae Song1 1Department of Life Science, 2Department of Bionano Technology, Gachon University, Seongnam-Si, South Korea; 3Korea Testing and Research Institute, Seoul, South Korea; 4Department of Biochemistry and Molecular Biology, Korea University Medical School and College, Seoul, South Korea *These authors contributed equally to this work Abstract: Silicon dioxide (SiO2 and zinc oxide (ZnO nanoparticles are widely used in various applications, raising issues regarding the possible adverse effects of these metal oxide nanoparticles on human cells. In this study, we determined the cytotoxic effects of differently charged SiO2 and ZnO nanoparticles, with mean sizes of either 100 or 20 nm, on the U373MG human glioblastoma cell line. The overall cytotoxicity of ZnO nanoparticles against U373MG cells was significantly higher than that of SiO2 nanoparticles. Neither the size nor the surface charge of the ZnO nanoparticles affected their cytotoxicity against U373MG cells. The 20 nm SiO2 nanoparticles were more toxic than the 100 nm nanoparticles against U373MG cells, but the surface charge had little or no effect on their cytotoxicity. Both SiO2 and ZnO nanoparticles activated caspase-3 and induced DNA fragmentation in U373MG cells, suggesting the induction of apoptosis. Thus, SiO2 and ZnO nanoparticles appear to exert cytotoxic effects against U373MG cells, possibly via apoptosis. Keyword: apoptosis

  5. Crystallization behavior of (1 - x)Li2O.xNa2O.Al2O3.4SiO2 glasses

    International Nuclear Information System (INIS)

    Wang, Moo-Chin; Cheng, Chih-Wei; Chang, Kuo-Ming; Hsi, Chi-Shiung

    2010-01-01

    The crystallization behavior of the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses has been investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), electron diffraction (ED) and energy dispersive spectroscopy (EDS). The crystalline phase was composed of β-spodumene. The isothermal crystallization kinetics of β-spodumene from the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses has also been studied by a quantitative X-ray diffraction method. The activation energy of β-spodumene formation decreases from 359.2 to 317.8 kJ/mol when the Na 2 O content increases from 0 to 0.4 mol and it increases from 317.8 to 376.9 kJ/mol when the Na 2 O content increases from 0.4 to 0.6 mol. The surface nucleation and plate-like growth were dominant in the crystallization of the (1 - x)Li 2 O.xNa 2 O.Al 2 O 3 .4SiO 2 glasses.

  6. A Study of the Dielectric Breakdown of SiO2 Films on Si by the Self- Quenching Technique

    Science.gov (United States)

    1974-10-01

    Cambell . Much of the early work on the breakdown of oxide films in 2 1 Q MOS structures was done by N. Klein and his coworkers...Electron Physics, 26, Academic Press. New York (1969). P. J. Harrop and D. S. Cambell , "Dielectric Properties of Thin Films," Handbook of Thin Film

  7. Soft chemistry routes to transparent metal oxide thin films. The case of sol–gel synthesis and structural characterization of Ta2O5 thin films from tantalum chloromethoxide

    International Nuclear Information System (INIS)

    Epifani, Mauro; Zamani, Reza; Arbiol, Jordi; Fabrega, Cristian; Andreu, Teresa; Pace, Giovanni Battista; Siciliano, Pietro; Morante, Joan R.

    2014-01-01

    Ta 2 O 5 thin films were prepared by spin-coating methanol solutions of Ta chloromethoxide. It was prepared by reacting TaCl 5 with methanol, followed by water addition (H 2 O: Ta molar ratio was 16). Thin films were deposited by spin-coating onto SiO 2 /Si substrates, followed by drying at 90 °C and heat-treatment up to 700 °C. The films were characterized by X-ray diffraction, transmission electron microscopy and field emission scanning electron microscopy. Crystallization was obtained only after heating at 700 °C, in the Ta 2 O 5 orthorhombic phase. The resulting films had a thickness of 100 nm. Their structure was constituted by porous crystals with size up to 50 nm, while the pores had a size of about 10 nm. The results demonstrated that TaCl 5 is very convenient precursor for the wet chemical synthesis of Ta 2 O 5 thin films. - Highlights: • Development of convenient solution synthesis of Ta 2 O 5 thin films; • The precursor chemistry was established and related to the synthesis process; • Uniform films crystallized in the most stable orthorombic Ta 2 O 5 phase;

  8. Synthesis of Li2SiO3 at low temperature

    International Nuclear Information System (INIS)

    Mondragon G, G.

    2007-01-01

    The main objective of this work is to develop a new synthesis method to obtain one of the more studied ceramics in this field Li 2 SiO 3 ) in a simple and economic way using different solutions (urea and ammonium hydroxide). The particular objectives are first to prepare the Li 2 SiO 3 ceramic, by means of the use of the reaction conventional technique in solid state at temperatures between 800 and 900 C to compare it with the one proposed in this work and this way to observe the advantages that it would gives us the new method. Later on, the same one was synthesized lithium ceramic (Li 2 SiO 3 ) by means of the new method at low temperature (between 80 and 90 C), using silicic acid and lithium hydroxide like precursory reagents and different solutions (urea and ammonium hydroxide) for the optimization in their synthesis. Finally, it was carried out the characterization of these materials by means of X-ray diffraction (XRD), electronic microscopes (SEM and TEM), nitrogen physisorption (method BET) and thermal gravimetric analysis (TGA) to observe the differences that exist among the conventional method and the proposed method and by this way to determine the advantages of the last method. (Author)

  9. Fabrication of graphene oxide decorated with Fe3O4@SiO2 for immobilization of cellulase

    Science.gov (United States)

    Li, Yue; Wang, Xiang-Yu; Jiang, Xiao-Ping; Ye, Jing-Jing; Zhang, Ye-Wang; Zhang, Xiao-Yun

    2015-01-01

    Fe3O4@SiO2-graphene oxide (GO) composites were successfully fabricated by chemical binding of functional Fe3O4@SiO2 and GO and applied to immobilization of cellulase via covalent attachment. The prepared composites were further characterized by transmission electron microscopy and Fourier transform infrared spectroscopy. Fe3O4 nanoparticles (NPs) were monodisperse spheres with a mean diameter of 17 ± 0.2 nm. The thickness of SiO2 layer was calculated as being 6.5 ± 0.2 nm. The size of Fe3O4@SiO2 NPs was 24 ± 0.3 nm, similar to that of Fe3O4@SiO2-NH2. Fe3O4@SiO2-GO composites were synthesized by linking of Fe3O4@SiO2-NH2 NPs to GO with the catalysis of EDC and NHS. The prepared composites were used for immobilization of cellulase. A high immobilization yield and efficiency of above 90 % were obtained after the optimization. The half-life of immobilized cellulase (722 min) was 3.34-fold higher than that of free enzyme (216 min) at 50 °C. Compared with the free cellulase, the optimal temperature of the immobilized enzyme was not changed; but the optimal pH was shifted from 5.0 to 4.0, and the thermal stability was enhanced. The immobilized cellulase could be easily separated and reused under magnetic field. These results strongly indicate that the cellulase immobilized onto the Fe3O4@SiO2-GO composite has potential applications in the production of bioethanol.

  10. Gold Nanoparticles on Mesoporous SiO2-Coated Magnetic Fe3O4 Spheres: A Magnetically Separatable Catalyst with Good Thermal Stability

    Directory of Open Access Journals (Sweden)

    Huan Liu

    2013-11-01

    Full Text Available Fe3O4 spheres with an average size of 273 nm were prepared in the presence of CTAB by a solvothermal method. The spheres were modified by a thin layer of SiO2, and then coated by mesoporous SiO2 (m-SiO2 films, by using TEOS as a precursor and CTAB as a soft template. The resulting m-SiO2/Fe3O4 spheres, with an average particle size of 320 nm, a high surface area (656 m2/g, and ordered nanopores (average pore size 2.5 nm, were loaded with gold nanoparticles (average size 3.3 nm. The presence of m-SiO2 coating could stabilize gold nanoparticles against sintering at 500 °C. The material showed better performance than a conventional Au/SiO2 catalyst in catalytic reduction of p-nitrophenol with NaBH4. It can be separated from the reaction mixture by a magnet and be recycled without obvious loss of catalytic activity. Relevant characterization by XRD, TEM, N2 adsorption-desorption, and magnetic measurements were conducted.

  11. Photon induced facile synthesis and growth of CuInS{sub 2} absorber thin film for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Manjeet, E-mail: msitbhu@gmail.com [Department of Physics, Incheon National University, 12-1, Songdo-dong, Yeonsu-gu, Incheon 406-772 (Korea, Republic of); Jiu, Jinting; Suganuma, Katsuaki [Department of Advanced Interconnection Materials, Institute of Scientific and Industrial Research, Osaka University, Mihogaoka 8-1, Ibaraki, Osaka, 567-0047 (Japan)

    2016-04-30

    Graphical abstract: The thin film containing CuS and In{sub 2}S{sub 3} can be converted into CuInS{sub 2} by irradiation of intense pulses of light. - Highlights: • Photonic sintering technique is demonstrated for CuInS{sub 2} (CIS) thin film preparation. • The binary sulfides CuS and In{sub 2}S{sub 3} are converted into CIS using intense light pulses. • The light energy of 706 mJ/cm{sup 2} is found best for phase pure CIS film formation. - Abstract: In this paper, we demonstrate the use of high intensity pulsed light technique for the synthesis of phase pure CuInS{sub 2} (CIS) thin film at room temperature. The intense pulse of light is used to induce sintering of binary sulfides CuS and In{sub 2}S{sub 3} to produce CIS phase without any direct thermal treatment. Light energy equivalent to the 706 mJ/cm{sup 2} is found to be the best energy to convert the CIS precursor film deposited at room temperature into CIS pure phase and well crystalline film. The CIS absorber film thus prepared is useful in making printed solar cell at room temperature on substrate with large area.

  12. Investigation of TL, OSL and PTTL properties of Mg2SiO4:Tb dosimeters

    International Nuclear Information System (INIS)

    Oguz, K. F.; Goekce, M.; Karali, T.; Harmansah, C.

    2010-01-01

    In this study thermoluminescence (TL) and optically stimulated luminescence (OSL) properties of Mg 2 SiO 4 :Tb in the form of sintered pellets were investigated. Mg 2 SiO 4 :Tb is a recently developed dosimetric material which offers high sensitivity for TL and OSL in dosimetric applications. Thermoluminescence glow curve of Tb doped Mg 2 SiO 4 samples show a glow peak at about 200 degree Celsius with two small peaks at about 275 and 330 degree Celsius, respectively. OSL experiments showed that blue light (470 nm) is six times more efficient than green light (532 nm) to stimulate the OSL emission. The aim of this study was to determine the TL and OSL fading properties of Mg 2 SiO 4 : Tb using OSL and TL methods. In addition, PTTL properties of the Mg 2 SiO 4 : Tb was investigated by using blue LEDs, UV lamp and blue laser. Investigations on the fading properties also showed that the TL signal fades % 10 in a period of 1 month and OSL signal fades % 10 in a period of 3 month, which then the signal remains relatively stable for longer periods.

  13. Matrix solid-phase dispersion extraction of organophosphorus pesticide using SiO2-poly(N-vinylimidazole)

    International Nuclear Information System (INIS)

    Gutiérrez-Solís, M C; Muñoz-Rodríguez, D; Carrera-Figueiras, C; Ávila-Ortega, A; Medina-Peralta, S

    2013-01-01

    A sorbent material based on silica particles modified with poly(N-vinylimidazole) (SiO 2 -PVI) has been evaluated for the treatment of samples by matrix solid-phase dispersion (MSPD). The extraction of four organophosphorus pesticides was done from a spiked tomato and the extracts were analyzed by gas chromatography coupled to mass spectrometry. Six elution solvents were evaluated and acetone was selected due to better recovery of the four pesticides and low background signal in the chromatograms. A factorial design 2 4 was used for selection of extraction conditions. The factors were contact time, acetone volume, treatment (with or without freeze-drying) and adsorbent (SiO 2 or SiO 2 -PVI). The best recoveries were obtained using 15 minutes of contact, 2 mL of solvent and sorbent without freeze-drying. The recoveries were between 60 and 83% for SiO 2 -PVI in spiked tomato with 0.2 and 0.8μg/g.

  14. Preparation and characterization of silane-modified SiO2 particles reinforced resin composites with fluorinated acrylate polymer.

    Science.gov (United States)

    Liu, Xue; Wang, Zengyao; Zhao, Chengji; Bu, Wenhuan; Na, Hui

    2018-04-01

    A series of fluorinated dental resin composites were prepared with two kinds of SiO 2 particles. Bis-GMA (bisphenol A-glycerolate dimethacrylate)/4-TF-PQEA (fluorinated acrylate monomer)/TEGDMA (triethylene glycol dimethacrylate) (40/30/30, wt/wt/wt) was introduced as resin matrix. SiO 2 nanopartices (30nm) and SiO 2 microparticles (0.3µm) were silanized with 3-methacryloxypropyl trimethoxysilane (γ-MPS) and used as fillers. After mixing the resin matrix with 0%, 10%, 20%, 30% SiO 2 nanopartices and 0%, 10%, 20%, 30%, 40%, 50% SiO 2 microparticles, respectively, the fluorinated resin composites were obtained. Properties including double bond conversion (DC), polymerization shrinkage (PS), water sorption (W p ), water solubility (W y ), mechanical properties and cytotoxicity were investigated in comparison with those of neat resin system. The results showed that, filler particles could improve the overall performance of resin composites, particularly in improving mechanical properties and reducing PS of composites along with the addition of filler loading. Compared to resin composites containing SiO 2 microparticles, SiO 2 nanoparticles resin composites had higher DC, higher mechanical properties, lower PS and lower W p under the same filler content. Especially, 50% SiO 2 microparticles reinforced resins exhibited the best flexural strength (104.04 ± 7.40MPa), flexural modulus (5.62 ± 0.16GPa), vickers microhardness (37.34 ± 1.13 HV), compressive strength (301.54 ± 5.66MPa) and the lowest polymerization (3.42 ± 0.22%). Copyright © 2018 Elsevier Ltd. All rights reserved.

  15. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO2 for non-volatile memory device

    International Nuclear Information System (INIS)

    Stepina, N.P.; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V.

    2008-01-01

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO 2 , have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO 2 /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots

  16. Antireflective bilayer coatings based on Al2O3 film for UV region

    OpenAIRE

    Marszałek Konstanty; Winkowski Paweł; Marszałek Marta

    2015-01-01

    Bilayer antireflective coatings consisting of aluminium oxide Al2O3/MgF2 and Al2O3/SiO2 are presented in this paper. Oxide films were deposited by means of e-gun evaporation in vacuum of 5 × 10-3 Pa in the presence of oxygen, and magnesium fluoride was prepared by thermal evaporation on heated optical lenses made from quartz glass (Corning HPFS). Substrate temperature was maintained at 250 _C during the deposition. Thickness and deposition rate were controlled with a thickness measuring syste...

  17. Evidence of Plasmonic Induced Photocatalytic Hydrogen Production on Pd/TiO2 Upon Deposition on Thin Films of Gold

    KAUST Repository

    Khan, M. A.

    2017-02-28

    H2-production from renewables using sunlight is probably the holy grail of modern science and technology. Among the many approaches for increasing reaction rates, by increasing light absorption, plasmonic materials are often invoked. Yet, most plasmonic metals on semiconductors are also good for Schottky barrier formation. In this work, we are presenting evidences of de-coupling the plasmonic from Schottky effects on photoreaction. To conduct this we have systematically changed the under-layer gold film thickness and associated particle size. On top of the thin film layer, we have deposited the exact amount of a prototypical Schottky-based photo-catalyst (Pd/TiO2). We found up to 4 times increase in the H2-production rate at a critical Au film thickness (8 nm-thick). Below this thickness, the plasmonic response is not too strong while above it, the PR decays in favor of the Drude absorption mode. The reaction requires the presence of both UV (to excite the semiconductor) and visible light (to excite Au particles) in order to obtain high hydrogen production, 800 µmol/gCatal.min (probably the highest direct hydrogen (not current) production rate reported on a performing catalyst). The enhancement origin is quantitatively traced to its computed electric field strength (EFS). Adding a dielectric (SiO2) in between the Au thin layer and the catalyst exponentially decreased the reaction rate and EFS, with increasing its thickness. This work indicates the possibility of making an active and stable photo-catalyst from fundamental concepts yet further progress on the structural (technological) front is needed to make a practical catalyst.Graphical abstract

  18. Ta2O5/ Al2O3/ SiO2 - antireflective coating for non-planar optical surfaces by atomic layer deposition

    Science.gov (United States)

    Pfeiffer, K.; Schulz, U.; Tünnermann, A.; Szeghalmi, A.

    2017-02-01

    Antireflective coatings are essential to improve transmittance of optical elements. Most research and development of AR coatings has been reported on a wide variety of plane optical surfaces; however, antireflection is also necessary on nonplanar optical surfaces. Physical vapor deposition (PVD), a common method for optical coatings, often results in thickness gradients on strongly curved surfaces, leading to a failure of the desired optical function. In this work, optical thin films of tantalum pentoxide, aluminum oxide and silicon dioxide were prepared by atomic layer deposition (ALD), which is based on self-limiting surface reactions. The results demonstrate that ALD optical layers can be deposited on both vertical and horizontal substrate surfaces with uniform thicknesses and the same optical properties. A Ta2O5/Al2O3/ SiO2 multilayer AR coating (400-700 nm) was successfully applied to a curved aspheric glass lens with a diameter of 50 mm and a center thickness of 25 mm.

  19. Flux pinning by heavy-ion-irradiation induced linear defects in YBa2Cu3O7 epitaxial films

    International Nuclear Information System (INIS)

    Budhani, R.C.; Zhu, Y.; Suenaga, M.

    1992-01-01

    We report some transport measurements carried out to study flux pinning by heavy-ion-irradiation induced linear defects in Y 1 Ba 2 Cu 3 O 7 films. Our results show that in these in situ deposited films containing a large concentration of defects frozen-in at the time of film growth, a marginal enhancement in critical current density occurs when the density of linear defects 10 /cm 2 , and their diameter of the order of coherence length. This criterion is satisfied by Ag +21 ions. The damage due to Au +24 ions is much too severe to improve the J c

  20. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    Science.gov (United States)

    Huan, Qing; Hu, Hao; Pan, Li-Da; Xiao, Jiang; Du, Shi-Xuan; Gao, Hong-Jun

    2010-08-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule-molecule interaction. Finally, a phenomenal “two-branch" model is proposed to simulate the growth process of the seahorse pattern.

  1. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    International Nuclear Information System (INIS)

    Qing, Huan; Hao, Hu; Li-Da, Pan; Jiang, Xiao; Shi-Xuan, Du; Hong-Jun, Gao

    2010-01-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO 2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule–molecule interaction. Finally, a phenomenal “two-branch” model is proposed to simulate the growth process of the seahorse pattern. (general)

  2. Structural characterization and optical properties of Eu"2"+ and Dy"2"+ doped Sr_2SiO_4 phosphor by solid state reaction method

    International Nuclear Information System (INIS)

    Verma, Durga; Verma, Mohan L.; Upma; Patel, R.P.

    2016-01-01

    Thermoluminescence, SEM, FTIR Divalent dysprosium and europium doped strontium silicate (Sr_2SiO_4) phosphors were synthesized with the high-temperature solid-state reaction technique. The obtained phosphor was well characterized by powder X-ray diffraction, scanning electron microscopy, FTIR, UV-visible spectroscopy and thermoluminescence. The crystal structure of the prepared phosphor has an orthorhombic structure with space group Pnma. From scanning electron microscopy (SEM), agglomerations of particles were observed due to the high temperature synthesis process. The chemical composition of the sintered Sr_2SiO_4:Dy"2"+ and Sr_2SiO_4: Eu"2"+ phosphor was confirmed by energy dispersive X-ray spectroscopy (EDX). The UV-VIS analysis can be thought as a good quality check for the optical behavior of materials. The Fourier transmission infrared spectroscopy (FTIR) confirms the present elements in phosphor. Thermoluminescence study was carried out for the phosphor with UV irradiation show one glow peak. The trapping parameters associated with the prominent glow peak of Sr_2SiO_4:Dy"2"+ and Sr_2SiO_4:Eu"2"+ are calculated using Chen's glow curve method. The release of holes/electrons from defect centers at the characteristic trap site initiates the luminescence process in this material. (author)

  3. Investigation of luminescence properties in SiO2: Tb, Yb upconversion inverse opal

    International Nuclear Information System (INIS)

    Yang Zhengwen; Yan Dong; Song Zhiguo; Zhou Dacheng; Yu Xue; Yang Yong; Yin Zhaoyi; Yan Lei; Wang Rongfei; Wu Hangjun; Qiu Jianbei

    2012-01-01

    The SiO 2 : Tb, Yb inverse opals with photonic band gap at 465 or 543 nm were prepared, and an effect of photonic band gap on upconversion spontaneous emission from Tb 3+ was investigated. The results show that the photonic band gap has a significant influence on the upconversion emission of the SiO 2 : Tb, Yb inverse opals. The upconversion luminescence of the Tb 3+ ions is suppressed in the inverse opal compared with the luminescence of that of the reference sample. - Highlights: ► Upconversion emission from Tb 3+ was observed in the SiO 2 : Tb, Yb inverse opal. ► UC emission of Tb 3+ was modulated by controlling the structure of inverse opal. ► UC emission of Tb 3+ was depressed in the inverse opal.

  4. A microbiological evaluation of SiO2-coated textiles in hospital interiors

    DEFF Research Database (Denmark)

    Mogensen, Jeppe; Jørgensen, Poul-Erik; Thomsen, Trine Rolighed

    2016-01-01

    . From this perspective, the purpose of this paper is therefore to address focus on alternative passive coatings that without actively killing the bacteria provide a hydrophobic and easy-to-clean textile surface. The paper relates to an in-situ study evaluating the effect and cleaning potential of SiO2...... contact plates through a three-week period. By determining the level of contamination on these surfaces, the study illustrates that the SiO2-coated textile is possible to clean to an acceptable level below the critical limit value of 2,5 Colony Forming Units (CFU) per cm2. In comparison, the traditional...

  5. Laser-induced vibration of a thin soap film.

    Science.gov (United States)

    Emile, Olivier; Emile, Janine

    2014-09-21

    We report on the vibration of a thin soap film based on the optical radiation pressure force. The modulated low power laser induces a counter gravity flow in a vertical free-standing draining film. The thickness of the soap film is then higher in the upper region than in the lower region of the film. Moreover, the lifetime of the film is dramatically increased by a factor of 2. Since the laser beam only acts mechanically on the film interfaces, such a film can be employed in an optofluidic diaphragm pump, the interfaces behaving like a vibrating membrane and the liquid in-between being the fluid to be pumped. Such a pump could then be used in delicate micro-equipment, in chips where temperature variations are detrimental and even in biological systems.

  6. Salt-assisted clean transfer of continuous monolayer MoS2 film for hydrogen evolution reaction

    Science.gov (United States)

    Cho, Heung-Yeol; Nguyen, Tri Khoa; Ullah, Farman; Yun, Jong-Won; Nguyen, Cao Khang; Kim, Yong Soo

    2018-03-01

    The transfer of two-dimensional (2D) materials from one substrate to another is challenging but of great importance for technological applications. Here, we propose a facile etching and residue-free method for transferring a large-area monolayer MoS2 film continuously grown on a SiO2/Si by chemical vapor deposition. Prior to synthesis, the substrate is dropped with water- soluble perylene-3, 4, 9, 10-tetracarboxylic acid tetrapotassium salt (PTAS). The as-grown MoS2 on the substrate is simply dipped in water to quickly dissolve PTAS to yield the MoS2 film floating on the water surface, which is subsequently transferred to the desired substrate. The morphological, optical and X-ray photoelectron spectroscopic results show that our method is useful for fast and clean transfer of the MoS2 film. Specially, we demonstrate that monolayer MoS2 film transferred onto a conducting substrate leads to excellent performance for hydrogen evolution reaction with low overpotential (0.29 V vs the reversible hydrogen electrode) and Tafel slope (85.5 mV/decade).

  7. Viscosity of SiO2-"FeO"-Al2O3 System in Equilibrium with Metallic Fe

    Science.gov (United States)

    Chen, Mao; Raghunath, Sreekanth; Zhao, Baojun

    2013-08-01

    The present study delivered the measurements of viscosities in SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe. The rotational spindle technique was used in the measurements at the temperature range of 1473 K to 1773 K (1200 °C to 1500 °C). Molybdenum crucibles and spindles were employed in all measurements. The Fe saturation condition was maintained by an iron plate placed at the bottom of the crucible. The equilibrium compositions of the slags were measured by EPMA after the viscosity measurements. The effect of up to 20 mol. pct Al2O3 on the viscosity of the SiO2-"FeO" slag was investigated. The "charge compensation effect" of the Al2O3 and FeO association has been discussed. The modified quasi-chemical viscosity model has been optimized in the SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe to describe the viscosity measurements of the present study.

  8. A new cataluminescence gas sensor based on SiO2 nanotubes fabricated using carbon nanotube templates.

    Science.gov (United States)

    Wang, Yali; Cao, Xiaoan; Li, Jinwen; Chen, Nan

    2011-05-15

    In the present work, two morphologies of SiO(2) nanomaterials (SiO(2) nanotubes and nanoparticles) have been successfully synthesized in supercritical fluids (SCFs). The cataluminescence (CTL) features of the two SiO(2) nanomaterials to some common harmful gases were compared, and the results showed that SiO(2) nanotubes had better CTL sensing characteristic to some common harmful gases. The SiO(2) nanotubes not only had uniform size and shape with a high specific surface area, but also exhibited superior sensitivity and selectivity to ethyl acetate vapor. Using the SiO(2) nanotubes as sensing material, a CTL sensor for ethyl acetate vapor was developed. The proposed sensor showed high sensitivity and specificity to ethyl acetate at optimal temperature of 293°C, a wavelength of 425 nm and a flow rate of 345 mL/min. With a detection limit of 0.85 ppm, the linear range of CTL intensity versus concentrations of ethyl acetate vapor was 2.0-2000 ppm. None or only very low levels of interference were observed while the foreign substances such as acetone, acetaldehyde, acetic acid, formaldehyde, ammonia, ethanol, benzene and methanol were passing through the sensor. This method allows rapid determination of gaseous ethyl acetate at workshop. Copyright © 2011 Elsevier B.V. All rights reserved.

  9. Design Of A Bi-Functional α-Fe2O3/Zn2SiO4:Mn2+ By Layer-By-Layer Assembly Method

    Directory of Open Access Journals (Sweden)

    Yu Ri

    2015-06-01

    Full Text Available This work describes the design of bi-functional α-Fe2O3/Zn2SiO4:Mn2+ using a two-step coating process. We propose a combination of pigments (α-Fe2O3 and phosphor (Zn2SiO4:Mn2+ glaze which is assembled using a layer-by-layer method. A silica-coated α-Fe2O3 pigment was obtained by a sol-gel method and a Zn2+ precursor was then added to the silica-coated α-Fe2O3 to create a ZnO layer. Finally, the Zn2SiO4:Mn2+ layer was prepared with the addition of Mn2+ ions to serve as a phosphor precursor in the multi-coated α-Fe2O3, followed by annealing at a temperature above 1000°C. Details of the phase structure, color and optical properties of the multi-functional α-Fe2O3/Zn2SiO4:Mn2+ were characterized by transmission electron microscopy and X-ray diffraction analyses.

  10. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  11. Fabrication of graphene oxide decorated with Fe3O4@SiO2 for immobilization of cellulase

    International Nuclear Information System (INIS)

    Li, Yue; Wang, Xiang-Yu; Jiang, Xiao-Ping; Ye, Jing-Jing; Zhang, Ye-Wang; Zhang, Xiao-Yun

    2015-01-01

    Fe 3 O 4 @SiO 2 –graphene oxide (GO) composites were successfully fabricated by chemical binding of functional Fe 3 O 4 @SiO 2 and GO and applied to immobilization of cellulase via covalent attachment. The prepared composites were further characterized by transmission electron microscopy and Fourier transform infrared spectroscopy. Fe 3 O 4 nanoparticles (NPs) were monodisperse spheres with a mean diameter of 17 ± 0.2 nm. The thickness of SiO 2 layer was calculated as being 6.5 ± 0.2 nm. The size of Fe 3 O 4 @SiO 2 NPs was 24 ± 0.3 nm, similar to that of Fe 3 O 4 @SiO 2 –NH 2 . Fe 3 O 4 @SiO 2 –GO composites were synthesized by linking of Fe 3 O 4 @SiO 2 –NH 2 NPs to GO with the catalysis of EDC and NHS. The prepared composites were used for immobilization of cellulase. A high immobilization yield and efficiency of above 90 % were obtained after the optimization. The half-life of immobilized cellulase (722 min) was 3.34-fold higher than that of free enzyme (216 min) at 50 °C. Compared with the free cellulase, the optimal temperature of the immobilized enzyme was not changed; but the optimal pH was shifted from 5.0 to 4.0, and the thermal stability was enhanced. The immobilized cellulase could be easily separated and reused under magnetic field. These results strongly indicate that the cellulase immobilized onto the Fe 3 O 4 @SiO 2 –GO composite has potential applications in the production of bioethanol

  12. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  13. Magnetic characteristics and nanostructures of FePt granular films with GeO2 segregant

    Science.gov (United States)

    Ono, Takuya; Moriya, Tomohiro; Hatayama, Masatoshi; Tsumura, Kaoru; Kikuchi, Nobuaki; Okamoto, Satoshi; Kitakami, Osamu; Shimatsu, Takehito

    2017-01-01

    To realize a granular film composed of L10-FePt grains with high uniaxial magnetic anisotropy energy, Ku, and segregants for energy-assisted magnetic recording, a FePt-GeO2/FePt-C stacked film was investigated in the engineering process. The FePt-GeO2/FePt-C stacked film fabricated at a substrate temperature of 450 °C realized uniaxial magnetic anisotropy, Kugrain , of about 2.5 × 107 erg/cm3, which is normalized by the volume fraction of FePt grains, and a granular structure with an averaged grain size of 7.7 nm. As the thickness of the FePt-GeO2 upper layer was increased to 9 nm, the Ku values were almost constant. That result differs absolutely from the thickness dependences of the other oxide segregant materials such as SiO2 and TiO2. Such differences on the oxide segregant are attributed to their chemical bond. The strong covalent bond of GeO2 is expected to result in high Ku of the FePt-GeO2/FePt-C stacked films.

  14. Tunable graphene doping by modulating the nanopore geometry on a SiO2/Si substrate

    KAUST Repository

    Lim, Namsoo; Yoo, Tae Jin; Kim, Jin Tae; Pak, Yusin; Kumaresan, Yogeenth; Kim, Hyeonghun; Kim, Woochul; Lee, Byoung Hun; Jung, Gun Young

    2018-01-01

    A tunable graphene doping method utilizing a SiO2/Si substrate with nanopores (NP) was introduced. Laser interference lithography (LIL) using a He–Cd laser (λ = 325 nm) was used to prepare pore size- and pitch-controllable NP SiO2/Si substrates

  15. Interface formation and defect structures in epitaxial La2Zr2O7 thin films on (111) Si

    International Nuclear Information System (INIS)

    Seo, J.W.; Fompeyrine, J.; Guiller, A.; Norga, G.; Marchiori, C.; Siegwart, H.; Locquet, J.-P.

    2003-01-01

    We have studied the growth of epitaxial La 2 Zr 2 O 7 thin films on (111) Si. Although the interface structure can be strongly affected by the Si oxidation during the deposition process, epitaxial growth of La 2 Zr 2 O 7 was obtained. A detailed study by means of transmission electron microscopy reveals two types of structures (pyrochlore and fluorite) with the same average chemical composition but strong differences in reactivity and interface formation. The structural complexity of the ordered pyrochlore structure seems to prevent excess oxygen diffusion and interfacial SiO 2 formation

  16. Disordered electrical potential observed on the surface of SiO2 by electric field microscopy

    International Nuclear Information System (INIS)

    GarcIa, N; Yan Zang; Ballestar, A; Barzola-Quiquia, J; Bern, F; Esquinazi, P

    2010-01-01

    The electrical potential on the surface of ∼300 nm thick SiO 2 grown on single-crystalline Si substrates has been characterized at ambient conditions using electric field microscopy. Our results show an inhomogeneous potential distribution with fluctuations up to ∼0.4 V within regions of 1 μm. The potential fluctuations observed at the surface of these usual dielectric holders of graphene sheets should induce strong variations in the graphene charge densities and provide a simple explanation for some of the anomalous behaviors of the transport properties of graphene.

  17. Microstructure and mechanical properties of SiO2-BN ceramic and Invar alloy joints brazed with Ag–Cu–Ti+TiH2+BN composite filler

    Directory of Open Access Journals (Sweden)

    Y. Wang

    2016-03-01

    Full Text Available Ag–Cu–Ti + TiH2+BN composite filler was prepared to braze SiO2-BN ceramic and Invar alloy. The interfacial microstructure, mechanical properties, and residual stress distribution of the brazed joints were investigated. The results show that a wave-like Fe2Ti–Ni3Ti structure appears in the Invar substrate and a thin TiN–TiB2 reaction layer forms adjacent to the SiO2-BN ceramic. The added BN particles react with Ti to form TiN–TiB fine-particles, which is beneficial to refine the microstructure of the brazing seam and to greatly inhibit the brittle compounds formation. The interfacial microstructure at various brazing temperatures was analyzed, and the mechanism for the interfacial reactions responsible for the bonding was proposed. The maximum shear strength of the joints brazed with the composite filler at 880 °C for 10 min is 39 MPa, which is 30% greater than that brazed with Ag–Cu–Ti alloy. The improvement of the joint strength is attributed to the variation of joint microstructure and the reduction of tensile stresses induced in the SiO2-BN ceramic. The finite element analysis indicates that the peak tensile stress decreases from 230 to 142 MPa due to the addition of BN particles in the ceramic.

  18. Study of temperature-dependent charge conduction in silicon-nanocrystal/SiO_2 multilayers

    International Nuclear Information System (INIS)

    Mavilla, Narasimha Rao; Chavan, Vinayak; Solanki, Chetan Singh; Vasi, Juzer

    2016-01-01

    Silicon-nanocrystals (Si-NCs) realized by SiO_x _ 8 MV/cm; independent of temperature), while for lower electric fields (5–8 MV/cm) at higher temperatures, the trap-related Generalized Poole–Frenkel (GPF) is dominant. This signified the role of traps in modifying the conduction in bulk ICPCVD SiO_2 films. We then present the conduction in ML samples. For multilayer samples with SiO_2 sublayer thickness of 1.5 nm and 2.5 nm, Direct Tunneling (DT) is observed to be dominant, while for SiO_2 sublayer thickness of 3.5 nm, Space Charge Limited Conduction (SCLC) with exponential trap distribution is found to be the dominant conduction mechanism. This signifies the role of traps in modifying the conduction in Si-NC multilayer samples and SiO_2 sublayer thickness dependence. - Highlights: • Electrical conduction in SiO_2 film & Si-nanocrystal layers (Si-NCs) is reported. • SiO_2/SiO_x multilayer based Si-NCs were realized by Inductively Coupled plasma CVD. • For SiO_2 film, Fowler–Nordheim tunneling & Generalized Poole–Frenkel are observed. • For Si-NCs with thin SiO_2 sublayers (< 2.5 nm) Direct Tunneling is dominant. • For Si-NCs with 3.5 nm SiO_2 sublayers Space Charge Limited Conduction is dominant.

  19. Shadowgraphic investigations into the laser-induced forward transfer of different SnO2 precursor films

    International Nuclear Information System (INIS)

    Mattle, Thomas; Shaw-Stewart, James; Hintennach, Andreas; Schneider, Christof W.; Lippert, Thomas; Wokaun, Alexander

    2013-01-01

    Laser-induced forward transfer of different SnO 2 precursor films for sensor applications were investigated using time resolved imaging, from 0 to 2 μs after the onset of the ablation process. Transfers of SnCl 2 (acac) 2 and SnO 2 nano-particles, both with and without a triazene polymer dynamic release layer (DRL), were investigated and compared to transfers of aluminum films with a triazene polymer DRL. Shockwave speed and flyer speeds at high laser fluences of Φ = 650 mJ/cm 2 and at the lower fluences, suitable for the transfer of functional and well defined pixels were analyzed. No influence of the use of a triazene polymer DRL on shockwave and flyer speed was observed. Material ejected under transfer condition showed a velocity of around 200 m/s with a weak shockwave.

  20. Shadowgraphic investigations into the laser-induced forward transfer of different SnO2 precursor films

    Science.gov (United States)

    Mattle, Thomas; Shaw-Stewart, James; Hintennach, Andreas; Schneider, Christof W.; Lippert, Thomas; Wokaun, Alexander

    2013-08-01

    Laser-induced forward transfer of different SnO2 precursor films for sensor applications were investigated using time resolved imaging, from 0 to 2 μs after the onset of the ablation process. Transfers of SnCl2(acac)2 and SnO2 nano-particles, both with and without a triazene polymer dynamic release layer (DRL), were investigated and compared to transfers of aluminum films with a triazene polymer DRL. Shockwave speed and flyer speeds at high laser fluences of Φ = 650 mJ/cm2 and at the lower fluences, suitable for the transfer of functional and well defined pixels were analyzed. No influence of the use of a triazene polymer DRL on shockwave and flyer speed was observed. Material ejected under transfer condition showed a velocity of around 200 m/s with a weak shockwave.