WorldWideScience

Sample records for sio2 film grown

  1. Electronic and surface properties of pentacene films deposited on SiO2 prepared by the sol–gel and thermally grown methods

    International Nuclear Information System (INIS)

    Dai, Chi-Jie; Tsao, Hou-Yen; Lin, Yow-Jon; Liu, Day-Shan

    2014-01-01

    This study investigates the effect of different types of SiO 2 on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO 2 surfaces than sol–gel SiO 2 surfaces, suggesting that the thermally grown SiO 2 dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO 2 dielectrics is higher than that in pentacene on sol–gel SiO 2 dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO 2 was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films

  2. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  3. Thickness measurement of SiO2 films thinner than 1 nm by X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Joong Kim, Kyung; Park, Ki Tae; Lee, Jong Wan

    2006-01-01

    The thickness measurement of ultra-thin SiO 2 films thinner than 1 nm was studied by X-ray photoelectron spectroscopy (XPS). Amorphous SiO 2 thin films were grown on amorphous Si films to avoid the thickness difference due to the crystalline structure of a substrate. SiO 2 thin films were grown by ion beam sputter deposition under oxygen gas flow and the thickness was measured by in situ XPS. The attenuation length was determined experimentally by a SiO 2 film with a known thickness. The straight line fit between the measured thickness using XPS and the nominal thickness showed a good linear relation with a gradient of 0.969 and a small offset of 0.126 nm. The gradient measured at the range of 3.4-0.28 nm was very close to that measured at sub-nanometer range of 1.13-0.28 nm. This result means that the reliable measurement of SiO 2 film thickness below 1 nm is possible by XPS

  4. Compositional and optical properties of SiO x films and (SiO x /SiO y ) junctions deposited by HFCVD

    Science.gov (United States)

    2014-01-01

    In this work, non-stoichiometric silicon oxide (SiO x ) films and (SiO x /SiO y ) junctions, as-grown and after further annealing, are characterized by different techniques. The SiO x films and (SiO x /SiO y ) junctions are obtained by hot filament chemical vapor deposition technique in the range of temperatures from 900°C to 1,150°C. Transmittance spectra of the SiO x films showed a wavelength shift of the absorption edge thus indicating an increase in the optical energy band gap, when the growth temperature decreases; a similar behavior is observed in the (SiO x /SiO y ) structures, which in turn indicates a decrease in the Si excess, as Fourier transform infrared spectroscopy (FTIR) reveals, so that, the film and junction composition changes with the growth temperature. The analysis of the photoluminescence (PL) results using the quantum confinement model suggests the presence of silicon nanocrystal (Si-nc) embedded in a SiO x matrix. For the case of the as-grown SiO x films, the absorption and emission properties are correlated with quantum effects in Si-nc and defects. For the case of the as-grown (SiO x /SiO y ) junctions, only the emission mechanism related to some kinds of defects was considered, but silicon nanocrystal embedded in a SiO x matrix is present. After thermal annealing, a phase separation into Si and SiO2 occurs, as the FTIR spectra illustrates, which has repercussions in the absorption and emission properties of the films and junctions, as shown by the change in the A and B band positions on the PL spectra. These results lead to good possibilities for proposed novel applications in optoelectronic devices. PACS 61.05.-a; 68.37.Og; 61.05.cp; 78.55.-m; 68.37.Ps; 81.15.Gh PMID:25342935

  5. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    Science.gov (United States)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  6. Structural and optical properties of (Sr,Ba)2SiO4:Eu2+ thin films grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Li, Leliang; Zheng, Jun; Zuo, Yuhua; Cheng, Buwen; Wang, Qiming

    2014-01-01

    (Sr,Ba) 2 SiO 4 :Eu 2+ thin films were deposited on Si at different substrate temperatures by magnetron sputtering. The morphology and crystalline phases of the films were studied by scanning electron microscopy (SEM) and X-ray diffraction (XRD) measurements, respectively. The silicate crystal phase was presented when films were annealed above 900 °C and the annealing temperature had great impact on the film morphology. The samples annealed at 1000 °C in a non-reducing atmosphere for 30 s show intense room temperature Eu 2+ emission. These findings may open a promising way to prepare efficient phosphor thin films for on-chip light emitting diodes application. - Highlights: • The (Sr, Ba) 2 SiO 4 :Eu 2+ films are fabricated by magnetron sputtering. • A very strong RT PL emission at 540 nm is achieved. • The morphology and optical properties dependent on temperature are studied

  7. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  8. Photoluminescent characteristics of ion beam synthesized Ge nanoparticles in thermally grown SiO2 films

    International Nuclear Information System (INIS)

    Yu, C.F.; Chao, D.S.; Chen, Y.-F.; Liang, J.H.

    2013-01-01

    Prospects of developing into numerous silicon-based optoelectronic applications have prompted many studies on the optical properties of Ge nanoparticles within a silicon oxide (SiO 2 ) matrix. Even with such abundant studies, the fundamental mechanism underlying the Ge nanoparticle-induced photoluminescence (PL) is still an open question. In order to elucidate the mechanism, we dedicate this study to investigating the correlation between the PL properties and microstructure of the Ge nanoparticles synthesized in thermally grown SiO 2 films. Our spectral data show that the peak position, at ∼3.1 eV or 400 nm, of the PL band arising from the Ge nanoparticles was essentially unchanged under different Ge implantation fluences and the temperatures of the following annealing process, whereas the sample preparation parameters modified or even fluctuated (in the case of the annealing temperature) the peak intensity considerably. Given the microscopically observed correlation between the nanoparticle structure and the sample preparation parameters, this phenomenon is consistent with the mechanism in which the oxygen-deficiency-related defects in the Ge/SiO 2 interface act as the major luminescence centers; this mechanism also successfully explains the peak intensity fluctuation with the annealing temperature. Moreover, our FTIR data indicate the formation of GeO x upon ion implantation. Since decreasing of the oxygen-related defects by the GeO x formation is expected to be correlated with the annealing temperature, presence of the GeO x renders further experimental support to the oxygen defect mechanism. This understanding may assist the designing of the manufacturing process to optimize the Ge nanoparticle-based PL materials for different technological applications

  9. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    International Nuclear Information System (INIS)

    Suvorova, N.A.; Lopez, C.M.; Irene, E.A.; Suvorova, A.A.; Saunders, M.

    2004-01-01

    (Ba,Sr)TiO 3 (BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO 2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO 2 on Si or 3.5 nm SiO 2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO 2 . Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density D it of an order of magnitude for oxidized Si substrates with a thicker SiO 2 underlayer. Further reduction of D it was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization

  10. Comparison of interfaces for (Ba,Sr)TiO3 films deposited on Si and SiO2/Si substrates

    Science.gov (United States)

    Suvorova, N. A.; Lopez, C. M.; Irene, E. A.; Suvorova, A. A.; Saunders, M.

    2004-03-01

    (Ba,Sr)TiO3(BST) thin films were deposited by ion sputtering on both bare and oxidized Si. Spectroscopic ellipsometry results have shown that a SiO2 underlayer of nearly the same thickness (2.6 nm in average) is found at the Si interface for BST sputter depositions onto nominally bare Si, 1 nm SiO2 on Si or 3.5 nm SiO2 on Si. This result was confirmed by high-resolution electron microscopy analysis of the films, and it is believed to be due to simultaneous subcutaneous oxidation of Si and reaction of the BST layer with SiO2. Using the conductance method, capacitance-voltage measurements show a decrease in the interface trap density Dit of an order of magnitude for oxidized Si substrates with a thicker SiO2 underlayer. Further reduction of Dit was achieved for the capacitors grown on oxidized Si and annealed in forming gas after metallization.

  11. Luminescence and origin of lead-related centers in single crystalline films of Y2SiO5 and Lu2SiO5

    International Nuclear Information System (INIS)

    Babin, V.; Gorbenko, V.; Krasnikov, A.; Mihokova, E.; Nikl, M.; Zazubovich, S.; Zorenko, Yu.

    2013-01-01

    In the temperature range 4.2–350 K, the steady-state and time-resolved emission and excitation spectra and luminescence decay kinetics are studied for the undoped Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films grown by liquid phase epitaxy method from the PbO-based flux and, owing to that, containing lead ions substituting for Y 3+ or Lu 3+ ions. Luminescence characteristics of Pb-related centers of different types are identified. On the basis of the results obtained, we suggest that the ultraviolet emission of Pb-related centers arises from the Pb 2+ ions substituting for Y 3+ or Lu 3+ ions in the Y1 and Lu1 lattice sites of the X 2 structure. Possible hypotheses on the origin of the intense complex lead-related blue emission are discussed. We propose phenomenological models describing the excited-state dynamics of the studied luminescence centers. We also determine characteristic parameters of the corresponding relaxed excited states, in particular, the energy separations between the excited states and the rates of the radiative and non-radiative transitions from these states. -- Highlights: •Emission of lead centers in Y 2 SiO 5 and Lu 2 SiO 5 single crystalline films is studied. •The ultraviolet emission arises from Pb 2+ ions located in Y1 or Lu1 lattice sites. •Possible hypotheses on the origin of the blue emission are proposed and discussed. •The relaxed excited states parameters of various Pb-related centers are determined

  12. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  13. Structural colors of the SiO2/polyethyleneimine thin films on poly(ethylene terephthalate) substrates

    International Nuclear Information System (INIS)

    Jia, Yanrong; Zhang, Yun; Zhou, Qiubao; Fan, Qinguo; Shao, Jianzhong

    2014-01-01

    The SiO 2 /polyethyleneimine (PEI) films with structural colors on poly(ethylene terephthalate) (PET) substrates were fabricated by an electrostatic self-assembly method. The morphology of the films was characterized by Scanning Electron Microscopy. The results showed that there was no distinguishable multilayered structure found of SiO 2 /PEI films. The optical behaviors of the films were investigated through the color photos captured by a digital camera and the color measurement by a multi-angle spectrophotometer. Different hue and brightness were observed at various viewing angles. The structural colors were dependent on the SiO 2 particle size and the number of assembly cycles. The mechanism of the structural colors generated from the assembled films was elucidated. The morphological structures and the optical properties proved that the SiO 2 /PEI film fabricated on PET substrate formed a homogeneous inorganic/organic SiO 2 /PEI composite layer, and the structural colors were originated from single thin film interference. - Highlights: • SiO 2 /PEI thin films were electrostatic self-assembled on PET substrates. • The surface morphology and optical behavior of the film were investigated. • The structural colors varied with various SiO 2 particle sizes and assembly cycles. • Different hue and lightness of SiO 2 /PEI film were observed at various viewing angles. • Structural color of the SiO 2 /PEI film originated from single thin film interference

  14. Highly efficient transparent Zn2SiO4:Mn2+ phosphor film on quartz glass

    International Nuclear Information System (INIS)

    Seo, K.I.; Park, J.H.; Kim, J.S.; Kim, G.C.; Yoo, J.H.

    2009-01-01

    Highly efficient transparent Zn 2 SiO 4 :Mn 2+ film phosphors on quartz substrates were deposited by the thermal diffusion of sputtered ZnO:Mn film. They show a textured structure with some preferred orientations. Our film phosphor shows, for the best photoluminescence (PL) brightness, a green PL brightness of about 20% of a commercial Zn 2 SiO 4 :Mn 2+ powder phosphor screen. The film shows a high transmittance of more than 10% at the red-color region. The excellence in PL brightness and transmittance can be explained in terms of the textured crystal growth with a continuous gradient of Zn 2 SiO 4 : Mn 2+ crystals.

  15. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  16. Characterisation of NdFeB thin films prepared on (100)Si substrates with SiO2 barrier layers

    International Nuclear Information System (INIS)

    Sood, D.K.; Muralidhar, G.K.

    1998-01-01

    This work presents a systematic study of the deposition and characterization of NdFeB films on substrates of Si(100) and of SiO2 layer thermally grown on Si(100) held at RT, 360 deg C or 440 deg C. The post-deposition annealing is performed at 600 or 800 deg C in vacuum. The films are characterised using the analytical techniques of RBS, SIMS, XRD, OM and SEM. Results indicate that SiO2 is, in deed, an excellent diffusion barrier layer till 600 deg C but becomes relatively less effective at 800 deg C. Without this barrier layer, interdiffusion at the Si-NdFeB film interface leads to formation of iron silicides, α-Fe and B exclusion from the diffusion zone, in competition with the formation of the magnetic NdFeB phase. (authors)

  17. Structural Evaluation of 5,5′-Bis(naphth-2-yl)-2,2′-bithiophene in Organic Field-Effect Transistors with n-Octadecyltrichlorosilane Coated SiO2 Gate Dielectric

    DEFF Research Database (Denmark)

    Lauritzen, Andreas E.; Torkkeli, Mika; Bikondoa, Oier

    2018-01-01

    We report on the structure and morphology of 5,5′-bis(naphth-2-yl)-2,2′-bithiophene (NaT2) films in bottom-contact organic field-effect transistors (OFETs) with octadecyltrichlorosilane (OTS) coated SiO2 gate dielectric, characterized by atomic force microscopy (AFM), grazing-incidence X......-ray diffraction (GIXRD), and electrical transport measurements. Three types of devices were investigated with the NaT2 thin-film deposited either on (1) pristine SiO2 (corresponding to higher surface energy, 47 mJ/m2) or on OTS deposited on SiO2 under (2) anhydrous or (3) humid conditions (corresponding to lower...... surface energies, 20–25 mJ/m2). NaT2 films grown on pristine SiO2 form nearly featureless three-dimensional islands. NaT2 films grown on OTS/SiO2 deposited under anhydrous conditions form staggered pyramid islands where the interlayer spacing corresponds to the size of the NaT2 unit cell. At the same time...

  18. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  19. Potentiodynamical deposition of nanostructured MnO2 film at the assist of electrodeposited SiO2 as template

    International Nuclear Information System (INIS)

    Wu, Lian-Kui; Xia, Jie; Hou, Guang-Ya; Cao, Hua-Zhen; Tang, Yi-Ping; Zheng, Guo-Qu

    2016-01-01

    Highlights: • MnO 2 -SiO 2 composite film is prepared by potentiodynamical deposition. • Hierarchical porous MnO 2 films is obtained after the etching of SiO 2 . • The obtained MnO 2 film electrode exhibit high specific capacitance. - Abstract: We report a novel silica co-electrodeposition route to prepare nanostructured MnO 2 films. Firstly, MnO 2 -SiO 2 composite film was fabricated on a stainless steel substrate by potentiodynamical deposition, i.e. cyclic deposition, and then the SiO 2 template was removed by simple immersion in concentrated alkaline solution, leading to the formation of a porous MnO 2 (po-MnO 2 ) matrix. The structure and morphology of the obtained films were characterized using Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The electrochemical properties of the po-MnO 2 film were evaluated by cyclic voltammetry (CV), galvanostatic charge–discharge (GCD) and electrochemical impedance spectroscopy (EIS). Results showed that this porous MnO 2 derived from the MnO 2 -SiO 2 composite film exhibits good electrochemical performance for potential use as a supercapacitor material.

  20. Characterization of anodic SiO2 films on P-type 4H-SiC

    International Nuclear Information System (INIS)

    Woon, W.S.; Hutagalung, S.D.; Cheong, K.Y.

    2009-01-01

    The physical and electronic properties of 100-120-nm thick anodic silicon dioxide film grown on p-type 4H-SiC wafer and annealed at different temperatures (500, 600, 700, and 800 deg. C ) have been investigated and reported. Chemical bonding of the films has been analyzed by Fourier transform infra red spectroscopy. Smooth and defect-free film surface has been revealed under field emission scanning electron microscope. Atomic force microscope has been used to study topography and surface roughness of the films. Electronic properties of the film have been investigated by high frequency capacitance-voltage and current-voltage measurements. As the annealing temperature increased, refractive index, dielectric constant, film density, SiC surface roughness, effective oxide charge, and leakage current density have been reduced until 700 deg. C . An increment of these parameters has been observed after this temperature. However, a reversed trend has been demonstrated in porosity of the film and barrier height between conduction band edge of SiO 2 and SiC

  1. Surface passivation by Al2O3 and a-SiNx: H films deposited on wet-chemically conditioned Si surfaces

    NARCIS (Netherlands)

    Bordihn, S.; Mertens, V.; Engelhart, P.; Kersten, K.; Mandoc, M.M.; Müller, J.W.; Kessels, W.M.M.

    2012-01-01

    The surface passivation of p- and n-type silicon by different chemically grown SiO2 films (prepared by HNO3, H2SO4/H2O2 and HCl/H2O2 treatments) was investigated after PECVD of a-SiNx:H and ALD of Al2O3 capping films. The wet chemically grown SiO2 films were compared to thermally grown SiO2 and the

  2. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  3. Effect of SiO2 passivation overlayers on hillock formation in Al thin films

    International Nuclear Information System (INIS)

    Kim, Deok-kee

    2012-01-01

    Hillock formation in Al thin films with varying thicknesses of SiO 2 as a passivation layer was investigated during thermal cycling. Based on the stress measurements and the number of hillocks, 250 nm thick SiO 2 was thick enough to suppress the hillock formation and the suppression of hillock at 250 nm passivation and the lack of suppression at thinner passivation is related to the presence/absence of protection against the diffusive flow of atoms from the surrounding area to the surface due to the biaxial compressive stresses present in the film through the weak spots in the passivation layer. The stress state of Al films measured during annealing (the driving force for hillock formation) did not vary much with SiO 2 thickness. A small number of hillocks formed during the plasma enhanced chemical vapor deposition of SiO 2 overlayers at 300 °C. - Highlights: ► We examined the effect of SiO 2 overlayers on hillock formation in Al thin films. ► Thin overlayers were not effective in suppressing diffusive flow to the surface. ► A thick overlayer suppressed the diffusive flow from the interior to the surface. ► The stress state of Al films did not vary much with SiO 2 passivation thickness. ► High mechanical strength provided a large driving force for the large grain growth.

  4. Excellent Brightness with Shortening Lifetime of Textured Zn2SiO4:Mn2+ Phosphor Films on Quartz Glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Kim, Seongsin Margaret; Kung, Patrick

    2010-04-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor films were fabricated by the thermal diffusion of ZnO:Mn on quartz glass. The Zn2SiO4:Mn2+ phosphor films became textured along several hexagonal directions and their chemical composition was continuously graded at the interface. The decay time of Mn2+ was as short as 4.4 ms, and the optical transition probability of the films defined as the inverse of decay time showed a strong correlation with film texture degree as a function of annealing temperature. The brightest Zn2SiO4:Mn2+ film showed a photoluminescent brightness as high as 65% compared with a commercial Zn2SiO4:Mn2+ phosphor powder screen and a maximum absolute transparency of 70%. These excellent optical properties are explained by the combination of the unique textured structure and continuous grading of the Zn2SiO4:Mn2+ chemical composition at the interface.

  5. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  6. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  7. Synthesis of TiO2-doped SiO2 composite films and its applications

    Indian Academy of Sciences (India)

    Wintec

    structure of the titanium oxide species in the TiO2-doped SiO2 composite films and the photocatalytic reactiv- ity in order to ... gaku D-max γA diffractometer with graphite mono- chromized ... FT–IR absorption spectra of TiO2-doped SiO2 com-.

  8. Laser conditioning effect on HfO2/SiO2 film

    International Nuclear Information System (INIS)

    Wei Yaowei; Zhang Zhe; Liu Hao; Ouyang Sheng; Zheng Yi; Tang Gengyu; Chen Songlin; Ma Ping

    2013-01-01

    Laser conditioning is one of the important methods to improve the laser damage threshold of film optics. Firstly, a large aperture laser was used to irradiate the HfO 2 /SiO 2 reflectors, which were evaporated from hafnia and silica by e-beam. Secondly, a laser calorimeter was used to test the film absorption before and after laser irradiation. Focused ion beam (FIB) was few reported using on laser film, it was used to study the damage morphology and explore the cause of damage. The shooting of the partial ejection on nodule was obtained for the first time, which provided the basis for study the damage process. The results show that film absorption was decreased obviously after the laser irradiation, laser conditioning can raise the laser damage threshold by the 'cleaning mechanism'. For the HfO 2 /SiO 2 reflectors, laser conditioning was effective to eject the nodules on substrate. It resulted from the nodule residue not to affect the subsequent laser. In addition, laser conditioning was not effective to the nodule in the film, which might be from the material spatter in coating process. In this case, other method could be used to get rid of the nodules. (authors)

  9. Water droplet behavior on superhydrophobic SiO2 nanocomposite films during icing/deicing cycles

    NARCIS (Netherlands)

    Lazauskas, A.; Guobiene, A.; Prosycevas, I.; Baltrusaitis, V.; Grigaliunas, V.; Narmontas, P.; Baltrusaitis, Jonas

    2013-01-01

    This work investigates water droplet behavior on superhydrophobic (water contact angle value of 162 ± 1°) SiO2 nanocomposite films subjected to repetitive icing/deicing treatments, changes in SiO2 nanocomposite film surface morphology and their non-wetting characteristics. During the experiment,

  10. Regulating effect of SiO2 interlayer on optical properties of ZnO thin films

    International Nuclear Information System (INIS)

    Xu, Linhua; Zheng, Gaige; Miao, Juhong; Su, Jing; Zhang, Chengyi; Shen, Hua; Zhao, Lilong

    2013-01-01

    ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. Regulating effect of SiO 2 interlayer with various thicknesses on the optical properties of ZnO/SiO 2 thin films was investigated deeply. The analyses of X-ray diffraction show that the ZnO layers in ZnO/SiO 2 nanocomposite films have a wurtzite structure and are preferentially oriented along the c-axis while the SiO 2 layers are amorphous. The scanning electron microscope images display that the ZnO layers are composed of columnar grains and the thicknesses of ZnO and SiO 2 layers are all very uniform. The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films, which is reflected in the following two aspects: (1) the transmittance of ZnO/SiO 2 nanocomposite films is increased; (2) the photoluminescence (PL) of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays. -- Highlights: ► ZnO/SiO 2 nanocomposite films with periodic structure were prepared by electron beam evaporation technique. ► The SiO 2 interlayer presents a significant modulation effect on the optical properties of ZnO thin films. ► The photoluminescence of ZnO/SiO 2 nanocomposite films is largely enhanced compared with that of pure ZnO thin films. ► The ZnO/SiO 2 nanocomposite films have potential applications in light-emitting devices and flat panel displays

  11. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  12. Magnetotransport properties of c-axis oriented La0.7Sr0.3MnO3 thin films on MgO-buffered SiO2/Si substrates

    International Nuclear Information System (INIS)

    Kang, Young-Min; Ulyanov, Alexander N.; Shin, Geo-Myung; Lee, Sung-Yun; Yoo, Dae-Gil; Yoo, Sang-Im

    2009-01-01

    c-axis oriented La 0.7 Sr 0.3 MnO 3 (LSMO) films on MgO-buffered SiO 2 /Si substrates were prepared, and their texture, microstructure, and magnetotransport properties were studied and compared to epitaxial LSMO/MgO (001) and polycrystalline LSMO/SiO 2 /Si films. c-axis oriented MgO buffer layers were obtained on amorphous SiO 2 layer through rf sputter deposition at low substrate temperature and consequent postannealing processes. In situ pulsed laser deposition-grown LSMO films, deposited on the MgO layer, show strong c-axis texture, but no in-plane texture. The c-axis oriented LSMO films which are magnetically softer than LSMO/SiO 2 /Si films exhibit relatively large low field magnetoresistance (LFMR) and sharper MR drop at lower field. The large LFMR is attributed to a spin-dependent scattering of transport current at the grain boundaries

  13. Super-bright and short-lived photoluminescence of textured Zn2SiO4:Mn2+ phosphor film on quartz glass

    Science.gov (United States)

    Park, Jehong; Park, Kwangwon; Lee, Jaebum; Kim, Jongsu; Seo, Kwangil; Kwon, Kevin; Kung, Patrick; Kim, Seongsin M.

    2010-02-01

    Green-emissive textured Zn2SiO4:Mn2+ phosphor film was fabricated by a thermal diffusion of ZnO:Mn on quartz glass. The characterization has been performed in terms of Mn2+ ions concentration (Mn/Zn=1~9 mol %). As an increase of Mn2+ ions concentration in the Zn2SiO4:Mn2+ phosphor film, the emission peak was red shifted from 519 nm to 526 nm, and the decay time to 10% of the maximum intensity was shorter from 20 ms to 0.5 ms. All annealed Zn2SiO4:Mn2+ phosphor films became textured along some hexagonal directions on the amorphous quartz glass. The brightest Zn2SiO4:Mn2+ film at optimal Mn2+ concentration of 5 % showed the photoluminescence brightness of 65 % and the shortened decay time of 4.4 ms in comparison with a commercially Zn2SiO4: Mn2+ powder phosphor screen. The excellencies can be attributed to a unique textured structure.

  14. Enhanced Visible Transmittance of Thermochromic VO2 Thin Films by SiO2 Passivation Layer and Their Optical Characterization

    Directory of Open Access Journals (Sweden)

    Jung-Hoon Yu

    2016-07-01

    Full Text Available This paper presents the preparation of high-quality vanadium dioxide (VO2 thermochromic thin films with enhanced visible transmittance (Tvis via radio frequency (RF sputtering and plasma enhanced chemical vapor deposition (PECVD. VO2 thin films with high Tvis and excellent optical switching efficiency (Eos were successfully prepared by employing SiO2 as a passivation layer. After SiO2 deposition, the roughness of the films was decreased 2-fold and a denser structure was formed. These morphological changes corresponded to the results of optical characterization including the haze, reflectance and absorption spectra. In spite of SiO2 coating, the phase transition temperature (Tc of the prepared films was not affected. Compared with pristine VO2, the total layer thickness after SiO2 coating was 160 nm, which is an increase of 80 nm. Despite the thickness change, the VO2 thin films showed a higher Tvis value (λ 650 nm, 58% compared with the pristine samples (λ 650 nm, 43%. This enhancement of Tvis while maintaining high Eos is meaningful for VO2-based smart window applications.

  15. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    Science.gov (United States)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  16. A comparative study on omnidirectional anti-reflection SiO2 nanostructure films coating by glancing angle deposition

    Science.gov (United States)

    Prachachet, R.; Samransuksamer, B.; Horprathum, M.; Eiamchai, P.; Limwichean, S.; Chananonnawathorn, C.; Lertvanithphol, T.; Muthitamongkol, P.; Boonruang, S.; Buranasiri, P.

    2018-02-01

    Fabricated omnidirectional anti-reflection nanostructure films as a one of the promising alternative solar cell applications have attracted enormous scientific and industrial research benefits to their broadband, effective over a wide range of incident angles, lithography-free and high-throughput process. Recently, the nanostructure SiO2 film was the most inclusive study on anti-reflection with omnidirectional and broadband characteristics. In this work, the three-dimensional silicon dioxide (SiO2) nanostructured thin film with different morphologies including vertical align, slant, spiral and thin films were fabricated by electron beam evaporation with glancing angle deposition (GLAD) on the glass slide and silicon wafer substrate. The morphological of the prepared samples were characterized by field-emission scanning electron microscope (FE-SEM) and high-resolution transmission electron microscope (HRTEM). The transmission, omnidirectional and birefringence property of the nanostructure SiO2 films were investigated by UV-Vis-NIR spectrophotometer and variable angle spectroscopic ellipsometer (VASE). The spectrophotometer measurement was performed at normal incident angle and a full spectral range of 200 - 2000 nm. The angle dependent transmission measurements were investigated by rotating the specimen, with incidence angle defined relative to the surface normal of the prepared samples. This study demonstrates that the obtained SiO2 nanostructure film coated on glass slide substrate exhibits a higher transmission was 93% at normal incident angle. In addition, transmission measurement in visible wavelength and wide incident angles -80 to 80 were increased in comparison with the SiO2 thin film and glass slide substrate due to the transition in the refractive index profile from air to the nanostructure layer that improve the antireflection characteristics. The results clearly showed the enhanced omnidirectional and broadband characteristic of the three dimensional SiO

  17. Preparation and characterization of layer-by-layer self-assembled polyelectrolyte multilayer films doped with surface-capped SiO2 nanoparticles.

    Science.gov (United States)

    Yang, Guangbin; Ma, Hongxia; Yu, Laigui; Zhang, Pingyu

    2009-05-15

    SiO(2) nanoparticles capped with gamma-aminopropyltrimethoxysilane were doped into polyelectrolyte (poly(allylamine hydrochloride), PAH, and poly(acrylic acid), PAA) multilayer films via spin-assisted layer-by-layer self-assembly. The resulting as-prepared multilayer films were heated at a proper temperature to generate cross-linked composite films with increased adhesion to substrates. The tribological behavior of the multilayer films was evaluated on a microtribometer. It was found that SiO(2)-doped composite films had better wear resistance than pure polyelectrolyte multilayers, possibly because doped SiO(2) nanoparticles were capable of enhancing load-carrying capacity and had "miniature ball bearings" effect. Moreover, heat-treatment had significant effect on the morphology of the composite films. Namely, heat-treated (SiO(2)/PAA)(9) film had a larger roughness than the as-prepared one, due to heat-treatment-induced agglomeration of SiO(2) nanoparticles and initiation of defects. However, heat-treated (PAH/PAA)(3)/(SiO(2)/PAA)(3)(PAH/PAA)(3) film had greatly reduced roughness than the as-prepared one, and it showed considerably improved wear resistance as well. This could be closely related to the "sandwich-like" structure of the composite multilayer film. Namely, the outermost strata of composite multilayer film were able to eliminate defects associated with the middle strata, allowing nanoparticles therein to maintain strength and robustness while keeping soft and fluid-like exposed surface. And the inner strata were well anchored to substrate and acted as an initial "bed" for SiO(2) nanoparticles to be inhabited, resulting in good antiwear ability.

  18. Effects of SiO2 encapsulation and laser processing on single CdTe/ZnTe quantum dots grown on Si (001) substrates

    International Nuclear Information System (INIS)

    Lee, Hong Seok; Rastelli, Armando; Schmidt, Oliver G.; Kim, Tae Whan; Lee, In Won

    2011-01-01

    Micro-photoluminescence (μ-PL) measurements are carried out to investigate the effects of SiO 2 encapsulation and laser processing of single CdTe/ZnTe quantum dots (QDs) grown on Si (001) substrates by using molecular beam epitaxy and atomic layer epitaxy. After laser processing, the μ-PL peak shift for the 200-nm SiO 2 capped single QD is larger than that of the as-grown sample. The large μ-PL peak shift in the 200-nm SiO 2 capped sample is related to the compressive stress induced by the ZnTe cap layer during laser processing. These results indicate that SiO 2 encapsulation and laser processing represent effective methods for achieving local wavelength tuning in single QDs.

  19. Characterization of Chemical Vapor Deposited Tetraethyl Orthosilicate based SiO2 Films for Photonic Devices

    Directory of Open Access Journals (Sweden)

    Jhansirani KOTCHARLAKOTA

    2016-05-01

    Full Text Available Silicon has been the choice for photonics technology because of its cost, compatibility with mass production and availability. Silicon based photonic devices are very significant from commercial point of view and are much compatible with established technology. This paper deals with deposition and characterization of SiO2 films prepared by indigenously developed chemical vapor deposition system. Ellipsometry study of prepared films showed an increase in refractive index and film thickness with the increment in deposition temperature. The deposition temperature has a significant role for stoichiometric SiO2 films, FTIR measurement has shown the three characteristics peaks of Si-O-Si through three samples prepared at temperatures 700, 750 and 800 °C while Si-O-Si stretching peak positions were observed to be shifted to lower wavenumber in accordance to the temperature. FESEM analysis has confirmed the smooth surface without any crack or disorder while EDX analysis showed the corresponding peaks of compositional SiO2 films.DOI: http://dx.doi.org/10.5755/j01.ms.22.1.7245

  20. Positron mobility in thermally grown SiO2 measured by Doppler broadening technique

    International Nuclear Information System (INIS)

    Kong, Y.; Leung, T.C.; Asoka-Kumar, P.; Nielsen, B.; Lynn, K.G.

    1991-01-01

    The positron mobility in thermally grown SiO 2 is deduced from Doppler broadening lineshape data on a metal-oxide-semiconductor sample for positrons implanted into the oxide layer. The fitted mobility is ∼13(10)x10 -3 cm 2 /s V. This value is between that of the electron and hole mobilities in the same system and is two orders of magnitude smaller than the previous estimate from positron measurements

  1. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  2. Effects of substrate temperature on structural and electrical properties of SiO2-matrix boron-doped silicon nanocrystal thin films

    International Nuclear Information System (INIS)

    Huang, Junjun; Zeng, Yuheng; Tan, Ruiqin; Wang, Weiyan; Yang, Ye; Dai, Ning; Song, Weijie

    2013-01-01

    In this work, silicon-rich SiO 2 (SRSO) thin films were deposited at different substrate temperatures (T s ) and then annealed by rapid thermal annealing to form SiO 2 -matrix boron-doped silicon-nanocrystals (Si-NCs). The effects of T s on the micro-structure and electrical properties of the SiO 2 -matrix boron-doped Si-NC thin films were investigated using Raman spectroscopy and Hall measurements. Results showed that the crystalline fraction and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films both increased significantly when the T s was increased from room temperature to 373 K. When the T s was further increased from 373 K to 676 K, the crystalline fraction of 1373 K-annealed thin films decreased from 52.2% to 38.1%, and the dark conductivity reduced from 8 × 10 −3 S/cm to 5.5 × 10 −5 S/cm. The changes in micro-structure and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films were most possibly due to the different amount of Si-O 4 bond in the as-deposited SRSO thin films. Our work indicated that there was an optimal T s , which could significantly increase the crystallization and conductivity of Si-NC thin films. Also, it was illumined that the low-resistivity SiO 2 -matrix boron-doped Si-NC thin films can be achieved under the optimal substrate temperatures, T s .

  3. Effect of interfacial SiO2- y layer and defect in HfO2- x film on flat-band voltage of HfO2- x /SiO2- y stacks for backside-illuminated CMOS image sensors

    Science.gov (United States)

    Na, Heedo; Lee, Jimin; Jeong, Juyoung; Kim, Taeho; Sohn, Hyunchul

    2018-03-01

    In this study, the effect of oxygen gas fraction during deposition of a hafnium oxide (HfO2- x ) film and the influence of the quality of the SiO2- y interlayer on the nature of flat-band voltage ( V fb) in TiN/HfO/SiO2- y /p-Si structures were investigated. X-ray photoemission spectroscopy analysis showed that the non-lattice oxygen peak, indicating an existing oxygen vacancy, increased as the oxygen gas fraction decreased during sputtering. From C- V and J- E analyses, the V fb behavior was significantly affected by the characteristics of the SiO2- y interlayer and the non-lattice oxygen fraction in the HfO2- x films. The HfO2- x /native SiO2- y stack presented a V fb of - 1.01 V for HfO2- x films with an oxygen gas fraction of 5% during sputtering. Additionally, the V fb of the HfO2- x /native SiO2- y stack could be controlled from - 1.01 to - 0.56 V by changing the deposition conditions of the HfO2- x film with the native SiO2- y interlayer. The findings of this study can be useful to fabricate charge-accumulating layers for backside-illuminated image sensor devices.

  4. Electronic and surface properties of pentacene films deposited on SiO{sub 2} prepared by the sol–gel and thermally grown methods

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Chi-Jie [Department of Physics, National Changhua University of Education, Changhua 500, Taiwan (China); Tsao, Hou-Yen [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Lin, Yow-Jon, E-mail: rzr2390@yahoo.com.tw [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Liu, Day-Shan [Graduate Institute of Electro-Optical and Materials Science, National Formosa University, Huwei 632, Taiwan (China)

    2014-02-03

    This study investigates the effect of different types of SiO{sub 2} on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO{sub 2} surfaces than sol–gel SiO{sub 2} surfaces, suggesting that the thermally grown SiO{sub 2} dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO{sub 2} dielectrics is higher than that in pentacene on sol–gel SiO{sub 2} dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO{sub 2} was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films.

  5. Resistive switching of organic–inorganic hybrid devices of conductive polymer and permeable ultra-thin SiO2 films

    Science.gov (United States)

    Yamamoto, Shunsuke; Kitanaka, Takahisa; Miyashita, Tokuji; Mitsuishi, Masaya

    2018-06-01

    We propose a resistive switching device composed of conductive polymer (PEDOT:PSS) and SiO2 ultra-thin films. The SiO2 film was fabricated from silsesquioxane polymer nanosheets as a resistive switching layer. Devices with metal (Ag or Au)∣SiO2∣PEDOT:PSS architecture show good resistive switching performance with set–reset voltages as low as several hundred millivolts. The device properties and the working mechanism were investigated by varying the electrode material, surrounding atmosphere, and SiO2 film thickness. Results show that resistive switching is based on water and ion migration at the PEDOT:PSS∣SiO2 interface.

  6. Reflection Enhancement Using TiO2/SiO2 Bilayer Films Prepared by Cost-Effective Sol-gel Method

    Directory of Open Access Journals (Sweden)

    R. Ajay Kumar

    2017-04-01

    Full Text Available Multilayer dielectric thin film structure has been demanded for its application in optoelectronic devices such as optical waveguides, vertical cavity surface-emitting devices, biosensors etc. In this paper, we present the fabrication and characterization of bilayer thin films of TiO2/SiO2 using sol-gel spin coating method. Ellipsometer measurement showed refractive index values 1.46, 2.1 corresponding to the SiO2 and TiO2 films respectively. The FTIR transmittance peaks observed at ~970 cm-1, ~1100 cm-1 and ~1400 cm-1 are attributed to the Ti-O-Si, Si-O-Si and Ti-O-Ti bonds respectively. Maximum reflectance is observed from two bilayer film structure which can be further optimized to get the high reflection to a broad wavelength range.

  7. Effect of SiO2, PVA and glycerol concentrations on chemical and mechanical properties of alginate-based films.

    Science.gov (United States)

    Yang, Manli; Shi, Jinsheng; Xia, Yanzhi

    2018-02-01

    Sodium alginate (SA)/polyvinyl alcohol (PVA)/SiO 2 nanocomposite films were prepared by in situ polymerization through solution casting and solvent evaporation. The effect of different SA/PVA ratios, SiO 2 , and glycerol content on the mechanical properties, water content, water solubility, and water vapor permeability were studied. The nanocomposite films were characterized by Fourier transform infrared, X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and thermal stability (thermogravimetric analysis/differential thermogravimetry) analyses. The nanocomposites showed the highest values of mechanical properties, such as SA/PVA ratio, SiO 2 , and glycerol content was 7:3, 6wt.%, and 0.25g/g SA, respectively. The tensile strength and elongation at break (E%) of the nanocomposites increased by 525.7% and 90.7%, respectively, compared with those of the pure alginate film. The Fourier transform infrared spectra showed a new SiOC band formed in the SA/PVA/SiO 2 nanocomposite film. The scanning electron microscopy image revealed good adhesion between SiO 2 and SA/PVA matrix. After the incorporation of PVA and SiO 2 , the water resistance of the SA/PVA/SiO 2 nanocomposite film was markedly improved. Transparency decreased with increasing PVA content but was enhanced by adding SiO 2 . Copyright © 2017. Published by Elsevier B.V.

  8. Temperature stability of c-axis oriented LiNbO3/SiO2/Si thin film layered structures

    International Nuclear Information System (INIS)

    Tomar, Monika; Gupta, Vinay; Mansingh, Abhai; Sreenivas, K.

    2001-01-01

    Theoretical calculations have been performed for the temperature stability of the c-axis oriented LiNbO 3 thin film layered structures on passivated silicon (SiO 2 /Si) substrate with and without a non-piezoelectric SiO 2 overlayer. The phase velocity, electromechanical coupling coefficient and temperature coefficient of delay (TCD) have been calculated. The thicknesses of various layers have been determined for optimum SAW performance with zero TCD. The presence of a non-piezoelectric SiO 2 overlayer on LiNbO 3 film is found to significantly enhance the coupling coefficient. The optimized results reveal that a high coupling coefficient of K 2 =3.45% and a zero TCD can be obtained in the SiO 2 /LiNbO 3 /SiO 2 /Si structure with a 0.235λ thick LiNbO 3 layer sandwiched between 0.1λ thick SiO 2 layers. (author)

  9. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  10. Peeling off effects in vertically aligned Fe3C filled carbon nanotubes films grown by pyrolysis of ferrocene

    Science.gov (United States)

    Boi, Filippo S.; Medranda, Daniel; Ivaturi, Sameera; Wang, Jiayu; Guo, Jian; Lan, Mu; Wen, Jiqiu; Wang, Shanling; He, Yi; Mountjoy, Gavin; Willis, Maureen A. C.; Xiang, Gang

    2017-06-01

    We report the observation of an unusual self-peeling effect which allows the synthesis of free standing vertically aligned carbon nanotube films filled with large quantities of Fe3C and small quantities of γ-Fe crystals. We demonstrate that this effect depends on the interplay of three main factors: (1) the physical interactions between the chosen substrate surface and grown carbon nanotubes (CNTs), which is fixed by the composition of the used substrate (111 SiO2/Si or quartz), (2) the CNT-CNT Van der Waals interactions, and (3) the differential thermal contraction between the grown CNT film and the used substrate, which is fixed by the cooling rate differences between the grown film and the used quartz or Si/SiO2 substrates. The width and stability of these films are then further increased to cm-scale by addition of small quantities of toluene to the ferrocene precursor.

  11. Rutile TiO2 thin films grown by reactive high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Agnarsson, B.; Magnus, F.; Tryggvason, T.K.; Ingason, A.S.; Leosson, K.; Olafsson, S.; Gudmundsson, J.T.

    2013-01-01

    Thin TiO 2 films were grown on Si(001) substrates by reactive dc magnetron sputtering (dcMS) and high power impulse magnetron sputtering (HiPIMS) at temperatures ranging from 300 to 700 °C. Optical and structural properties of films were compared both before and after post-annealing using scanning electron microscopy, low angle X-ray reflection (XRR), grazing incidence X-ray diffractometry and spectroscopic ellipsometry. Both dcMS- and HiPIMS-grown films reveal polycrystalline rutile TiO 2 , even prior to post-annealing. The HiPIMS-grown films exhibit significantly larger grains compared to that of dcMC-grown films, approaching 100% of the film thickness for films grown at 700 °C. In addition, the XRR surface roughness of HiPIMS-grown films was significantly lower than that of dcMS-grown films over the whole temperature range 300–700 °C. Dispersion curves could only be obtained for the HiPIMS-grown films, which were shown to have a refractive index in the range of 2.7–2.85 at 500 nm. The results show that thin, rutile TiO 2 films, with high refractive index, can be obtained by HiPIMS at relatively low growth temperatures, without post-annealing. Furthermore, these films are smoother and show better optical characteristics than their dcMS-grown counterparts. - Highlights: • We demonstrate growth of rutile TiO 2 on Si (111) by high power impulse magnetron sputtering. • The films exhibit significantly larger grains than dc magnetron sputtered films • TiO 2 films with high refractive index are obtained without post-growth annealing

  12. A preliminary study on the etching behavior of SiO sub 2 aerogel film with CHF sub 3 gas

    CERN Document Server

    Wang, S J; Yeom, G Y

    1998-01-01

    Etching behavior of SiO sub 2 aerogel film has been investigated in order to examine the feasibility of its application to an interlevel dielectric material. Low dielectric property of SiO sub 2 aerogel film is simply originated from its highly porous structure, but interconnected particles are covered with surface chemical bondings (-OH, -OC sub 2 H sub 5 , etc). Etching experiments have been performed with high density inductively coupled CHF sub 3 plasma. The effects of porous structure and surface chemical bondings on the etching of SiO sub 2 aerogel film have been analyzed. The changes of surface morphology were observed using scanning electron microscopy. X-ray photoelectron spectroscopic analyses revealed compositions and chemical bonding states of reaction layer. From the analyses, 3-dimensional etching was not feasible macroscopically in SiO sub 2 aerogel film even with its porous nature because network structure was maintained through the etching process. Internal surface chemicals seemed to act an ...

  13. High-resolution ellipsometric study of an n-alkane film, dotriacontane, adsorbed on a SiO2 surface

    DEFF Research Database (Denmark)

    Volkmann, U.G.; Pino, M.; Altamirano, L.A.

    2002-01-01

    -crystal substrates. Our results suggest a model of a solid dotriacontane film that has a phase closest to the SiO2 surface in which the long-axis of the molecules is oriented parallel to the interface. Above this "parallel film" phase, a solid monolayer adsorbs in which the molecules are oriented perpendicular...... at higher coverages. In addition, we have performed high-resolution ellipsometry and stray-light measurements on dotriacontane films deposited from solution onto highly oriented pyrolytic graphite substrates. After film deposition, these substrates proved to be less stable in air than SiO2....

  14. Suppression of dewetting phenomena during excimer laser melting of thin metal films on SiO2

    International Nuclear Information System (INIS)

    Kline, J.E.; Leonard, J.P.

    2005-01-01

    Pulsed excimer laser irradiation has been used to fully melt 200 nm films of elemental Au and Ni on SiO 2 substrates. With the use of a capping layer of SiO 2 and line irradiation via projection optics, the typical liquid-phase dewetting processes associated with these metals on SiO 2 has been suppressed. In a series of experiments varying line widths and fluence, a process region is revealed immediately above the complete melting threshold for which the films remain continuous and smooth after melting and resolidification. Simple energetic arguments for mechanisms leading to initiation of dewetting support these observations, and a gas-mediated model is proposed to describe the process conditions that are necessary for the suppression of dewetting

  15. Study of epitaxial lateral overgrowth of semipolar (1 1 − 2 2) GaN by using different SiO2 pattern sizes

    International Nuclear Information System (INIS)

    Song, Ki-Ryong; Lee, Jae-Hwan; Han, Sang-Hyun; Yi, Hye-Rin; Lee, Sung-Nam

    2013-01-01

    Graphical abstract: - Highlights: • We examine comparative studies of semipolar ELO-GaN film. • Semipolar ELO-GaN film was grown by three step growth method. • The achievement of smooth surface morphology of semipolar ELO-GaN. • The crystal and optical properties was significantly improved by ELO process. - Abstract: We investigated the growth mode and the crystal properties of lateral epitaxial overgrowth (LEO) semipolar (1 1 − 2 2) GaN by using the various SiO 2 pattern sizes of 6, 8, 10 and 12 μm with the window width of 4.0 μm. By using three-step growth technique, we successfully obtained the fully-coalescenced semipolar (1 1 − 2 2) LEO-GaN films regardless of the SiO 2 pattern sizes. However, the coalescence thickness of LEO-GaN film was decreased with decreasing SiO 2 pattern size, indicating that the coalescence of semipolar (1 1 − 2 2) GaN was easily formed by decreasing the pattern size of SiO 2 mask. The full width at half maximums (FWHMs) of X-ray rocking curves (XRCs) of LEO-GaN films decreased with increasing SiO 2 pattern size. In the pattern size of 4 × 10 μm, we achieved the minimum XRCs FWHM of 537 and 368 arc s with two different X-ray incident beam directions of [1 1 − 2 − 3] and [1 − 1 0 0], respectively. Moreover, the photoluminescence bandedge emission of semipolar (1 1 − 2 2) GaN was 45 times increased by LEO process. Based on these results, we concluded that the LEO pattern size of 4 × 10 μm would effectively decrease crystal defects of semipolar (1 1 − 2 2) GaN epilayer, resulting in an improvement of the optical properties

  16. The Effects of SiO2 Nanoparticles on Mechanical and Physicochemical Properties of Potato Starch Films

    Directory of Open Access Journals (Sweden)

    Z. Torabi

    2013-06-01

    Full Text Available In this paper effect of SiO2 nanoparticles was investigated on potato starch films. Potato starch films were prepared by casting method with addition of nano-silicon dioxide and a mixture of sorbitol/glycerol (weight ratio of 3 to 1 as plasticizers. SiO2 nanoparticles incorporated to the potato starch films at different concentrations 0, 1, 2, 3, and 5% of total solid, and the films were dried under controlled conditions.  Physicochemical properties such as water absorption capacity (WAC, water vapor permeability (WVP and mechanical properties of the films were measured. Results show that by increasing the concentration of silicon dioxide nanoparticles, mechanical properties of films can be improved. Also incorporation of silicon dioxide nanoparticles in the structure of biopolymer decrease permeability of the gaseous molecules such as water vapor. In summary, addition of silicon dioxide nanoparticles improves functional properties of potato starch films and these bio Nano composites can be used in food packaging.

  17. Photoemission study on electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces

    International Nuclear Information System (INIS)

    Fujimura, Nobuyuki; Ohta, Akio; Ikeda, Mitsuhisa; Makihara, Katsunori; Miyazaki, Seiichi

    2017-01-01

    Electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces have been investigated by X-ray photoelectron spectroscopy (XPS) under monochromatized Al Kα radiation. From the analysis of the cut-off energy for secondary photoelectrons measured at each thinning step of a dielectric layer by wet-chemical etching, an abrupt potential change caused by electrical dipole at SiO_2/Si and HfO_2/SiO_2 interfaces has been clearly detected. Al-gate MOS capacitors with thermally-grown SiO_2 and a HfO_2/SiO_2 dielectric stack were fabricated to evaluate the Al work function from the flat band voltage shift of capacitance-voltage (C-V) characteristics. Comparing the results of XPS and C-V measurements, we have verified that electrical dipole formed at the interface can be directly measured by photoemission measurements. (author)

  18. Atmospheric Plasma Deposition of SiO2 Films for Adhesion Promoting Layers on Titanium

    Directory of Open Access Journals (Sweden)

    Liliana Kotte

    2014-12-01

    Full Text Available This paper evaluates the deposition of silica layers at atmospheric pressure as a pretreatment for the structural bonding of titanium (Ti6Al4V, Ti15V3Cr3Sn3Al in comparison to an anodizing process (NaTESi process. The SiO2 film was deposited using the LARGE plasma source, a linearly extended DC arc plasma source and applying hexamethyldisiloxane (HMDSO as a precursor. The morphology of the surface was analyzed by means of SEM, while the characterization of the chemical composition of deposited plasma layers was done by XPS and FTIR. The long-term durability of bonded samples was evaluated by means of a wedge test in hot/wet condition. The almost stoichiometric SiO2 film features a good long-term stability and a high bonding strength compared to the films produced with the wet-chemical NaTESi process.

  19. New intelligent multifunctional SiO2/VO2 composite films with enhanced infrared light regulation performance, solar modulation capability, and superhydrophobicity.

    Science.gov (United States)

    Wang, Chao; Zhao, Li; Liang, Zihui; Dong, Binghai; Wan, Li; Wang, Shimin

    2017-01-01

    Highly transparent, energy-saving, and superhydrophobic nanostructured SiO 2 /VO 2 composite films have been fabricated using a sol-gel method. These composite films are composed of an underlying infrared (IR)-regulating VO 2 layer and a top protective layer that consists of SiO 2 nanoparticles. Experimental results showed that the composite structure could enhance the IR light regulation performance, solar modulation capability, and hydrophobicity of the pristine VO 2 layer. The transmittance of the composite films in visible region ( T lum ) was higher than 60%, which was sufficient to meet the requirements of glass lighting. Compared with pristine VO 2 films and tungsten-doped VO 2 film, the near IR control capability of the composite films was enhanced by 13.9% and 22.1%, respectively, whereas their solar modulation capability was enhanced by 10.9% and 22.9%, respectively. The water contact angles of the SiO 2 /VO 2 composite films were over 150°, indicating superhydrophobicity. The transparent superhydrophobic surface exhibited a high stability toward illumination as all the films retained their initial superhydrophobicity even after exposure to 365 nm light with an intensity of 160 mW . cm -2 for 10 h. In addition, the films possessed anti-oxidation and anti-acid properties. These characteristics are highly advantageous for intelligent windows or solar cell applications, given that they can provide surfaces with anti-fogging, rainproofing, and self-cleaning effects. Our technique offers a simple and low-cost solution to the development of stable and visible light transparent superhydrophobic surfaces for industrial applications.

  20. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  1. Optical properties of ZrO2, SiO2 and TiO2-SiO2 xerogels and coatings doped with Eu3+ and Eu2+

    Directory of Open Access Journals (Sweden)

    Gonçalves Rogéria R.

    1999-01-01

    Full Text Available Eu3+ doped bulk monoliths and thin films were obtained by sol-gel methods in the ZrO2, SiO2 and SiO2-TiO2 systems. Eu3+ 5D0 ® 7FJ emission and decay time characteristics were measured during the entire experimental preparation route from the initial sol to the final xerogels. The crystalline phases identified were tetragonal ZrO2 and mixtures of rutile and anatase TiO2 at high temperature treatments in bulk samples. Good quality thin films were obtained for all systems by dip-coating optical glasses (Schott BK270. The same spectroscopic features were observed either for the bulk monoliths or the films. By appropriate heat treatments under H2 atmosphere Eu2+ containing samples could be obtained in the SiO2-TiO2 system.

  2. Formation of thin DLC films on SiO2/Si substrate using FCVAD technique

    International Nuclear Information System (INIS)

    Bootkul, D.; Intarasiri, S.; Aramwit, C.; Tippawan, U.; Yu, L.D.

    2013-01-01

    Diamond-like carbon (DLC) films deposited on SiO 2 /Si substrate are attractive for novel sensitive and selective chemical sensors. According to the almost never ending of size reduction, a nm-thickness layer of the film is greatly required. However, formation of such a very thin DLC film on SiO 2 /Si substrate is challenging. In this experiment, DLC films were formed using our in-house Filtered Cathodic Vacuum Arc Deposition (FCVAD) facility by varying the bias voltage of 0 V, −250 V and −450 V with the arc voltage of 350 V, 450 V, 550 V, 650 V and 750 V for 10 min. Raman spectroscopy was applied for characterization of the film qualities and Transmission Electron Microscopy (TEM) was applied for cross sectional analysis. Results showed that films of thickness ranging from 10–50 nm were easily acquired depending on deposition conditions. Deconvolution of Raman spectra of these samples revealed that, when fixing the substrate bias but increasing the arc voltage from 350 to 750 V, the ratio between D-peak and G-peak intensity, namely I D /I G ratio, tended to reduce up to the arc voltage of 450 V, then increased up to the arc voltage of 650 V and finally decreased again. On the other hand, when fixing the arc voltage, the I D /I G ratio tended to decrease continuously as the increasing of bias voltage. It can be concluded that the bonding structure would evolve from a graphitic-like structure to a diamond-like structure as the substrate bias increases. Additionally, the sp 3 site should be maximized at the arc voltage ∼450 V for fixed bias voltage. It is expected that, at −450 V bias and 450 V arc, sp 3 fractions could be higher than 60%. However, in some cases, e.g. at low arc voltages, voids formed between the film and the amorphous SiO 2 substrate. Electron energy loss spectroscopy (EELS) of the C edge across the DLC indicated that the thicker DLC film had uniform chemistry and structure, whereas the thin DLC film showed changes in the edge shape

  3. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  4. PECVD SiO2 dielectric for niobium Josephson IC process

    International Nuclear Information System (INIS)

    Lee, S.Y.; Nandakumar, V.; Murdock, B.; Hebert, D.

    1991-01-01

    PECVD SiO 2 dielectric has been evaluated as an insulator for a Nb-based, all-refractory Josephson integrated circuit process. First, the properties of PECVD SiO 2 films were measured and compared with those of evaporated SiO films. Second, the PECVD SiO 2 dielectric film was used in our Nb-based Josephson integrated circuit process. The main problem was found to be the deterioration of the critical temperature of the superconducting niobium adjacent to the SiO 2 . The cause and a solution of the problem were investigated. Finally, a Josephson integrated sampler circuit was fabricated and tested. This paper shows acceptable junction I-V characteristics and a measured time resolution of a 4.9 ps pulse in liquid helium

  5. Densification of ∼5 nm-thick SiO_2 layers by nitric acid oxidation

    International Nuclear Information System (INIS)

    Choi, Jaeyoung; Joo, Soyeong; Park, Tae Joo; Kim, Woo-Byoung

    2017-01-01

    Highlights: • Leakage current density of the commercial PECVD grown ∼5 nm SiO_2 layer has been decreased about three orders of magnitude by densification. • The densification of SiO_2 layer is achieved by high oxidation ability of O·. • Densities of suboxide, fixed charge (N_f) and defect state (N_d) in SiO_2/Si interface are decreased by NAOS and PMA. • Tunneling barrier height (Φ_t) is increased because of the increase of atomic density in SiO_2 layer. - Abstract: Low-temperature nitric acid (HNO_3) oxidation of Si (NAOS) has been used to improve the interface and electrical properties of ∼5 nm-thick SiO_2/Si layers produced by plasma-enhanced chemical vapor deposition (PECVD). Investigations of the physical properties and electrical characteristics of these thin films revealed that although their thickness is not changed by NAOS, the leakage current density at a gate bias voltage of −1 V decreases by about two orders of magnitude from 1.868 × 10"−"5 A/cm"2. This leakage current density was further reduced by post-metallization annealing (PMA) at 250 °C for 10 min in a 5 vol.% hydrogen atmosphere, eventually reaching a level (5.2 × 10"−"8 A/cm"2) approximately three orders of magnitude less than the as-grown SiO_2 layer. This improvement is attributed to a decrease in the concentration of suboxide species (Si"1"+, Si"2"+ and Si"3"+) in the SiO_2/Si interface, as well as a decrease in the equilibrium density of defect sites (N_d) and fixed charge density (N_f). The barrier height (Φ_t) generated by a Poole-Frenkel mechanism also increased from 0.205 to 0.371 eV after NAOS and PMA. The decrease in leakage current density is therefore attributed to a densification of the SiO_2 layer in combination with the removal of OH species and increase in interfacial properties at the SiO_2/Si interface.

  6. Optical transparency and mechanical properties of semi-refined iota carrageenan film reinforced with SiO2 as food packaging material

    Science.gov (United States)

    Aji, Afifah Iswara; Praseptiangga, Danar; Rochima, Emma; Joni, I. Made; Panatarani, Camellia

    2018-02-01

    Food packaging is important for protecting food from environmental influences such as heat, light, water vapor, oxygen, dirt, dust particles, gas emissions and so on, which leads to decrease the quality of food. The most widely used type of packaging in the food industry is plastic which is made from synthetic polymers and takes hundreds of years to biodegrade. Recently, food packaging with high bio-degradability is being developed using biopolymer combined with nanoparticles as reinforcing agent (filler) to improve its properties. In this study, semi-refined iota carrageenan films were prepared by incorporating SiO2 nanoparticles as filler at different concentrations (0%, 0.5%, 1.0% and 1.5% w/w carrageenan) using solution casting method. The optical transparency and mechanical properties (tensile strength and elongation at break) of the films were analyzed. The results showed that incorporation of SiO2 nanoparticles to carrageenan matrix on optical transparency of the films. For the mechanical properties, the highest tensile strength was found for incorporation of 0.5% SiO2, while the elongation at break of the films improved with increasing SiO2 concentration.

  7. Effects of Mev Si Ions and Thermal Annealing on Thermoelectric and Optical Properties of SiO2/SiO2+Ge Multi-nanolayer thin Films

    Science.gov (United States)

    Budak, S.; Alim, M. A.; Bhattacharjee, S.; Muntele, C.

    Thermoelectric generator devices have been prepared from 200 alternating layers of SiO2/SiO2+Ge superlattice films using DC/RF magnetron sputtering. The 5 MeV Si ionsbombardmenthasbeen performed using the AAMU Pelletron ion beam accelerator to formquantum dots and / or quantum clusters in the multi-layer superlattice thin films to decrease the cross-plane thermal conductivity, increase the cross-plane Seebeck coefficient and increase the cross-plane electrical conductivity to increase the figure of merit, ZT. The fabricated devices have been annealed at the different temperatures to tailor the thermoelectric and optical properties of the superlattice thin film systems. While the temperature increased, the Seebeck coefficient continued to increase and reached the maximum value of -25 μV/K at the fluenceof 5x1013 ions/cm2. The decrease in resistivity has been seen between the fluence of 1x1013 ions/cm2 and 5x1013 ions/cm2. Transport properties like Hall coefficient, density and mobility did not change at all fluences. Impedance spectroscopy has been used to characterize the multi-junction thermoelectric devices. The loci obtained in the C*-plane for these data indicate non-Debye type relaxation displaying the presence of the depression parameter.

  8. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  9. Surface properties of SiO2 with and without H2O2 treatment as gate dielectrics for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of H2O2 treatment on the surface properties of SiO2 is studied. H2O2 treatment leads to the formation of Si(sbnd OH)x at the SiO2 surface that serves to reduce the number of trap states, inducing the shift of the Fermi level toward the conduction band minimum. H2O2 treatment also leads to a noticeable reduction in the value of the SiO2 capacitance per unit area. The effect of SiO2 layers with H2O2 treatment on the behavior of carrier transports for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. Experimental identification confirms that the shift of the threshold voltage towards negative gate-source voltages is due to the reduced number of trap states in SiO2 near the pentacene/SiO2 interface. The existence of a hydrogenated layer between pentacene and SiO2 leads to a change in the pentacene-SiO2 interaction, increasing the value of the carrier mobility.

  10. Synthesis and characterization of Al2O3 and SiO2 films with fluoropolymer content using rf-plasma magnetron sputtering technique

    International Nuclear Information System (INIS)

    Islam, Mohammad; Inal, Osman T.

    2008-01-01

    Pure and molecularly mixed inorganic films for protection against atomic oxygen in lower earth orbit were prepared using radio-frequency (rf) plasma magnetron sputtering technique. Alumina (Al 2 O 3 ) and silica (SiO 2 ) films with average grain size in the range of 30-80 nm and fully dense or dense columnar structure were synthesized under different conditions of pressure and power. Simultaneous oxide sputtering and plasma polymerization (PP) of hexafluoropropylene (HFP) led to the formation of molecularly mixed films with fluoropolymer content. The degree of plasma polymerization was strongly influenced by total chamber pressure and the argon to HFP molar ratio (n Ar /n M ). An order of magnitude increase in pressure due to argon during codeposition changed the plasma-polymerization mechanism from radical-chain- to radical-radical-type processes. Subsequently, a shift from linear CH 2 group based chain polymerization to highly disordered fluoropolymer content with branching and cross-linking was observed. Fourier transform infrared spectroscopy studies revealed chemical interaction between depositing SiO 2 and PP-HFP through appearance of absorption bands characteristic of Si-F stretching and expansion of SiO 2 network. The relative amount and composition of plasma-polymerized fluoropolymer in such films can be controlled by changing argon to HFP flow ratio, total chamber pressure, and applied power. These films offer great potential for use as protective coatings in aerospace applications

  11. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  12. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  13. Target swapping in PLD: An efficient approach for CdS/SiO2 and CdS:Ag(1%)/SiO2 nanocomposite thin films with enhanced luminescent properties

    International Nuclear Information System (INIS)

    Saxena, Nupur; Kumar, Pragati; Gupta, Vinay

    2017-01-01

    A novel synthesis method for luminescent and by-products (like CdO) free CdS/SiO 2 and CdS:Ag(1%)/SiO 2 (i.e. 1%Ag doped CdS/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS, CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency ratio of 2:8 laser pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (i.e. 2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS/ CdS:Ag(1%) nanocrystals in nanocomposite systems after annealing at 500 °C. Highly intense and broad red emission is achieved from CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiencies of emission from pristine CdS:SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites are found to be enhanced by approximately two times as compared to sole nanocrystalline CdS and CdS:Ag(1%) thin films respectively and further enhanced upto 7 times on annealing the nanocomposite systems at 500 °C. - Graphical abstract: A modified synthesis method for luminescent and by-products (like CdO) free undoped &1% Ag doped CdS/SiO 2 (deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 ) nanocomposite thin films at room temperature by pulsed laser deposition is reported. Targets of CdS or CdS:Ag(1%) and SiO 2 are used to deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposite thin films by swapping them at a frequency of 2:8 pulses/sec. X-ray photoelectron spectroscopy analysis ensures the ratio of CdS to SiO 2 in nanocomposite as 21:79 which is nearly same as the ratio of incident pulses/sec (2:8) on the two targets. Transmission electron micrographs visualize the formation of CdS nanocrystals in nanocomposite systems after annealing at 500 °C. Intense and broad red emission is achieved from deposit CdS/SiO 2 and CdS:Ag(1%)/SiO 2 nanocomposites. The efficiency of

  14. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.22.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  15. Lateral protonic/electronic hybrid oxide thin-film transistor gated by SiO2 nanogranular films

    International Nuclear Information System (INIS)

    Zhu, Li Qiang; Chao, Jin Yu; Xiao, Hui

    2014-01-01

    Ionic/electronic interaction offers an additional dimension in the recent advancements of condensed materials. Here, lateral gate control of conductivities of indium-zinc-oxide (IZO) films is reported. An electric-double-layer (EDL) transistor configuration was utilized with a phosphorous-doped SiO 2 nanogranular film to provide a strong lateral electric field. Due to the strong lateral protonic/electronic interfacial coupling effect, the IZO EDL transistor could operate at a low-voltage of 1 V. A resistor-loaded inverter is built, showing a high voltage gain of ∼8 at a low supply voltage of 1 V. The lateral ionic/electronic coupling effects are interesting for bioelectronics and portable electronics

  16. Optical absorption properties of Ag/SiO sub 2 composite films induced by gamma irradiation

    CERN Document Server

    Pan, A L; Yang, Z P; Liu, F X; Ding, Z J; Qian, Y T

    2003-01-01

    Mesoporous SiO sub 2 composite films with small Ag particles or clusters dispersed in them were prepared by a new method: first the matrix SiO sub 2 films were prepared by the sol-gel process combined with the dip-coating technique; then they were soaked in AgNO sub 3 solutions; this was followed by irradiation with gamma-rays at room temperature and ambient pressure. The structure of these films was examined by high-resolution transmission electron microscopy, and their optical absorption spectra were examined. It has been shown that the Ag particles grown within the porous SiO sub 2 films are very small and are highly dispersed. On increasing the soaking concentration and subjecting the samples to an additional annealing, a different peak-shift effect for the surface plasmon resonance was observed in the optical absorption measurement. Possible mechanisms of this behaviour are discussed in this paper.

  17. Morphology of SiO2 films as a key factor in alignment of liquid crystals with negative dielectric anisotropy

    Directory of Open Access Journals (Sweden)

    Volodymyr Tkachenko

    2016-11-01

    Full Text Available Control of liquid crystal (LC orientation using a proper SiO2 alignment layer is essential for the optimization of vertically aligned nematic (VAN displays. With this aim, we studied the optical anisotropy of thin SiO2 films by generalized ellipsometry as a function of deposition angle. The columnar SiO2 structure orientation measured by a noninvasive ellipsometry technique is reported for the first time, and its morphology influence on the LC alignment is demonstrated for large deposition angles.

  18. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    Science.gov (United States)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  19. Positron annihilation in SiO 2-Si studied by a pulsed slow positron beam

    Science.gov (United States)

    Suzuki, R.; Ohdaira, T.; Uedono, A.; Kobayashi, Y.

    2002-06-01

    Positron and positronium (Ps) behavior in SiO 2-Si have been studied by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The PALS study of SiO 2-Si samples, which were prepared by a dry-oxygen thermal process, revealed that the positrons implanted in the Si substrate and diffused back to the interface do not contribute to the ortho-Ps long-lived component, and the lifetime spectrum of the interface has at least two components. From the AMOC study, the momentum distribution of the ortho-Ps pick-off annihilation in SiO 2, which shows broader momentum distribution than that of crystalline Si, was found to be almost the same as that of free positron annihilation in SiO 2. A varied interface model was proposed to interpret the results of the metal-oxide-semiconductor (MOS) experiments. The narrow momentum distribution found in the n-type MOS with a negative gate bias voltage could be attributed to Ps formation and rapid spin exchange in the SiO 2-Si interface. We have developed a two-dimensional positron lifetime technique, which measures annihilation time and pulse height of the scintillation gamma-ray detector for each event. Using this technique, the positronium behavior in a porous SiO 2 film, grown by a sputtering method, has been studied.

  20. Electromigration study of Al thin films deposited on low dielectric polyimide and SiO sub 2 ILD

    CERN Document Server

    Eun, B S

    1999-01-01

    The electromigration characteristics of Al-1 %Si-0.5 %Cu films deposited onto three kinds of polyimides (PI-2734, PI-2611, and BG-2480) and onto SiO sub 2 prepared by low pressure chemical vapor deposition have been investigated. The Al lines deposited onto SiO sub 2 showed about a one-order higher electromigration lifetime than those deposited onto polyimide interlayer dielectrics (ILDs). The electromigration characteristics degraded as the polyimide thickness increased. Joule heat which accumulated at the Al/polyimide interface was the main cause of the decrease in the electromigration reliability because the thermal conductivity of the polyimides was about one order lower than that of SiO sub 2.

  1. Physical and Electrical Properties of SiO2 Layer Synthesized by Eco-Friendly Method

    Science.gov (United States)

    Kim, Jong-Woong; Kim, Young-Seok; Hong, Sung-Jei; Hong, Tae-Hwan; Han, Jeong-In

    2010-05-01

    SiO2 thin film has a wide range of applications, including insulation layers in microelectronic devices, such as semiconductors and flat panel displays, due to its advantageous characteristics. Herein, we developed a new eco-friendly method for manufacturing SiO2 nanoparticles and, thereby, SiO2 paste to be used in the digital printing process for the fabrication of SiO2 film. By excluding harmful Cl- and NO3- elements from the SiO2 nanoparticle synthetic process, we were able to lower the heat treatment temperature for the SiO2 precursor from 600 to 300 °C and the diameter of the final SiO2 nanoparticles to about 14 nm. The synthesized SiO2 nanoparticles were dispersed in an organic solvent with additives to make a SiO2 paste for feasibility testing. The SiO2 paste was printed onto a glass substrate to test the feasibility of using it for digital printing. The insulation resistance of the printed film was high enough for it to be used as an insulation layer for passivation.

  2. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  3. Nucleation of C60 on ultrathin SiO2

    Science.gov (United States)

    Conrad, Brad; Groce, Michelle; Cullen, William; Pimpinelli, Alberto; Williams, Ellen; Einstein, Ted

    2012-02-01

    We utilize scanning tunneling microscopy to characterize the nucleation, growth, and morphology of C60 on ultrathin SiO2 grown at room temperature. C60 thin films are deposited in situ by physical vapor deposition with thicknesses varying from <0.05 to ˜1 ML. Island size and capture zone distributions are examined for a varied flux rate and substrate deposition temperature. The C60 critical nucleus size is observed to change between monomers and dimers non-monotonically from 300 K to 500 K. Results will be discussed in terms of recent capture zone studies and analysis methods. Relation to device fabrication will be discussed. doi:10.1016/j.susc.2011.08.020

  4. Ti-catalyzed HfSiO4 formation in HfTiO4 films on SiO2 studied by Z-contrast scanning electron microscopy

    Directory of Open Access Journals (Sweden)

    Elizabeth Ellen Hoppe

    2013-08-01

    Full Text Available Hafnon (HfSiO4 as it is initially formed in a partially demixed film of hafnium titanate (HfTiO4 on fused SiO2 is studied by atomic number (Z contrast high resolution scanning electron microscopy, x-ray diffraction, and Raman spectroscopy and microscopy. The results show exsoluted Ti is the catalyst for hafnon formation by a two-step reaction. Ti first reacts with SiO2 to produce a glassy Ti-silicate. Ti is then replaced by Hf in the silicate to produce HfSiO4. The results suggest this behavior is prototypical of other Ti-bearing ternary or higher order oxide films on SiO2 when film thermal instability involves Ti exsolution.

  5. The improvement of GaN-based LED grown on concave nano-pattern sapphire substrate with SiO2 blocking layer

    International Nuclear Information System (INIS)

    Lin, Jyun-Hao; Huang, Shyh-Jer; Su, Yan-Kuin; Huang, Kai-Wen

    2015-01-01

    Highlights: • Concave nano-patterned sapphire substrates with SiO 2 blocking layer. • The IQE is almost two times larger than that of conventional one. • The EQE was extremely enhanced more than 100%. - Abstract: In contrast to convex nano-pattern sapphire substrates (NPSS), which are frequently used to fabricate high-quality nitride-based light-emitting diodes (LEDs), concave NPSS have been paid relatively less attention. In this study, a concave NPSS was fabricated, and its nitride epitaxial growth process was evaluated in a step by step manner. A SiO 2 layer was used to avoid nucleation over the sidewall and bottom of the nano-patterns to reduce dislocation reformation. Traditional LED structures were grown on the NPSS layer to determine its influence on device performance. X-ray diffraction, etched pit density, inverse leakage current, and internal quantum efficiency (IQE) results showed that dislocations and non-radiative recombination centers are reduced by the NPSS constructed with a SiO 2 blocking layer. An IQE twice that on a planar substrate was also achieved; such a high IQE significantly enhanced the external quantum efficiency of the resultant device. Taken together, the results demonstrate that the SiO 2 blocking layer proposed in this work can enhance the performance of LEDs.

  6. Coupling between Ge-nanocrystals and defects in SiO2

    International Nuclear Information System (INIS)

    Skov Jensen, J.; Franzo, G.; Leervad Petersen, T.P.; Pereira, R.; Chevallier, J.; Christian Petersen, M.; Bech Nielsen, B.; Nylandsted Larsen, A.

    2006-01-01

    Room temperature photoluminescence (PL) at around 600 nm from magnetron-sputtered SiO 2 films co-doped with Ge is reported. The PL signal is observed in pure SiO 2 , however, its intensity increases significantly in the presence of Ge-nanocrystals (Ge-nc). The PL intensity has been optimized by varying the temperature of heat treatment, type of gas during heat treatment, concentration of Ge in the SiO 2 films, and gas pressure during deposition. Maximum intensity occurs when Ge-nc of around 3.5 nm are present in large concentration in SiO 2 layers deposited at fairly high gas pressure. Based on time resolved PL, and PL measurements after α-particle irradiation or H passivation, we attribute the origin of the PL to a defect in SiO 2 (probably an O deficiency) that is excited through an energy transfer from Ge-nc. There is no direct PL from the Ge-nc; however, there is a strong coupling between excitons created in the Ge-nc and the SiO 2 defect

  7. Damage performance of TiO2/SiO2 thin film components induced by a long-pulsed laser

    International Nuclear Information System (INIS)

    Wang Bin; Dai Gang; Zhang Hongchao; Ni Xiaowu; Shen Zhonghua; Lu Jian

    2011-01-01

    In order to study the long-pulsed laser induced damage performance of optical thin films, damage experiments of TiO 2 /SiO 2 films irradiated by a laser with 1 ms pulse duration and 1064 nm wavelength are performed. In the experiments, the damage threshold of the thin films is measured. The damages are observed to occur in isolated spots, which enlighten the inducement of the defects and impurities originated in the films. The threshold goes down when the laser spot size decreases. But there exists a minimum threshold, which cannot be further reduced by decreasing the laser spot size. Optical microscopy reveals a cone-shaped cavity in the film substrate. Changes of the damaged sizes in film components with laser fluence are also investigated. The results show that the damage efficiency increases with the laser fluence before the shielding effects start to act.

  8. Effect of Na2SiO3 on heavy metal uptake by field grown Basella alba L. in Matara, Sri Lanka

    Directory of Open Access Journals (Sweden)

    Samanthika R. Hettiarachchi

    2016-12-01

    Full Text Available In this study, we investigated heavy metal uptake and the effects of Na2SiO3 on heavy metal absorption by field grown Basella alba L (Basellaceae. The concentrations of Fe, Cr, Pb and Cd in the field soils were 29755.30 ± 292.02, 32.99 ± 0.97, 26.01 ± 1.02, 0.13 ± 0.004 µg/g, respectively. These concentrations are significantly below the maximum permissible limits reported by FAO/WHO. Although Fe, Cr, Pb and Cd were present in the soil, only Fe was absorbed by B. alba; the tissue concentrations of other heavy metals were below the detection limit. The distribution of Fe from soil to different plant parts was investigated by calculating transfer factors. Low transfer factors indicated low absorption and translocation of Fe from soil to plant tissue. We also investigated the effects of Na2SiO3 on metal absorption by applying two different concentrations of Na2SiO3 (Si-100 mg/L and Si-50 mg/L alongside a control. There was a significant reduction of Fe absorption in B. alba treated with Si-100mg/L of Na2SiO3 compared to that of plants treated with Si-50 mg/L of Na2SiO3 and the control.

  9. On red-shift of UV photoluminescence with decreasing size of silicon nanoparticles embedded in SiO2 matrix grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Chaturvedi, Amita; Joshi, M.P.; Rani, Ekta; Ingale, Alka; Srivastava, A.K.; Kukreja, L.M.

    2014-01-01

    Ensembles of silicon nanoparticles (Si-nps) embedded in SiO 2 matrix were grown by alternate ablation of Si and SiO 2 targets using KrF excimer laser based pulsed laser deposition (PLD). The sizes of Si-nps (mean size ranging from 1–5 nm) were controlled by varying the ablation time of silicon target. Transmission electron microscopy (TEM) along with selected area electron diffraction (SAED) and Raman spectroscopy were used to confirm the growth of silicon nanoparticles, its size variation with growth time and the crystalline quality of the grown nanoparticles. TEM analysis showed that mean size and size distribution of Si-nps increased with increase in the ablation time of Si target. Intense peaks ∼521 cm −1 in Raman analysis showed reasonably good crystalline quality of grown Si-nps. We observed asymmetric broadening of phonon line shapes which also redshift with decreasing size of Si-nps. Photoluminescence (PL) from these samples, obtained at room temperature, was broad band and consisted of three bands in UV and visible range. The intensity of PL band in UV spectral range (peak ∼3.2 eV) was strong compared to visible range bands (peaks ∼2.95 eV and ∼2.55 eV). We observed a small red-shift (∼0.07 eV) of peak position of UV range PL with the decrease in the mean sizes of Si-nps, while there was no appreciable size dependent shift of PL peak positions for other bands in the visible range. The width of UV PL band was also found to increase with decrease of Si-nps mean sizes. Based on the above observations of size dependent redshift of UV range PL band together with the PL lifetimes and PL excitation spectroscopy, the origin of UV PL band is attributed to the direct band transition at the Γ point of Si band structure. Visible range bands were ascribed as defect related transitions. The weak intensities of PL bands ∼2.95 eV and ∼2.55 eV suggested that Si nanoparticles grown by PLD were efficiently capped or passivated by SiO 2 with low density of

  10. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    Science.gov (United States)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  11. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  12. Simultaneous ultra-long data retention and low power based on Ge10Sb90/SiO2 multilayer thin films

    Science.gov (United States)

    You, Haipeng; Hu, Yifeng; Zhu, Xiaoqin; Zou, Hua; Song, Sannian; Song, Zhitang

    2018-02-01

    In this article, Ge10Sb90/SiO2 multilayer thin films were prepared to improve thermal stability and data retention for phase change memory. Compared with Ge10Sb90 monolayer thin film, Ge10Sb90 (1 nm)/SiO2 (9 nm) multilayer thin film had higher crystallization temperature and resistance contrast between amorphous and crystalline states. Annealed Ge10Sb90 (1 nm)/SiO2 (9 nm) had uniform grain with the size of 15.71 nm. After annealing, the root-mean-square surface roughness for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film increased slightly from 0.45 to 0.53 nm. The amorphization time for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film (2.29 ns) is shorter than Ge2Sb2Te5 (3.56 ns). The threshold voltage of a cell based on Ge10Sb90 (1 nm)/SiO2 (9 nm) (3.57 V) was smaller than GST (4.18 V). The results indicated that Ge10Sb90/SiO2 was a promising phase change thin film with high thermal ability and low power consumption for phase change memory application.

  13. Influence of substrate on structural, morphological and optical properties of TiO2 thin films deposited by reaction magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Xinghua Zhu

    2017-12-01

    Full Text Available Titanium dioxide (TiO2 films have been prepared by DC reaction magnetron sputtering technique on different substrates (glass, SiO2, platinum electrode-Pt, Silicon-Si. X-ray diffraction (XRD patterns showed that all TiO2 films were grown along the preferred orientation of (110 plane. Samples on Si and Pt substrates are almost monophasic rutile, however, samples on glass and SiO2 substrates accompanied by a weak anatase structure. Atomic force microscopy (AFM images revealed uniform grain distribution except for films on Pt substrates. Photoluminescence (PL spectra showed obvious intrinsic emission band, but films on glass was accompanied by a distinct defect luminescence region. Raman spectroscopy suggested that all samples moved to high wavenumbers and films on glass moved obviously.

  14. QCM gas sensor characterization of ALD-grown very thin TiO2 films

    Science.gov (United States)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Szilágyi, I. M.

    2018-03-01

    The paper presents a technology for preparation and characterization of titanium dioxide (TiO2) thin films suitable for gas sensor applications. Applying atomic layer deposition (ALD), very thin TiO2 films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The TiO2 thin films were grown using Ti(iOPr)4 and water as precursors. The surface of the films was observed by scanning electron microscopy (SEM), coupled with energy dispersive X-ray analysis (EDX) used for a composition study. The research was focused on the gas-sensing properties of the films. Films of 10-nm thickness were deposited on quartz resonators with Au electrodes and the QCMs were used to build highly sensitive gas sensors, which were tested for detecting NO2. Although very thin, these ALD-grown TiO2 films were sensitive to NO2 already at room temperature and could register as low concentrations as 50 ppm, while the sorption was fully reversible, and the sensors could be fully recovered. With the technology presented, the manufacturing of gas sensors is simple, fast and cost-effective, and suitable for energy-effective portable equipment for real-time environmental monitoring of NO2.

  15. Effect of a SiO2 buffer layer on the characteristics of In2O3-ZnO-SnO2 films deposited on PET substrates

    International Nuclear Information System (INIS)

    Woo, B.-J.; Hong, J.-S.; Kim, S.-T.; Kim, H.-M.; Park, S.-H.; Kim, J.-J.; Ahn, J.-S.

    2006-01-01

    Transparent and conducting In 2 O 3 -ZnO-SnO 2 (IZTO) thin films were prepared on flexible PET substrates at room temperature by using an ion-gun-assisted sputtering technique. We mainly investigated the effect of a SiO 2 buffer layer, deposited in-between the film and the PET substrate, on the electrical stability of the film under various external stresses caused by moist-heat or violent temperature variations. The insertion of the SiO 2 layer improves structural, optical and electrical properties of the films: The IZTO/SiO 2 /PET film with a buffer shows a change (∼4 %) in the sheet resistance much smaller than that of the IZTO/PET film without a buffer (∼22 %), against a severe thermal stress of the repeated processes between quenching at -25 .deg. C and annealing at 100 .deg. C for 5 min at each process. Under a moist-heat stress at 90 % relative humidity at 80 .deg. C, the IZTO/SiO 2 /PET film responds with only a slight change (∼8.5 %) in the sheet resistance from 30.2 to 33.0 Ω/□ after being exposed for 240 h. The enhanced stability is understood to be the result of the buffer layers acting as a blocking barrier to water vapor or organic solvents diffusing from the PET substrate during deposition or annealing.

  16. Synchrotron radiation stimulated etching of SiO sub 2 thin films with a Co contact mask for the area-selective deposition of self-assembled monolayer

    CERN Document Server

    Wang, C

    2003-01-01

    The area-selective deposition of a self-assembled monolayer (SAM) was demonstrated on a pattern structure fabricated by synchrotron radiation (SR) stimulated etching of a SiO sub 2 thin film on the Si substrate. The etching was conducted by irradiating the SiO sub 2 thin film with SR through a Co contact mask and using a mixture of SF sub 6 + O sub 2 as the reaction gas. The SR etching stopped completely at the SiO sub 2 /Si interface. After the SR etching, the Si surface and the SiO sub 2 surface beneath the Co mask were evaluated by an atomic force microscope (AFM). A dodecene SAM was deposited on the Si surface, and trichlorosilane-derived SAMs (octadecyltrichlorosilane, and octenyltrichlorosilane) were deposited on the SiO sub 2 surface beneath the Co mask. The structure of the deposited SAMs showed a densely packed and well-ordered molecular architecture, which was characterized by infrared spectroscopy, ellipsometry, and water contact angle (WCA) measurements. (author)

  17. Effect of Ag film thickness on the optical and the electrical properties in CuAlO2/Ag/CuAlO2 multilayer films grown on glass substrates

    International Nuclear Information System (INIS)

    Oh, Dohyun; No, Young Soo; Kim, Su Youn; Cho, Woon Jo; Kwack, Kae Dal; Kim, Tae Whan

    2011-01-01

    Research highlights: The CuAlO 2 /Ag/CuAlO 2 multilayer films were grown on glass substrates using radio-frequency magnetron sputtering at room temperature. Effects of Ag film thickness on the optical and the electrical properties in CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates were investigated. X-ray diffraction patterns showed that the phase of the CuAlO 2 layer was amorphous. Atomic force microscopy images showed that Ag films with a thickness of a few nanometers had island structures. The morphology Ag films with a thickness of 8 nm was uniform. The morphology of the Ag films inserted in the CuAlO 2 films significantly affected the optical transmittance and the resistivity of the CuAlO 2 films deposited on glass substrates. The maximum transmittance of the CuAlO 2 /Ag/CuAlO 2 multilayer films with a thickness of 8 nm was 89.16%. The resistivity of the CuAlO 2 /Ag/CuAlO 2 multilayer films with an Ag film thickness of 18 nm was as small as about 2.8 x 10 -5 Ω cm. The resistivity of the CuAlO 2 /Ag/CuAlO 2 multilayer films was decreased as a result of the thermal annealing treatment. These results indicate that CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates hold promise for potential applications as TCO films in solar cells. - Abstract: Effects of Ag film thickness on the optical and the electrical properties in CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates were investigated. Atomic force microscopy images showed that Ag films with a thickness of a few nanometers had island structures. X-ray diffraction patterns showed that the phase of the CuAlO 2 layer was amorphous. The resistivity of the 40 nm-CuAlO 2 /18 nm-Ag/40 nm-CuAlO 2 multilayer films was 2.8 x 10 -5 Ω cm, and the transmittance of the multilayer films with an Ag film thickness of 8 nm was approximately 89.16%. These results indicate that CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates hold promise for potential applications as

  18. Positron and positronium annihilation in silica-based thin films studied by a pulsed positron beam

    International Nuclear Information System (INIS)

    Suzuki, R.; Ohdaira, T.; Kobayashi, Y.; Ito, K.; Shioya, Y.; Ishimaru, T.

    2003-01-01

    Positron and positronium annihilation in silica-based thin films has been investigated by means of measurement techniques with a monoenergetic pulsed positron beam. The age-momentum correlation study revealed that positron annihilation in thermally grown SiO 2 is basically the same as that in bulk amorphous SiO 2 while o-Ps in the PECVD grown SiCOH film predominantly annihilate with electrons of C and H at the microvoid surfaces. We also discuss time-dependent three-gamma annihilation in porous low-k films by two-dimensional positron annihilation lifetime spectroscopy

  19. Electronic states of SiO2-MxOy (MxOy=P205, TiO2 and ZrO2) glasses

    Energy Technology Data Exchange (ETDEWEB)

    Kowada, Y [Hyogo Univ. of Teacher Education, Hyogo (Japan); Adachi, H [Kyoto Univ. (Japan). Faculty of Engineering; Minami, T [Univ. of Osaka Prefecture, Osaka (Japan). Faculty of Engineering

    1993-12-01

    Using the sol-gel method the surface of metal and glass substrates can be modified. For example, stainless steel sheets coated with the SiO2-ZrO2 glass films have higher resistance to corrosion and oxidation. The coating films contain high concentration of alkali ions diffusing from the glass substrates. It suggests that the sodium ions are trapped strongly within the coating films and are blocked to further diffuse to the surface. This behavior must be associated with the chemical bonding around the sodium ions in the SiO2-TiO2 and SiO2-ZrO2 films. For better understanding of the chemical bonding in the glasses, the electronic states of the SiO2-MxOy glasses were calculated by means of the DV-Xa cluster method. In this paper, the calculation method is explained, the results are discussed and the conclusion is stated. 17 refs., 6 figs.

  20. Simulation, fabrication and characterization of ZnO based thin film transistors grown by radio frequency magnetron sputtering.

    Science.gov (United States)

    Singh, Shaivalini; Chakrabarti, P

    2012-03-01

    We report the performance of the thin film transistors (TFTs) using ZnO as an active channel layer grown by radio frequency (RF) magnetron sputtering technique. The bottom gate type TFT, consists of a conventional thermally grown SiO2 as gate insulator onto p-type Si substrates. The X-ray diffraction patterns reveal that the ZnO films are preferentially orientated in the (002) plane, with the c-axis perpendicular to the substrate. A typical ZnO TFT fabricated by this method exhibits saturation field effect mobility of about 0.6134 cm2/V s, an on to off ratio of 102, an off current of 2.0 x 10(-7) A, and a threshold voltage of 3.1 V at room temperature. Simulation of this TFT is also carried out by using the commercial software modeling tool ATLAS from Silvaco-International. The simulated global characteristics of the device were compared and contrasted with those measured experimentally. The experimental results are in fairly good agreement with those obtained from simulation.

  1. Compositional dependence of absorption coefficient and band-gap for Nb2O5-SiO2 mixture thin films

    International Nuclear Information System (INIS)

    Sancho-Parramon, Jordi; Janicki, Vesna; Zorc, Hrvoje

    2008-01-01

    The absorption coefficient of composite films consisting of niobia (Nb 2 O 5 ) and silica (SiO 2 ) mixtures is studied for photon energies around the band gap. The films were deposited by co-evaporation and their composition was varied by changing the ratio of deposition rates of the two materials. Both, as-deposited and thermally annealed films were characterized by different techniques: the absorption coefficient was determined by spectrophotometric measurements and the structural properties were investigated using infrared spectroscopy, transmission electron microscopy and X-ray diffraction. The correlation between the variations of absorption properties and film composition and structure is established. The absorption coefficients determined experimentally are compared with the results derived from effective medium theories in order to evaluate the suitability of these theories for the studied composites

  2. SiO2 sol-gel films after ammonia and heat two-step treatments

    International Nuclear Information System (INIS)

    Zhang Chunlai; Wang Biyi; Tian Dongbin; Yin Wei; Jiang Xiaodong; Yuan Xiaodong; Yan Lianghong; Zhang Hongliang; Zhao Songnan; Lv Haibing

    2008-01-01

    SiO 2 thin films were deposited using tetraethoxylsilane as precursor, ammonia as catalyst on K9 glass by sol-gel method. These films were post-treated by ammonia and heat. The properties of the coatings were characterized by ellipsometer, UV-vis spectrophotometry, FTIR-spectroscopy, scanning probe microscope and contact angle measurement apparatus. The resuits indicate that the thickness of the films with ammonia and heat treatment tend to decrease. Both the refractive index and water contact angle increase after ammonia treatment. However, they both decrease after heat treatment. The former increases by 0.236 for the first step, then decreases by 0.202 for the second. The latter increases to 58.92 degree, then decreases to 38.07 degree. The transmittance of the coatings turn to be better and continuously shift to short wave by UV-vis spectrophotometry. The surface becomes smoother by AFM after the two-step treatment. (authors)

  3. Energy variable monoenergetic positron beam study of oxygen atoms in Czochralski grown Si

    International Nuclear Information System (INIS)

    Tanigawa, S.; Wei, L.; Tabuki, Y.; Nagai, R.; Takeda, E.

    1992-01-01

    A monoenergetic positron beam has been used to investigate the state of interstitial oxygen in Czochralski-grown Si with the coverage of SiO 2 (100 nm) and poly-Si (200 nm)/SiO 2 (100 nm), respectively. It was found that (i) the growth of SiO 2 gives rise to a strong Doppler broadening of positron annihilation radiations in the bulk of Si, (ii) such a broadening can be recovered to the original level by annealing at 450degC, by the removal of overlayers using chemical etching and long-term aging at room temperature, (iii) the film stress over the CZ-grown Si is responsible for the rearrangement of oxygen atoms in S and (iv) only tensile stress gives rise to the clustering of oxygen atoms. The observed broadening was assigned to arise from the positron trapping by oxygen interstitial clusters. It was concluded that film stress is responsible for the rearrangement of oxygen atoms in CZ-grown Si. (author)

  4. Annealing temperature effect on structure and electrical properties of films formed of Ge nanoparticles in SiO2

    International Nuclear Information System (INIS)

    Stavarache, Ionel; Lepadatu, Ana-Maria; Stoica, Toma; Ciurea, Magdalena Lidia

    2013-01-01

    Ge–SiO 2 films with high Ge/Si atomic ratio of about 1.86 were obtained by co-sputtering of Ge and SiO 2 targets and subsequently annealed at different temperatures between 600 and 1000 °C in a conventional furnace in order to show how the annealing process influences the film morphology concerning the Ge nanocrystal and/or amorphous nanoparticle formation and to study their electrical behaviour. Atomic force microscopy (AFM) imaging, Raman spectroscopy and electrical conductance measurements were performed in order to find out the annealing effect on the film surface morphology, as well as the Ge nanoparticle formation in correlation with the hopping conductivity of the films. AFM images show that the films annealed at 600 and 700 °C present a granular surface with particle height of about 15 nm, while those annealed at higher temperatures have smoother surface. The Raman investigations evidence Ge nanocrystals (including small ones) coexisting with amorphous Ge in the films annealed at 600 °C and show that almost all Ge is crystallized in the films annealed at 700 °C. The annealing at 800 °C disadvantages the Ge nanocrystal formation due to the strong Ge diffusion. This transition in Ge nanocrystals formation process by annealing temperature increase from 700 to 800 °C revealed by AFM and Raman spectroscopy measurements corresponds to a change in the electrical transport mechanism. Thus, in the 700 °C annealed films, the current depends on temperature according to a T −1/2 law which is typical for a tunnelling mechanism between neighbour Ge nanocrystals. In the 800 °C annealed films, the current–temperature characteristic has a T −1/4 dependence showing a hopping mechanism within an electronic band of localized states related to diffused Ge in SiO 2 .

  5. Manufacturing and investigation of surface morphology and optical properties of composite thin films reinforced by TiO2, Bi2O3 and SiO2 nanoparticles

    Science.gov (United States)

    Jarka, Paweł; Tański, Tomasz; Matysiak, Wiktor; Krzemiński, Łukasz; Hajduk, Barbara; Bilewicz, Marcin

    2017-12-01

    The aim of submitted paper is to present influence of manufacturing parameters on optical properties and surface morphology of composite materials with a polymer matrix reinforced by TiO2 and SiO2 and Bi2O3 nanoparticles. The novelty proposed by the authors is the use of TiO2 and SiO2 and Bi2O3 nanoparticles simultaneously in polymeric matrix. This allows using the combined effect of nanoparticles to a result composite material. The thin films of composite material were prepared by using spin-coating method with various spinning rates from solutions of different concentration of nanoparticles. In order to prepare the spinning solution polymer, Poly(methyl methacrylate) (PMMA) was used as a matrix. The reinforcing phase was the mixture of the nanoparticles of SiO2, TiO2 and B2O3. In order to identify the surface morphology of using thin films and arrangement of the reinforcing phase Atomic Force Microscope (AFM) and Scanning Electron Microscope (SEM) were used. In order to study the optical properties of the obtained thin films, the thin films of composites was subjected to an ellipsometry analysis. The measurements of absorbance of the obtained materials, from which the value of the band gap width was specified, were carried out using the UV/VIS spectroscopy. The optical properties of obtain composite thin films depend not only on the individual components used, but also on the morphology and the interfacial characteristics. Controlling the participation of three kinds of nanoparticles of different sizes and optical parameters allows to obtaining the most optimal optical properties of nanocomposites and also controlling the deposition parameters allows to obtaining the most optimal surface morphology of nanocomposites.

  6. ZnO nanowire co-growth on SiO2 and C by carbothermal reduction and vapour advection

    International Nuclear Information System (INIS)

    Vega, N C; Caram, J; Grinblat, G; Comedi, D; Wallar, R; LaPierre, R R; Tirado, M

    2012-01-01

    Vertically aligned ZnO nanowires (NWs) were grown on Au-nanocluster-seeded amorphous SiO 2 films by the advective transport and deposition of Zn vapours obtained from the carbothermal reaction of graphite and ZnO powders. Both the NW volume and visible-to-UV photoluminescence ratio were found to be strong functions of, and hence could be tailored by, the (ZnO+C) source–SiO 2 substrate distance. We observe C flakes on the ZnO NWs/SiO 2 substrates which exhibit short NWs that developed on both sides. The SiO 2 and C substrates/NW interfaces were studied in detail to determine growth mechanisms. NWs on Au-seeded SiO 2 were promoted by a rough ZnO seed layer whose formation was catalysed by the Au clusters. In contrast, NWs grew without any seed on C. A correlation comprising three orders of magnitude between the visible-to-UV photoluminescence intensity ratio and the NW volume is found, which results from a characteristic Zn partial pressure profile that fixes both O deficiency defect concentration and growth rate. (paper)

  7. Photoluminescence and excited state structure in Bi3+-doped Y2SiO5 single crystalline films

    International Nuclear Information System (INIS)

    Babin, V.; Gorbenko, V.; Krasnikov, A.; Mihokova, E.; Nikl, M.; Zazubovich, S.; Zorenko, Yu.

    2013-01-01

    Single crystalline films of Bi-doped Y 2 SiO 5 are studied at 4.2–350 K by the time-resolved luminescence methods under excitation in the 3.8–6.2 eV energy range. Ultraviolet luminescence of Y 2 SiO 5 :Bi (≈3.6 eV) is shown to arise from the radiative decay of the metastable and radiative minima of the triplet relaxed excited state (RES) of Bi 3+ centers which are related to the 3 P 0 and 3 P 1 levels of a free Bi 3+ ion, respectively. The lowest-energy excitation band of this emission, located at ≈4.5 eV, is assigned to the 1 S 0 → 3 P 1 transitions of a free Bi 3+ ion. The phenomenological model is proposed to describe the excited-state dynamics of Bi 3+ centers in Y 2 SiO 5 :Bi, and parameters of the triplet RES are determined. -- Highlights: •Luminescence of Y 2 SiO 5 :Bi is investigated for the first time. •Ultraviolet emission arises from Bi 3+ ions located in Y lattice sites. •The triplet relaxed excited states parameters of Bi 3+ centers are determined

  8. The structural studies of aluminosilicate gels and thin films synthesized by the sol-gel method using different Al2O3 and SiO2 precursors

    Directory of Open Access Journals (Sweden)

    Adamczyk Anna

    2015-12-01

    Full Text Available Aluminosilicate materials were obtained by sol-gel method, using different Al2O3 and SiO2 precursors in order to prepare sols based on water and organic solvents. As SiO2 precursors, Aerosil 200TM and tetraethoxysilane TEOS: Si(OC2H54 were applied, while DisperalTM and aluminium secondary butoxide ATSB: Al(OC4H93 were used for Al2O3 ones. Bulk samples were obtained by heating gels at 500 °C, 850 °C and at 1150 °C in air, while thin films were synthesized on carbon, steel and alundum (representing porous ceramics substrates by the dip coating method. Thin films were annealed in air (steel and alundum and in argon (carbon at different temperatures, depending on the substrate type. The samples were synthesized as gels and coatings of the composition corresponding the that of 3Al2O3·2SiO2 mullite because of the specific valuable properties of this material. The structure of the annealed bulk samples and coatings was studied by FT-IR spectroscopy and XRD method (in standard and GID configurations. Additionally, the electron microscopy (SEM together with EDS microanalysis were applied to describe the morphology and the chemical composition of thin films. The analysis of FT-IR spectra and X-ray diffraction patterns of bulk samples revealed the presence of γ-Al2O3 and δ-Al2O3 phases, together with the small amount of SiO2 in the particulate samples. This observation was confirmed by the bands due to vibrations of Al–O bonds occurring in γ-Al2O3 and δ-Al2O3 structures, in the range of 400 to 900 cm−1. The same phases (γ-Al2O3 and δ-Al2O were observed in the deposited coatings, but the presence of particulate ones strongly depended on the type of Al2O3 and SiO2 precursor and on the heat treatment temperature. All thin films contained considerable amounts of amorphous phase.

  9. Analysis of multiferroic properties in BiMnO3 thin films

    International Nuclear Information System (INIS)

    Grizalez, M; Mendoza, G A; Prieto, P

    2009-01-01

    Textured BiMnO 3 [111] thin films on SrTiO 3 (100) and Pt/TiO 2 /SiO 2 substrates were grown via r.f. magnetron sputtering (13.56 MHz). The XRD spectra confirmed a monoclinic structure and high-quality textured films for the BiMnO 3 films. The films grown on SrTiO 3 (100) showed higher crystalline quality than those developed on Pt/TiO 2 /SiO 2 . Through optimized oxygen pressure of 5x10 -2 mbar during the r.f. sputtering deposition, the crystalline orientation of the BiMnO 3 film was improved with respect to the previously reported value of 2x10 -1 mbar. The values of spontaneous polarization (P s ), remnant polarization (P r ), and coercive field (F c ) from ferroelectric hysteresis loops (P-E) at different temperatures were also obtained. Samples with higher crystalline order revealed better dielectric properties (high P s and P r values and a low F c ). For films on both types of substrates, the ferroelectric behavior was found to persist up to 400K. Measurements at higher temperatures were difficult to obtain given the increased conductivity of the films. Magnetic hysteresis loops from 5K to 120K were obtained for BiMnO 3 films grown on SrTiO 3 and Pt/TiO 2 /SiO 2 substrates. The results suggested that the coexistence of the magnetic and electric phases persists up to 120K.

  10. Effects of (NH4)2S x treatment on the surface properties of SiO2 as a gate dielectric for pentacene thin-film transistor applications

    Science.gov (United States)

    Hung, Cheng-Chun; Lin, Yow-Jon

    2018-01-01

    The effect of (NH4)2S x treatment on the surface properties of SiO2 is studied. (NH4)2S x treatment leads to the formation of S-Si bonds on the SiO2 surface that serves to reduce the number of donor-like trap states, inducing the shift of the Fermi level toward the conduction band minimum. A finding in this case is the noticeably reduced value of the SiO2 capacitance as the sulfurated layer is formed at the SiO2 surface. The effect of SiO2 layers with (NH4)2S x treatment on the carrier transport behaviors for the pentacene/SiO2-based organic thin-film transistor (OTFT) is also studied. The pentacene/as-cleaned SiO2-based OTFT shows depletion-mode behavior, whereas the pentacene/(NH4)2S x -treated SiO2-based OTFT exhibits enhancement-mode behavior. Experimental identification confirms that the depletion-/enhancement-mode conversion is due to the dominance competition between donor-like trap states in SiO2 near the pentacene/SiO2 interface and acceptor-like trap states in the pentacene channel. A sulfurated layer between pentacene and SiO2 is expected to give significant contributions to carrier transport for pentacene/SiO2-based OTFTs.

  11. Composite SiOx/hydrocarbon plasma polymer films prepared by RF magnetron sputtering of SiO2 and polyimide

    Czech Academy of Sciences Publication Activity Database

    Drabik, M.; Kousal, J.; Pinosh, Y.; Choukourov, A.; Biederman, H.; Slavínská, D.; Macková, Anna; Boldyryeva, Hanna; Pešička, J.

    2007-01-01

    Roč. 81, č. 7 (2007), s. 920-927 ISSN 0042-207X Institutional research plan: CEZ:AV0Z10480505 Keywords : composite films * magnetron * sputtering * polyimide * SiO2 Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.881, year: 2007

  12. Influence of plasma-generated negative oxygen ion impingement on magnetron sputtered amorphous SiO2 thin films during growth at low temperatures

    International Nuclear Information System (INIS)

    Macias-Montero, M.; Garcia-Garcia, F. J.; Alvarez, R.; Gil-Rostra, J.; Gonzalez, J. C.; Gonzalez-Elipe, A. R.; Palmero, A.; Cotrino, J.

    2012-01-01

    Growth of amorphous SiO 2 thin films deposited by reactive magnetron sputtering at low temperatures has been studied under different oxygen partial pressure conditions. Film microstructures varied from coalescent vertical column-like to homogeneous compact microstructures, possessing all similar refractive indexes. A discussion on the process responsible for the different microstructures is carried out focusing on the influence of (i) the surface shadowing mechanism, (ii) the positive ion impingement on the film, and (iii) the negative ion impingement. We conclude that only the trend followed by the latter and, in particular, the impingement of O - ions with kinetic energies between 20 and 200 eV, agrees with the resulting microstructural changes. Overall, it is also demonstrated that there are two main microstructuring regimes in the growth of amorphous SiO 2 thin films by magnetron sputtering at low temperatures, controlled by the amount of O 2 in the deposition reactor, which stem from the competition between surface shadowing and ion-induced adatom surface mobility.

  13. Improvement of thermoelectric properties of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films grown on graphene substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Choi, Ji Woon; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kim, Jin-Sang [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-06-15

    A study of substrate effect on the thermoelectric (TE) properties of Bi{sub 2}Te{sub 3} (BT) and Sb{sub 2}Te{sub 3} (ST) thin films grown by plasma-enhanced chemical vapor deposition (PECVD) was performed. Graphene substrates which have small lattice mismatch with BT and ST were used for the preparation of highly oriented BT and ST thin films. Carrier mobility of the epitaxial BT and ST films grown on the graphene substrates increased as the deposition temperature increased, which was not observed in that of SiO{sub 2}/Si substrates. Seebeck coefficients of the as-grown BT and ST films were observed to be maintained even though carrier concentration increased in the epitaxial BT and ST films on graphene substrate. Although Seebeck coefficient was not improved, power factor of the as-grown BT and ST films was considerably enhanced due to the increase of electrical conductivity resulting from the high carrier mobility and moderate carrier concentration in the epitaxial BT and ST films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Study of sputtered ZnO thin films on SiO2 and GaP substrates

    International Nuclear Information System (INIS)

    Brath, T.; Buc, D.; Kovac, J.; Hrnciar, V.; Caplovic, L.

    2011-01-01

    We have investigated n-ZnO polycrystalline thin films prepared on SiO 2 and p-GaP substrate using magnetron sputtering technique. The structural and electrical properties of these structures were studied. The measured parameters give promising results with a possibility to utilize n-ZnO/p-GaP heterostructure for application in the solar cells development especially in the field of nanostructures. The prepared structures will be a subject of further research. (authors)

  15. Surface morphology of amorphous germanium thin films following thermal outgassing of SiO2/Si substrates

    International Nuclear Information System (INIS)

    Valladares, L. de los Santos; Dominguez, A. Bustamante; Llandro, J.; Holmes, S.; Quispe, O. Avalos; Langford, R.; Aguiar, J. Albino; Barnes, C.H.W.

    2014-01-01

    Highlights: • Annealing promotes outgassing of SiO 2 /Si wafers. • Outgassing species embed in the a-Ge film forming bubbles. • The density of bubbles obtained by slow annealing is smaller than by rapid annealing. • The bubbles explode after annealing the samples at 800 °C. • Surface migration at higher temperatures forms polycrystalline GeO 2 islands. - Abstract: In this work we report the surface morphology of amorphous germanium (a-Ge) thin films (140 nm thickness) following thermal outgassing of SiO 2 /Si substrates. The thermal outgassing was performed by annealing the samples in air at different temperatures from 400 to 900 °C. Annealing at 400 °C in slow (2 °C/min) and fast (10 °C/min) modes promotes the formation of bubbles on the surface. A cross sectional view by transmission electron microscope taken of the sample slow annealed at 400 °C reveals traces of gas species embedded in the a-Ge film, allowing us to propose a possible mechanism for the formation of the bubbles. The calculated internal pressure and number of gas molecules for this sample are 30 MPa and 38 × 10 8 , respectively. Over an area of 22 × 10 −3 cm 2 the density of bubbles obtained at slow annealing (9 × 10 3 cm −2 ) is smaller than that at rapid annealing (6.4 × 10 4 cm −2 ), indicating that the amount of liberated gas in both cases is only a fraction of the total gas contained in the substrate. After increasing the annealing temperature in the slow mode, bubbles of different diameters (from tens of nanometers up to tens of micrometers) randomly distribute over the Ge film and they grow with temperature. Vertical diffusion of the outgas species through the film dominates the annealing temperature interval 400–600 °C, whereas coalescence of bubbles caused by lateral diffusion is detected after annealing at 700 °C. The bubbles explode after annealing the samples at 800 °C. Annealing at higher temperatures, such as 900 °C, leads to surface migration of the

  16. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  17. Atomic force microscopy measurements of topography and friction on dotriacontane films adsorbed on a SiO2 surface

    DEFF Research Database (Denmark)

    Trogisch, S.; Simpson, M.J.; Taub, H.

    2005-01-01

    We report comprehensive atomic force microscopy (AFM) measurements at room temperature of the nanoscale topography and lateral friction on the surface of thin solid films of an intermediate-length normal alkane, dotriacontane (n-C32H66), adsorbed onto a SiO2 surface. Our topographic and frictional...

  18. Amorphous SiO {sub x} nanowires grown on silicon (100) substrates via rapid thermal process of nanodiamond films

    Energy Technology Data Exchange (ETDEWEB)

    Liang Xingbo [State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Wang Lei [State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Yang Deren [State Key Lab of Silicon Materials, Zhejiang University, Hangzhou 310027 (China)]. E-mail: mseyang@zju.edu.cn

    2006-05-01

    Rapid thermal process (RTP) has been carried out on the deposited nanocrystalline diamond (NCD) films. The RTP treatments performed at 800 and 1200 deg. C have been shown to exert prominent influence on the morphology and structure of the NCD films. The loss of material at grain boundaries has been observed at both 800 and 1200 deg. C RTP treatments. Large-scale amorphous SiO {sub x} nanowires with diameters of 30-50 nm and length up to 10 {mu}m were synthesized after RTP treatment at 1200 deg. C for 60 s. The synthesized nanowires were characterized in detail by scanning electron microscopy, transmission electron microscopy, selected area electron diffraction and energy-dispersed X-ray spectrometry analysis. A possible growth mechanism has been proposed to explain the observed phenomenon.

  19. Noise measurements on NbN thin films with a negative temperature resistance coefficient deposited on sapphire and on SiO2

    NARCIS (Netherlands)

    Leroy, G.; Gest, J.; Vandamme, L.K.J.; Bourgeois, O.

    2007-01-01

    We characterize granular NbNx thin cermet films deposited on either sapphire substrate or on SiO2 and compare the 1/f noise at 300 K and 80 K. The films were characterized with an impedance analyzer from 20 Hz to 1 MHz and analyzed as a resistor R in parallel with a capacitor C. The calculated noise

  20. The application of Cu/SiO2 catalytic system in chemical mechanical planarization based on the stability of SiO2 sol

    International Nuclear Information System (INIS)

    Li Yan; Liu Yuling; Wang Aochen; Yang Zhixin; Sun Mingbin; Cheng Chuan; Zhang Yufeng; Zhang Nannan

    2014-01-01

    There is a lot of hydroxyl on the surface of nano SiO 2 sol used as an abrasive in the chemical mechanical planarization (CMP) process, and the chemical reaction activity of the hydroxyl is very strong due to the nano effect. In addition to providing a mechanical polishing effect, SiO 2 sol is also directly involved in the chemical reaction. The stability of SiO 2 sol was characterized through particle size distribution, zeta potential, viscosity, surface charge and other parameters in order to ensure that the chemical reaction rate in the CMP process, and the surface state of the copper film after CMP was not affected by the SiO 2 sol. Polarization curves and corrosion potential of different concentrations of SiO 2 sol showed that trace SiO 2 sol can effectively weaken the passivation film thickness. In other words, SiO 2 sol accelerated the decomposition rate of passive film. It was confirmed that the SiO 2 sol as reactant had been involved in the CMP process of copper film as reactant by the effect of trace SiO 2 sol on the removal rate of copper film in the CMP process under different conditions. In the CMP process, a small amount of SiO 2 sol can drastically alter the chemical reaction rate of the copper film, therefore, the possibility that Cu/SiO 2 as a catalytic system catalytically accelerated the chemical reaction in the CMP process was proposed. According to the van't Hoff isotherm formula and the characteristics of a catalyst which only changes the chemical reaction rate with out changing the total reaction standard Gibbs free energy, factors affecting the Cu/SiO 2 catalytic reaction were derived from the decomposition rate of Cu (OH) 2 and the pH value of the system, and then it was concluded that the CuSiO 3 as intermediates of Cu/SiO 2 catalytic reaction accelerated the chemical reaction rate in the CMP process. It was confirmed that the Cu/SiO 2 catalytic system generated the intermediate of the catalytic reaction (CuSiO 3 ) in the CMP process

  1. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  2. Incorporation of sol-gel SnO2:Sb into nanoporous SiO2

    International Nuclear Information System (INIS)

    Canut, B.; Blanchin, M.G.; Ramos-Canut, S.; Teodorescu, V.; Toulemonde, M.

    2006-01-01

    Silicon oxide films thermally grown on Si(1 0 0) wafers were irradiated with 200 MeV 197 Au ions in the 10 9 -10 1 cm -2 fluence range. The targets were then etched at room temperature in aqueous HF solution (1 vol.%) for various durations. Atomic force microscopy (AFM) in the tapping mode was used to probe the processed surfaces. Conical holes with a low size dispersion were evidenced. Their surface diameter varies between 20 and 70 nm, depending on the etching time. Sol-gel dip coating technique, associated with a further annealing treatment performed at 500 o C for 15 min, was used to fill the nanopores created in SiO 2 with a transparent conductive oxide (SnO 2 doped with antimony). Transmission electron microscopy (TEM) performed on cross-sectional specimen showed that SnO 2 :Sb crystallites of ∼5 nm mean size are trapped in the holes without degrading their geometry

  3. Density, thickness and composition measurements of TiO2 -SiO2 thin films by coupling X-ray reflectometry, ellipsometry and electron probe microanalysis-X

    International Nuclear Information System (INIS)

    Hodroj, A.; Roussel, H.; Crisci, A.; Robaut, F.; Gottlieb, U.; Deschanvres, J.L.

    2006-01-01

    Mixed TiO 2 -SiO 2 thin films were deposited by aerosol atmospheric CVD method by using di-acetoxi di-butoxi silane (DADBS) and Ti tetra-butoxide as precursors. By varying the deposition temperatures between 470 and 600 deg. C and the ratios between the Si and Ti precursors (Si/Ti) from 2 up to 16, films with different compositions and thicknesses were deposited. The coupled analysis of the results of different characterisation methods was used in order to determine the variation of the composition, the thickness and the density of the films. First EPMA measurements were performed at different acceleration voltages with a Cameca SX50 system. By analysing, with specific software, the evolution of the intensity ratio I x /I std versus the voltage, the composition and the mass thickness (product of density by the thickness) were determined. In order to measure independently the density, X-ray reflectometry experiments were performed. By analysing the value of the critical angle and the Kiessig fringes, the density and the thickness of the layers were determined. The refractive index and the thickness of the films were also measured by ellipsometry. By assuming a linear interpolation between the index value of the pure SiO 2 and TiO 2 films, the film composition was deduced from the refractive index value. XPS measurements were also performed in order to obtain an independent value of the composition. A good agreement between the ways to measure the density is obtained

  4. Thermal stability of amorphous carbon films grown by pulsed laser deposition

    Science.gov (United States)

    Friedmann, T. A.; McCarty, K. F.; Barbour, J. C.; Siegal, M. P.; Dibble, Dean C.

    1996-03-01

    The thermal stability in vacuum of amorphous tetrahedrally coordinated carbon (a-tC) films grown on Si has been assessed by in situ Raman spectroscopy. Films were grown in vacuum on room-temperature substrates using laser fluences of 12, 22, and 45 J/cm2 and in a background gas of either hydrogen or nitrogen using a laser fluence of 45 J/cm2. The films grown in vacuum at high fluence (≳20J/cm2) show little change in the a-tC Raman spectra with temperature up to 800 °C. Above this temperature the films convert to glassy carbon (nanocrystalline graphite). Samples grown in vacuum at lower fluence or in a background gas (H2 or N2) at high fluence are not nearly as stable. For all samples, the Raman signal from the Si substrate (observed through the a-tC film) decreases in intensity with annealing temperature indicating that the transparency of the a-tC films is decreasing with temperature. These changes in transparency begin at much lower temperatures (˜200 °C) than the changes in the a-tC Raman band shape and indicate that subtle changes are occurring in the a-tC films at lower temperatures.

  5. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  6. Chemical and structural properties of polymorphous silicon thin films grown from dichlorosilane

    Energy Technology Data Exchange (ETDEWEB)

    Álvarez-Macías, C.; Monroy, B.M.; Huerta, L.; Canseco-Martínez, M.A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico); Picquart, M. [Departamento de Física, Universidad Autónoma Metropolitana, Iztapalapa, A.P. 55-534, 09340 México, D.F. (Mexico); Santoyo-Salazar, J. [Departamento de Física, CINVESTAV-IPN, A.P. 14-740, C.P. 07000 México, D.F. (Mexico); Sánchez, M.F. García [Unidad Profesional Interdisciplinaria en Ingeniería y Tecnologías Avanzadas, Instituto Politécnico Nacional, Av. I.P.N. 2580, Gustavo A. Madero, 07340 México .D.F. (Mexico); Santana, G., E-mail: gsantana@iim.unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico)

    2013-11-15

    We have examined the effects of hydrogen dilution (R{sub H}) and deposition pressure on the morphological, structural and chemical properties of polymorphous silicon thin films (pm-Si:H), using dichlorosilane as silicon precursor in the plasma enhanced chemical vapor deposition (PECVD) process. The use of silicon chlorinated precursors enhances the crystallization process in as grown pm-Si:H samples, obtaining crystalline fractions from Raman spectra in the range of 65–95%. Atomic Force Microscopy results show the morphological differences obtained when the chlorine chemistry dominates the growth process and when the plasma–surface interactions become more prominent. Augmenting R{sub H} causes a considerable reduction in both roughness and topography, demonstrating an enhancement of ion bombardment and attack of the growing surface. X-ray Photoelectron Spectroscopy results show that, after ambient exposure, there is low concentration of oxygen inside the films grown at low R{sub H}, present in the form of Si-O, which can be considered as structural defects. Instead, oxidation increases with deposition pressure and dilution, along with film porosity, generating a secondary SiO{sub x} phase. For higher pressure and dilution, the amount of chlorine incorporated to the film decreases congruently with HCl chlorine extraction processes involving atomic hydrogen interactions with the surface. In all cases, weak silicon hydride (Si-H) bonds were not detected by infrared spectroscopy, while bonding configurations associated to the silicon nanocrystal surface were clearly observed. Since these films are generally used in photovoltaic devices, analyzing their chemical and structural properties such as oxygen incorporation to the films, along with chlorine and hydrogen, is fundamental in order to understand and optimize their electrical and optical properties.

  7. Thick Bi2Sr2CaCu2O8+δ films grown by liquid-phase epitaxy for Josephson THz applications

    Science.gov (United States)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.; Benseman, T.; Hao, Y.; Kesgin, I.; Claus, H.; Pearson, J.; Kwok, W.-K.; Welp, U.

    2018-01-01

    Theoretical and experimental studies of intrinsic Josephson junctions (IJJs) that naturally occur in high-T c superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid-phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature of underdamped IJJs, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.

  8. Influence of annealing temperature on structural and magnetic properties of pulsed laser-deposited YIG films on SiO2 substrate

    Science.gov (United States)

    Nag, Jadupati; Ray, Nirat

    2018-05-01

    Yttrium Iron Garnet (Y3Fe5O12) was synthesized by solid state/ceramic process. Thin films of YIG were deposited on SiO2 substrate at room temperature(RT) and at substrate temperature (Ts) 700 °C using pulsed laser deposition (PLD) technique. RT deposited thin films are amorphous in nature and non-magnetic. After annealing at temperature 800 ° RT deposited thin films showed X-ray peaks as well as the magnetic order. Magnetic ordering is enhanced by annealing temperature(Ta ≥ 750 °C) and resulted good quality of films with high magnetization value.

  9. In situ observation of electron-beam-induced dewetting of CdSe thin film embedded in SiO2

    DEFF Research Database (Denmark)

    Fabrim, Zacarias Eduardo; Kjelstrup-Hansen, Jakob; Fichtner, Paulo F. P.

    In this work we show the dewetting process of the CdSe thin films induced by electron beam irradiation. A multilayer heterostructure of SiO2/CdSe/SiO2 was made by a magnetron sputtering process. A plan-view (PV) sample was irradiated with 200 kV electrons in the TEM with two current densities: 0.......33 A.cm2 and 1.0 A.cm2 and at 80 kV with 0.37 A.cm2. The dewetting of the CdSe film is inferred by a number of micrographs taken during the irradiation. The microstructural changes were analyzed under the assumption of being induced by ballistic collision effects in the absence of sample heating....

  10. Salt-assisted clean transfer of continuous monolayer MoS2 film for hydrogen evolution reaction

    Science.gov (United States)

    Cho, Heung-Yeol; Nguyen, Tri Khoa; Ullah, Farman; Yun, Jong-Won; Nguyen, Cao Khang; Kim, Yong Soo

    2018-03-01

    The transfer of two-dimensional (2D) materials from one substrate to another is challenging but of great importance for technological applications. Here, we propose a facile etching and residue-free method for transferring a large-area monolayer MoS2 film continuously grown on a SiO2/Si by chemical vapor deposition. Prior to synthesis, the substrate is dropped with water- soluble perylene-3, 4, 9, 10-tetracarboxylic acid tetrapotassium salt (PTAS). The as-grown MoS2 on the substrate is simply dipped in water to quickly dissolve PTAS to yield the MoS2 film floating on the water surface, which is subsequently transferred to the desired substrate. The morphological, optical and X-ray photoelectron spectroscopic results show that our method is useful for fast and clean transfer of the MoS2 film. Specially, we demonstrate that monolayer MoS2 film transferred onto a conducting substrate leads to excellent performance for hydrogen evolution reaction with low overpotential (0.29 V vs the reversible hydrogen electrode) and Tafel slope (85.5 mV/decade).

  11. Investigation of AgInS2 thin films grown by coevaporation

    Science.gov (United States)

    Arredondo, C. A.; Clavijo, J.; Gordillo, G.

    2009-05-01

    AgInS2 thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS2 phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS2 films present p-type conductivity, a high absorption coefficient (greater than 104 cm-1) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  12. Formation, structure, and phonon confinement effect of nanocrystalline Si1-xGex in SiO2-Si-Ge cosputtered films

    International Nuclear Information System (INIS)

    Yang, Y.M.; Wu, X.L.; Siu, G.G.; Huang, G.S.; Shen, J.C.; Hu, D.S.

    2004-01-01

    Using magnetron cosputtering of SiO 2 , Ge, and Si targets, Si-based SiO 2 :Ge:Si films were fabricated for exploring the influence of Si target proportion (P Si ) and annealing temperature (Ta) on formation, local structure, and phonon properties of nanocrystalline Si 1-x Ge x (nc-Si 1-x Ge x ). At low P Si and Ta higher than 800 deg. C, no nc-Si 1-x Ge x but a kind of composite nanocrystal consisting of a Ge core, GeSi shell, and amorphous Si outer shell is formed in the SiO 2 matrix. At moderate P Si , nc-Si 1-x Ge x begins to be formed at Ta=800 deg. C and coexists with nc-Ge at Ta=1100 deg. C. At high P Si , it was disclosed that both optical phonon frequency and lattice spacing of nc-Si 1-x Ge x increase with raising Ta. The possible origin of this phenomenon is discussed by considering three factors, the phonon confinement, strain effect, and composition variation of nc-Si 1-x Ge x . This work will be helpful in understanding the growth process of ternary GeSiO films and beneficial to further investigations on optical properties of nc-Ge 1-x Si x in the ternary matrix

  13. Highly stable hydrogenated gallium-doped zinc oxide thin films grown by DC magnetron sputtering using H2/Ar gas

    International Nuclear Information System (INIS)

    Takeda, Satoshi; Fukawa, Makoto

    2004-01-01

    The effects of water partial pressure (P H 2 O ) on electrical and optical properties of Ga-doped ZnO films grown by DC magnetron sputtering were investigated. With increasing P H 2 O , the resistivity (ρ) of the films grown in pure Ar gas (Ar-films) significantly increased due to the decrease in both free carrier density and Hall mobility. The transmittance in the wavelength region of 300-400 nm for the films also increased with increasing P H 2 O . However, no significant P H 2 O dependence of the electrical and optical properties was observed for the films grown in H 2 /Ar gas mixture (H 2 /Ar-films). Secondary ion mass spectrometry (SIMS) and X-ray diffraction (XRD) analysis revealed that hydrogen concentration in the Ar-films increased with increasing P H 2 O and grain size of the films decreases with increasing the hydrogen concentration. These results indicate that the origin of the incorporated hydrogen is attributed to the residual water vapor in the coating chamber, and that the variation of ρ and transmittance along with P H 2 O of the films resulted from the change in the grain size. On the contrary, the hydrogen concentration in H 2 /Ar-films was almost constant irrespective of P H 2 O and the degree of change in the grain size of the films versus P H 2 O was much smaller than that of Ar-films. These facts indicate that the hydrogen primarily comes from H 2 gas and the adsorption species due to H 2 gas preferentially adsorb to the growing film surface over residual water vapor. Consequently, the effects of P H 2 O on the crystal growth are reduced

  14. TiO2-BASED Composite Films for the Photodegradation of Oxytetracycline

    Science.gov (United States)

    Li, Hui; Guan, Ling-Xiao; Feng, Ji-Jun; Li, Fang; Yao, Ming-Ming

    2015-02-01

    The spread of the antibiotic oxytetracycline (OTC) has been thought as a threat to the safety of drinking water. In this paper, the photocatalytic activity of the nanocrystalline Fe/Ca co-doped TiO2-SiO2 composite film for the degradation of OTC was studied. The films were characterized by field emission scanning electron microscopy (FE-SEM) equipped with energy-dispersive spectroscopy (EDS), N2 adsorption/desorption isotherms, photoluminescence (PL) spectra, and UV-Vis diffraction reflectance absorption spectra (DRS). The FE-SEM results indicated that the Fe/Ca co-doped TiO2-SiO2 film was composed of smaller nanoparticles compared to pure TiO2 or TiO2-SiO2 film. The BET surface area results showed that the specific surface area of the pure TiO2, TiO2-SiO2 and Ca2+/Fe3+ co-doped TiO2-SiO2 is 118.3 m2g-1, 294.3 m2g-1 and 393.7 m2g-1, respectively. The DRS and PL spectra revealed that the Fe/Ca co-doped TiO2-SiO2 film had strong visible light adsorption and diminished electrons/holes recombination. Experimental results showed that the Fe/Ca co-doped TiO2-SiO2 film is effective in the degradation of OTC under both UV and visible light irradiation.

  15. Atomically flat platinum films grown on synthetic mica

    Science.gov (United States)

    Tanaka, Hiroyuki; Taniguchi, Masateru

    2018-04-01

    Atomically flat platinum thin films were heteroepitaxially grown on synthetic fluorophlogopite mica [KMg3(AlSi3O10)F2] by van der Waals epitaxy. Platinum films deposited on a fluorophlogopite mica substrate by inductively coupled plasma-assisted sputtering with oxygen introduction on a synthetic mica substrate resulted in the growth of twin single-crystalline epitaxial Pt(111) films.

  16. Self assembly of SiO2-encapsulated carbon microsphere composites

    International Nuclear Information System (INIS)

    Yang Yongzhen; Song Jingjing; Han Yanxing; Guo Xingmei; Liu Xuguang; Xu Bingshe

    2011-01-01

    SiO 2 was firstly coated onto the surface of carbon microspheres (CMSs) using tetraethyl orthosilicate (TEOS) as precursor by Stoeber method. Then SiO 2 -encapsulated CMS (CMS-SiO 2 ) composites were self-assembled by vertical deposition, in which the effects of deposition temperature and suspension concentration on the quality of self-assembling film were investigated. Morphologies and structures of the samples were characterized by field emission scanning electron microscopy, Fourier transformation infrared spectrometry, X-ray diffraction and thermogravimetry. The results show that uniform CMS-SiO 2 composites with good mono-dispersion were prepared by Stober method with 0.5 g of CMSs, 2 mL of TEOS, 30 mL of ammonia and 12 h of reaction time, the CMSs-based films with ordered and denser structure were prepared by vertical deposition using CMS-SiO 2 composites as monodipersion spheres under suspension concentration of 1 wt% and deposition temperature of 50 deg. C. The ultraviolet-visible absorption measurement shows that the absorbance of CMS-SiO 2 composite films grew steadily with increasing suspension concentration.

  17. Periodically ordered meso – and macroporous SiO2 thin films and their induced electrochemical activity as a function of pore hierarchy

    Czech Academy of Sciences Publication Activity Database

    Sel, O.; Sallard, S.; Brezesinski, T.; Rathouský, Jiří; Dunphy, D. R.; Collord, A.; Smarsly, B. M.

    2007-01-01

    Roč. 17, č. 16 (2007), s. 3241-3250 ISSN 1616-301X Institutional research plan: CEZ:AV0Z40400503 Keywords : SiO2 * thin films * pore hierarchy * electrochemistry Subject RIV: CG - Electrochemistry Impact factor: 7.496, year: 2007

  18. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  19. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  20. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  1. Single layer porous gold films grown at different temperatures

    International Nuclear Information System (INIS)

    Zhang Renyun; Hummelgard, Magnus; Olin, Hakan

    2010-01-01

    Large area porous gold films can be used in several areas including electrochemical electrodes, as an essential component in sensors, or as a conducting material in electronics. Here, we report on evaporation induced crystal growth of large area porous gold films at 20, 40 and 60 deg. C. The gold films were grown on liquid surface at 20 deg. C, while the films were grown on the wall of beakers when temperature increased to 40 and 60 deg. C. The porous gold films consisted of a dense network of gold nanowires as characterized by TEM and SEM. TEM diffraction results indicated that higher temperature formed larger crystallites of gold wires. An in situ TEM imaging of the coalescence of gold nanoparticles mimicked the process of the growth of these porous films, and a plotting of the coalescence time and the neck radius showed a diffusion process. The densities of these gold films were also characterized by transmittance, and the results showed film grown at 20 deg. C had the highest density, while the film grown at 60 deg. C had the lowest consistent with SEM and TEM characterization. Electrical measurements of these gold films showed that the most conductive films were the ones grown at 40 deg. C. The conductivities of the gold films were related to the amount of contamination, density and the diameter of the gold nanowires in the films. In addition, a gold film/gold nanoparticle hybrid was made, which showed a 10% decrease in transmittance during hybridization, pointing to applications as chemical and biological sensors.

  2. Dielectric properties of thin C r2O3 films grown on elemental and oxide metallic substrates

    Science.gov (United States)

    Mahmood, Ather; Street, Michael; Echtenkamp, Will; Kwan, Chun Pui; Bird, Jonathan P.; Binek, Christian

    2018-04-01

    In an attempt to optimize leakage characteristics of α-C r2O3 thin films, its dielectric properties were investigated at local and macroscopic scale. The films were grown on Pd(111), Pt(111), and V2O3 (0001), supported on A l2O3 substrate. The local conductivity was measured by conductive atomic force microscopy mapping of C r2O3 surfaces, which revealed the nature of defects that formed conducting paths with the bottom Pd or Pt layer. A strong correlation was found between these electrical defects and the grain boundaries revealed in the corresponding topographic scans. In comparison, the C r2O3 film on V2O3 exhibited no leakage paths at similar tip bias value. Electrical resistance measurements through e-beam patterned top electrodes confirmed the resistivity mismatch between the films grown on different electrodes. The x-ray analysis attributes this difference to the twin free C r2O3 growth on V2O3 seeding.

  3. Investigation of hydrogen and chlorine at the SiO2/Si interface

    International Nuclear Information System (INIS)

    Tsong, I.S.T.; Monkowski, M.D.; Monkowski, J.R.; Miller, P.D.; Moak, C.D.; Appleton, B.R.; Wintenberg, A.L.

    1980-01-01

    Silicon oxides thermally grown in H 2 O, O 2 , HCl/O 2 and Cl 2 /O 2 ambients were analyzed, via 1 H( 19 F,αγ) 16 O nuclear reaction and SIMS, for the presence of hydrogen. In addition, those oxides grown in HCl/O 2 and Cl 2 /O 2 ambients were analyzed with SIMS for the presence of chlorine. The SIMS data show that the hydrogen levels in these oxides were below the limit of detection for nuclear reaction experiments. The 35 Cl + depth-profiles show that chlorine is enriched at the SiO 2 interface for the HCl/O 2 grown oxides while it is more evenly distributed in oxide bulk in the Cl 2 /O 2 grown samples

  4. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  5. Preparation and Characterization of PbO-SrO-Na2O-Nb2O5-SiO2 Glass Ceramics Thin Film for High-Energy Storage Application

    Science.gov (United States)

    Tan, Feihu; Zhang, Qingmeng; Zhao, Hongbin; Wei, Feng; Du, Jun

    2018-03-01

    PbO-SrO-Na2O-Nb2O5-SiO2 (PSNNS) glass ceramic thin films were prepared by pulsed laser deposition technology on heavily doped silicon substrates. The influence of annealing temperatures on microstructures, dielectric properties and energy storage performances of the as-prepared films were investigated in detail. X-ray diffraction studies indicate that Pb2Nb2O7 crystallizes at 800°C and disappears at 900°C, while NaNbO3 and PbNb2O6 are formed at the higher temperature of 900°C. The dielectric properties of the glass ceramics thin films have a strong dependence on the phase assemblages that are developed during heat treatment. The maximum dielectric constant value of 171 was obtained for the film annealed at 800°C, owing to the high electric breakdown field strength, The energy storage densities of the PSNNS films annealed at 800°C were as large as 36.9 J/cm3, These results suggest that PSNNS thin films are promising for energy storage applications.

  6. Luminescence of Y2O2S-Eu3+ and Ln2O2S-Tb3+ films grown by the method of photostimulated epitaxy

    International Nuclear Information System (INIS)

    Maksimovskij, S.N.; Sidorov, P.P.; Sluch, M.I.

    1990-01-01

    Study of luminescence of Y 2 O 2 S-Eu 3+ (1) and La 2 O 2 S-Tb 3+ (2) films, grown from vapor phase by photostimulated epitaxy method is carried out. Spectroscopic analysis data showed that films(1) spectra contain narrow lines, relating to C 3V symmetry centre, and wider lines, relating to C S symmetry centre. Films(2) possess intensive luminescence in green spectral region, but luminescence lines are wider due to higher number of defects. As to production of film luminescent screens the method is shown to be promising

  7. Characterization of ultra-thin TiO2 films grown on Mo(112)

    International Nuclear Information System (INIS)

    Kumar, D.; Chen, M.S.; Goodman, D.W.

    2006-01-01

    Ultra-thin TiO 2 films were grown on a Mo(112) substrate by stepwise vapor depositing of Ti onto the sample surface followed by oxidation at 850 K. X-ray photoelectron spectroscopy showed that the Ti 2p peak position shifts from lower to higher binding energy with an increase in the Ti coverage from sub- to multilayer. The Ti 2p peak of a TiO 2 film with more than a monolayer coverage can be resolved into two peaks, one at 458.1 eV corresponding to the first layer, where Ti atoms bind to the substrate Mo atoms through Ti-O-Mo linkages, and a second feature at 458.8 eV corresponding to multilayer TiO 2 where the Ti atoms are connected via Ti-O-Ti linkages. Based on these assignments, the single Ti 2p 3/2 peak at 455.75 eV observed for the Mo(112)-(8 x 2)-TiO x monolayer film can be assigned to Ti 3+ , consistent with our previous results obtained with high-resolution electron energy loss spectroscopy

  8. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  9. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  10. α Fe2O3 films grown by the spin-on sol-gel deposition method

    International Nuclear Information System (INIS)

    Avila G, A.; Carbajal F, G.; Tiburcio S, A.; Barrera C, E.; Andrade I, E.

    2003-01-01

    α-Fe 2 O 3 polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  11. Magnetic and structural properties of Co2FeAl thin films grown on Si substrate

    International Nuclear Information System (INIS)

    Belmeguenai, Mohamed; Tuzcuoglu, Hanife; Gabor, Mihai; Petrisor, Traian; Tiusan, Coriolan; Berling, Dominique; Zighem, Fatih; Mourad Chérif, Salim

    2015-01-01

    The correlation between magnetic and structural properties of Co 2 FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO 2 substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm 2 . - Highlights: • Various Co 2 FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness

  12. Highly textured fresnoite thin films synthesized in situ by pulsed laser deposition with CO2 laser direct heating

    International Nuclear Information System (INIS)

    Lorenz, Michael; Stölzel, Marko; Brachwitz, Kerstin; Hochmuth, Holger; Grundmann, Marius; De Pablos-Martin, Araceli; Patzig, Christian; Höche, Thomas

    2014-01-01

    Fresnoite Ba 2 TiSi 2 O 8 (BTS) thin films were grown and crystallized in situ using pulsed laser deposition (PLD) with CO 2 laser direct heating of the a-plane sapphire (1 1 0) substrates up to 1250 °C. Starting with 775 °C growth temperature, (0 0 1)- and (1 1 0)-textured BTS and BaTiO 3 phases, respectively, could be assigned in the films, and the typical fern-like BTS crystallization patterns appear. For higher process temperatures of 1100 to 1250 °C, atomically smooth, terraced surface of the films was found, accompanied by crystalline high-temperature phases of Ba–Ti–Si oxides. HAADF micrographs taken in both scanning transmission electron microscopy and energy-dispersive x-ray spectrometry mode show details of morphology and elemental distribution inside the films and at the interface. To balance the inherent Si deficiency of the BTS films, growth from glassy BTS × 2 SiO 2 and BTS × 2.5 SiO 2 targets was considered as well. The latter targets are ideal for PLD since the employed glasses possess 100% of the theoretical density and are homogeneous at the atomic scale. (paper)

  13. Light emissions from LiNbO sub 3 /SiO sub 2 /Si structures

    CERN Document Server

    Wu, X L; Tang, N; Deng, S S; Bao, X M

    2003-01-01

    LiNbO sub 3 (LN) films with a high degree of (006) texture were deposited on Si-based dense SiO sub 2 layers by pulsed laser deposition. After annealing, the LN/SiO sub 2 /Si structures were revealed to have ultraviolet-, green-, and red-emitting properties related to self-trapped excitons and E' defect pairs in the SiO sub 2 surface, which are induced by the photorefractive effect of the LN films. The emission wavelength can be tuned by introducing different dopants into the LN films. Waveguiding properties of the structures were demonstrated. The results obtained indicate that the LN/SiO sub 2 /Si structures could be expected to have important applications in modern optoelectronic integration. (letter to the editor)

  14. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  15. Investigation of AgInS{sub 2} thin films grown by coevaporation

    Energy Technology Data Exchange (ETDEWEB)

    Arredondo, C A; Gordillo, G [Departamento de Fisica, Universidad Nacional de Colombia, Bogota (Colombia); J, Clavijo, E-mail: caarredondoo@unal.edu.c, E-mail: ggordillog@unal.edu.c [Departamento de Quimica, Universidad Nacional de Colombia, Bogota, Cr.30 N0 45-03 (Colombia)

    2009-05-01

    AgInS{sub 2} thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS{sub 2} phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS{sub 2} films present p-type conductivity, a high absorption coefficient (greater than 10{sub 4} cm{sub -1}) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  16. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  17. Excimer laser crystallization of InGaZnO4 on SiO2 substrate

    NARCIS (Netherlands)

    Chen, T.; Wu, M.Y.; Ishihara, R.; Nomura, K.; Kamiya, T.; Hosono, H.; Beenakker, C.I.M.

    2011-01-01

    In this paper, we were able to crystallize InGaZnO4 (IGZO) by excimer laser on SiO2 substrate. It was observed that uniform [0001] textured polycrystalline IGZO film has been obtained without any grain boundaries and oxygen vacancies on SiO2 substrate. This process is very promising in fabricating

  18. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    Energy Technology Data Exchange (ETDEWEB)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe [Université Grenoble-Alpes, CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France)

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ from which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a very

  19. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  20. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  1. Optical properties of amorphous SiO2-TiO2 multi-nanolayered coatings for 1064-nm mirror technology

    Science.gov (United States)

    Magnozzi, M.; Terreni, S.; Anghinolfi, L.; Uttiya, S.; Carnasciali, M. M.; Gemme, G.; Neri, M.; Principe, M.; Pinto, I.; Kuo, L.-C.; Chao, S.; Canepa, M.

    2018-01-01

    The use of amorphous, SiO2-TiO2 nanolayered coatings has been proposed recently for the mirrors of 3rd-generation interferometric detectors of gravitational waves, to be operated at low temperature. Coatings with a high number of low-high index sub-units pairs with nanoscale thickness were found to preserve the amorphous structure for high annealing temperatures, a key factor to improve the mechanical quality of the mirrors. The optimization of mirror designs based on such coatings requires a detailed knowledge of the optical properties of sub-units at the nm-thick scale. To this aim we have performed a Spectroscopic Ellipsometry (SE) study of amorphous SiO2-TiO2 nanolayered films deposited on Si wafers by Ion Beam Sputtering (IBS). We have analyzed films that are composed of 5 and 19 nanolayers (NL5 and NL19 samples) and have total optical thickness nominally equivalent to a quarter of wavelength at 1064 nm. A set of reference optical properties for the constituent materials was obtained by the analysis of thicker SiO2 and TiO2 homogeneous films (∼ 120 nm) deposited by the same IBS facility. By flanking SE with ancillary techniques, such as TEM and AFM, we built optical models that allowed us to retrieve the broad-band (250-1700 nm) optical properties of the nanolayers in the NL5 and NL19 composite films. In the models which provided the best agreement between simulation and data, the thickness of each sub-unit was fitted within rather narrow bounds determined by the analysis of TEM measurements on witness samples. Regarding the NL5 sample, with thickness of 19.9 nm and 27.1 nm for SiO2 and TiO2 sub-units, respectively, the optical properties presented limited variations with respect to the thin film counterparts. For the NL19 sample, which is composed of ultrathin sub-units (4.4 nm and 8.4 nm for SiO2 and TiO2, respectively) we observed a significant decrease of the IR refraction index for both types of sub-units; this points to a lesser mass density with

  2. Improving the electrical properties of lanthanum silicate films on ge metal oxide semiconductor capacitors by adopting interfacial barrier and capping layers.

    Science.gov (United States)

    Choi, Yu Jin; Lim, Hajin; Lee, Suhyeong; Suh, Sungin; Kim, Joon Rae; Jung, Hyung-Suk; Park, Sanghyun; Lee, Jong Ho; Kim, Seong Gyeong; Hwang, Cheol Seong; Kim, HyeongJoon

    2014-05-28

    The electrical properties of La-silicate films grown by atomic layer deposition (ALD) on Ge substrates with different film configurations, such as various Si concentrations, Al2O3 interfacial passivation layers, and SiO2 capping layers, were examined. La-silicate thin films were deposited using alternating injections of the La[N{Si(CH3)3}2]3 precursor with O3 as the La and O precursors, respectively, at a substrate temperature of 310 °C. The Si concentration in the La-silicate films was further controlled by adding ALD cycles of SiO2. For comparison, La2O3 films were also grown using [La((i)PrCp)3] and O3 as the La precursor and oxygen source, respectively, at the identical substrate temperature. The capacitance-voltage (C-V) hysteresis decreased with an increasing Si concentration in the La-silicate films, although the films showed a slight increase in the capacitance equivalent oxide thickness. The adoption of Al2O3 at the interface as a passivation layer resulted in lower C-V hysteresis and a low leakage current density. The C-V hysteresis voltages of the La-silicate films with Al2O3 passivation and SiO2 capping layers was significantly decreased to ∼0.1 V, whereas the single layer La-silicate film showed a hysteresis voltage as large as ∼1.0 V.

  3. Molecular-Beam Epitaxially Grown MgB2 Thin Films and Superconducting Tunnel Junctions

    Directory of Open Access Journals (Sweden)

    Jean-Baptiste Laloë

    2011-01-01

    Full Text Available Since the discovery of its superconducting properties in 2001, magnesium diboride has generated terrific scientific and engineering research interest around the world. With a of 39 K and two superconducting gaps, MgB2 has great promise from the fundamental point of view, as well as immediate applications. Several techniques for thin film deposition and heterojunction formation have been established, each with its own advantages and drawbacks. Here, we will present a brief overview of research based on MgB2 thin films grown by molecular beam epitaxy coevaporation of Mg and B. The films are smooth and highly crystalline, and the technique allows for virtually any heterostructure to be formed, including all-MgB2 tunnel junctions. Such devices have been characterized, with both quasiparticle and Josephson tunneling reported. MgB2 remains a material of great potential for a multitude of further characterization and exploration research projects and applications.

  4. Au nanoparticles decorated SiO2 nanowires by dewetting on curved surfaces: facile synthesis and nanoparticles–nanowires sizes correlation

    International Nuclear Information System (INIS)

    Ruffino, F.; Grimaldi, M. G.

    2013-01-01

    We report a solid-state synthesis for SiO 2 nanowires (NWs) (up to 20 microns in length and from about 40 to about 150 nm in diameter) coated by Au nanoparticles (NPs) (from about 20 to about 80 nm in diameter). This protocol is based on three steps: (1) large area production of very long SiO 2 NWs on a Si surface exploiting a simple Au/Si solid-state reaction at high temperature; (2) coating of the SiO 2 NWs by a Au film of desired thickness using sputtering depositions; and (3) a thermal process to induce a dewetting process of the Au-film coating the SiO 2 NWs to obtain Au NPs on the curved surface of the NWs. The morphology evolution of the SiO 2 NWs was followed, in each step, by scanning electron microscopy analyses. They allowed to correlate the evolution of the NPs size with the NWs sizes for different thicknesses of the starting Au-film coating the NWs and different annealing temperatures of the dewetting process. Some theoretical concepts, related to the dewetting process of a film on a curved surface were used to describe the experimental data. The main advantages of the proposed protocols include: (i) simplicity and low-cost (it is based only on sputtering depositions and thermal processes), and (ii) versatility based on the possibility of tuning Au-film thickness and annealing temperature to tune the NPs–NWs sizes ratio. These advantages can make this technique suitable for the mass production of Au NPs-coated SiO 2 NWs toward applications in electronic devices, biosensors, and nanoscale optical devices

  5. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  6. Magnetic and structural properties of Co{sub 2}FeAl thin films grown on Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, Mohamed, E-mail: belmeguenai.mohamed@univ-paris13.fr [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Tuzcuoglu, Hanife [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Gabor, Mihai; Petrisor, Traian [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Tiusan, Coriolan [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F-54506 Vandoeuvre (France); Berling, Dominique [IS2M (CNRS-LRC 7228), 15 rue Jean Starcky, Université de Haute-Alsace, BP 2488, 68057 Mulhouse-Cedex (France); Zighem, Fatih; Mourad Chérif, Salim [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France)

    2015-01-01

    The correlation between magnetic and structural properties of Co{sub 2}FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO{sub 2} substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm{sup 2}. - Highlights: • Various Co{sub 2}FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness.

  7. Effect of substrates and thickness on optical properties in atomic layer deposition grown ZnO thin films

    Science.gov (United States)

    Pal, Dipayan; Singhal, Jaya; Mathur, Aakash; Singh, Ajaib; Dutta, Surjendu; Zollner, Stefan; Chattopadhyay, Sudeshna

    2017-11-01

    Atomic Layer Deposition technique was used to grow high quality, very low roughness, crystalline, Zinc Oxide (ZnO) thin films on silicon (Si) and fused quartz (SiO2) substrates to study the optical properties. Spectroscopic ellipsometry results of ZnO/Si system, staggered type-II quantum well, demonstrate that there is a significant drop in the magnitudes of both the real and imaginary parts of complex dielectric constants and in near-band gap absorption along with a blue shift of the absorption edge with decreasing film thickness at and below ∼20 nm. Conversely, UV-vis absorption spectroscopy of ZnO/SiO2, thin type-I quantum well, consisting of a narrower-band gap semiconductor grown on a wider-band gap (insulator) substrate, shows the similar thickness dependent blue-shift of the absorption edge but with an increase in the magnitude of near-band gap absorption with decreasing film thickness. Thickness dependent blue shift, energy vs. 1/d2, in two different systems, ZnO/Si and ZnO/SiO2, show a difference in their slopes. The observed phenomena can be consistently explained by the corresponding exciton (or carrier/s) deconfinement and confinement effects at the ZnO/Si and ZnO/SiO2 interface respectively, where Tanguy-Elliott amplitude pre-factor plays the key role through the electron-hole overlap factor at the interface.

  8. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    Science.gov (United States)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  9. Room-Temperature Voltage Stressing Effects on Resistive Switching of Conductive-Bridging RAM Cells with Cu-Doped SiO2 Films

    Directory of Open Access Journals (Sweden)

    Jian-Yang Lin

    2014-01-01

    Full Text Available SiO2 or Cu-doped SiO2 (Cu:SiO2 insulating films combined with Cu or W upper electrodes were constructed on the W/Si substrates to form the conductive-bridging RAM (CB-RAM cells. The CB-RAMs were then subjected to a constant-voltage stressing (CVS at room temperature. The experimental results show that the room-temperature CVS treatment can effectively affect the current conduction behavior and stabilize the resistive switching of the memory cells. After the CVS, the current conduction mechanisms in the high resistance state during the set process of the Cu/Cu:SiO2/W cell can be changed from Ohm’s law and the space charge limited conduction to Ohm’s law, the Schottky emission, and the space charge limited conduction. Presumably, it is due to the breakage of the conduction filaments during the CVS treatment that the conduction electrons cannot go back to the back electrode smoothly.

  10. Co{sub 2}FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, M., E-mail: belmeguenai.mohamed@univ-paris13.fr; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P. [LSPM (CNRS-UPR 3407), 99 avenue Jean-Baptiste Clément, Université Paris 13, 93430 Villetaneuse (France); Gabor, M. S., E-mail: mihai.gabor@phys.utcluj.ro; Petrisor, T. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Tiusan, C. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F–54506 Vandoeuvre (France)

    2014-01-28

    10 nm and 50 nm Co{sub 2}FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T{sub a}), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T{sub a}, while the uniaxial anisotropy field is nearly unaffected by T{sub a} within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T{sub a}. Finally, the FMR linewidth decreases when increasing T{sub a}, due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10{sup −3} and 1.3×10{sup −3} for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  11. Impact of SiO2 on Al–Al thermocompression wafer bonding

    International Nuclear Information System (INIS)

    Malik, Nishant; Finstad, Terje G; Schjølberg-Henriksen, Kari; Poppe, Erik U; Taklo, Maaike M V

    2015-01-01

    Al–Al thermocompression bonding suitable for wafer level sealing of MEMS devices has been investigated. This paper presents a comparison of thermocompression bonding of Al films deposited on Si with and without a thermal oxide (SiO 2 film). Laminates of diameter 150 mm containing device sealing frames of width 200 µm were realized. The wafers were bonded by applying a bond force of 36 or 60 kN at bonding temperatures ranging from 300–550 °C for bonding times of 15, 30 or 60 min. The effects of these process variations on the quality of the bonded laminates have been studied. The bond quality was estimated by measurements of dicing yield, tensile strength, amount of cohesive fracture in Si and interfacial characterization. The mean bond strength of the tested structures ranged from 18–61 MPa. The laminates with an SiO 2 film had higher dicing yield and bond strength than the laminates without SiO 2 for a 400 °C bonding temperature. The bond strength increased with increasing bonding temperature and bond force. The laminates bonded for 30 and 60 min at 400 °C and 60 kN had similar bond strength and amount of cohesive fracture in the bulk silicon, while the laminates bonded for 15 min had significantly lower bond strength and amount of cohesive fracture in the bulk silicon. (paper)

  12. Structural, morphological and electronic properties of pulsed laser grown Eu2O3 thin films

    Science.gov (United States)

    Kumar, Sandeep; Prakash, Ram; Choudhary, R. J.; Phase, D. M.

    2018-05-01

    Herein, we report the growth, structural, morphological and electronic properties of Europium sesquioxide (Eu2O3) thin films on Si [1 0 0] substrate using pulsed laser deposition technique. The films were deposited at ˜750 °C substrate temperature while the oxygen partial pressure (OPP) was varied (vacuum,˜1 mTorr, ˜10 mTorr and ˜300 mTorr). X-ray diffraction results confirm the single phase cubic structure of the film grown at ˜300 mTorr. The XRD results are also supported by the Raman's spectroscopy results. Eu-3d XPS core level spectra confirms the dominant contributions from the "3+" states of Eu in the film.

  13. Morphology and growth behavior of O_2-free chemical bath deposited ZnS thin films

    International Nuclear Information System (INIS)

    Jet Meitzner, K.; Tillotson, Brock M.; Siedschlag, Amanda T.; Moore, Frederick G.; Kevan, Stephen D.; Richmond, Geraldine L.

    2015-01-01

    We investigate the role of reagent concentrations and ambient O_2 on the morphology and growth behavior of ZnS thin films grown with the chemical bath deposition method. We investigate the role of substrate on film morphology, and find significant differences between films deposited on SiO_2 versus Si. The films are also sensitive to dissolved O_2 in the bath, as it causes a layer of SiO_2 to form at the ZnS/Si interface during deposition. Degassing of solutions and an N_2 atmosphere are effective to minimize this oxidation, allowing deposition of ZnS films directly onto Si. Under these conditions, we examine film properties as they relate to reagent bath concentrations. As the reagent concentrations are decreased, both the film roughness and growth rate decrease linearly. We also observe deformation and shifting of X-ray diffraction peaks that increases with decreasing reagent concentrations. The shifts are characteristic of lattice compression (caused by the substitution of oxygen for sulfur), and the deformation is characteristic of distortion of the lattice near crystal grain interfaces (caused by tensile stress from interatomic forces between neighboring crystal grains). At the weakest concentrations, the low roughness suggests a mixed growth mode in which both clusters and individual ZnS nanocrystallites contribute to film growth. With increasing reagent concentrations, the growth mode shifts and becomes dominated by deposition of clusters. - Highlights: • We deposit ZnS thin films by chemical bath deposition in an O_2-free environment. • The O_2-free environment is effective to minimize oxidation of the Si substrate. • The dominant growth mechanism changes with reagent concentrations. • Film morphology and composition change with reagent concentrations. • X-ray diffraction reveals tensile stress between ZnS crystal grains.

  14. Ultrathin NbN Films for Superconducting Single-Photon Detectors

    International Nuclear Information System (INIS)

    Slysz, W.; Guziewicz, M.; Borysiewicz, M.

    2011-01-01

    We present our research on fabrication and structural and transport characterization of ultrathin superconducting NbN layers deposited on both single-crystal Al 2 O 3 and Si wafers, and SiO 2 and Si 3 N 4 buffer layers grown directly on Si wafers. The thicknesses of our films varied from 6 nm to 50 nm and they were grown using reactive RF magnetron sputtering on substrates maintained at the temperature 850 o C. We have performed extensive morphology characterization of our films using the X-ray diffraction method and atomic force microscopy, and related the results to the type of the substrate used for the film deposition. Our transport measurements showed that even the thinnest, 6 nm thick NbN films had the superconducting critical temperature of 10-12 K, which was increased to 14 K for thicker films. (author)

  15. Luminescent and scintillation properties of Sc3+and La3+doped Y2SiO5 powders and single crystalline films

    International Nuclear Information System (INIS)

    Zorenko, Yu.; Gorbenko, V.; Zorenko, T.; Voznyak, T.; Voloshynovskii, A.; Vistovskiy, V.; Paprocki, K.; Mosińska, L.; Bilski, P.; Twardak, A.; Fedorov, A.; Nikl, M.; Mares, J.A.

    2016-01-01

    The paper is dedicated to the investigation of the luminescence of Sc 3+ and La 3+ isoelectronic impurities in Y 2 SiO 5 (YSO) single crystalline films (SCF), grown by the liquid phase epitaxy (LPE) method, and in the powder analogs of these compounds prepared using the ceramic technology. The Sc 3+ and La 3+ dopants replacing the Y 3+ cations in Y1and Y2 positions of YSO host introduce the strong complex emission bands in the UV range peaked at 330 and 345 nm, respectively. The Sc 3+ and La 3+ dopant in YSO matrix yields also the strong TSL peaks at 400 and 405 K related to the ScY and ScLa centers formation, respectively. The luminescence and scintillation properties of YSO SCFs doped with Sc 3+ and La 3+ ions and co-doped with Ce 3+ ions on the trace impurity level have been also studied in our work. We have found that the light yield (LY) of these YSO:Sc and YSO:La SCFs can reach 50–65% of LY in reference YSO:Ce SCF due to strong quenching influence of Pb 2+ ions. Finally, the potential of Sc 3+ and La 3+ doped SCF of orthosilicates for creation of heavy scintillation screens, emitting in the UV range, is discussed.

  16. Application of pentacene thin-film transistors with controlled threshold voltages to enhancement/depletion inverters

    Science.gov (United States)

    Takahashi, Hajime; Hanafusa, Yuki; Kimura, Yoshinari; Kitamura, Masatoshi

    2018-03-01

    Oxygen plasma treatment has been carried out to control the threshold voltage in organic thin-film transistors (TFTs) having a SiO2 gate dielectric prepared by rf sputtering. The threshold voltage linearly changed in the range of -3.7 to 3.1 V with the increase in plasma treatment time. Although the amount of change is smaller than that for organic TFTs having thermally grown SiO2, the tendency of the change was similar to that for thermally grown SiO2. To realize different plasma treatment times on the same substrate, a certain region on the SiO2 surface was selected using a shadow mask, and was treated with oxygen plasma. Using the process, organic TFTs with negative threshold voltages and those with positive threshold voltages were fabricated on the same substrate. As a result, enhancement/depletion inverters consisting of the organic TFTs operated at supply voltages of 5 to 15 V.

  17. The influence of substrate on the properties of Er2O3 films grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Miritello, M.; Lo Savio, R.; Iacona, F.; Franzo, G.; Bongiorno, C.; Irrera, A.; Priolo, F.

    2006-01-01

    The structural properties and the room temperature luminescence of Er 2 O 3 thin films deposited by RF magnetron sputtering have been studied. Films characterized by good morphological properties have been obtained by using a SiO 2 interlayer between the film and the Si substrate. The evolution of the properties of the Er 2 O 3 films due to rapid thermal annealing processes in O 2 ambient performed at temperatures in the range 800-1200 deg. C has been investigated in details. The existence of well-defined annealing conditions (temperature of 1100 deg. C or higher) allowing to avoid the occurrence of extensive chemical reactions with the oxidized substrate has been demonstrated and an increase of the photoluminescence (PL) intensity by about a factor of 40 with respect to the as deposited material has been observed. The enhanced efficiency of the photon emission process has been correlated with the longer lifetime of the PL signal. The same annealing processes are less effective when Er 2 O 3 is deposited on Si. In this latter case interfacial reactions and pit formation occur, leading to a material characterized by stronger non-radiative phenomena that limit the PL efficiency

  18. Two mechanisms of crater formation in ultraviolet-pulsed-laser irradiated SiO2 thin films with artificial defects

    International Nuclear Information System (INIS)

    Papernov, S.; Schmid, A.W.

    2005-01-01

    Atomic force microscopy was employed to investigate the morphology of ultraviolet nanosecond-pulsed-laser damage in SiO 2 thin films. Gold nanoparticles, 18.5-nm diameter, embedded in the film were used as calibrated absorbing defects. Damage-crater diameter, depth, and cross-sectional profiles were measured as a function of laser fluence and the lodging depth of gold nanoparticles. The results indicate that, at laser fluences close to the crater-formation threshold and for lodging depths of a few particle diameters, the dominating regime of the material removal is melting and evaporation. The morphology of craters initiated by deep absorbing defects, with a lodging depth larger than ∼10 particle diameters, clearly points to a two-stage material-removal mechanism. The process starts with the material melting within the narrow channel volume and, upon temperature and pressure buildup, film fracture takes place. Crater-diameter variation with lodging depth and laser fluence is compared with theoretical predictions

  19. An amorphous Si-O film tribo-induced by natural hydrosilicate powders on ferrous surface

    International Nuclear Information System (INIS)

    Zhang, Baosen; Xu, Binshi; Xu, Yi; Ba, Zhixin; Wang, Zhangzhong

    2013-01-01

    The tribological properties of surface-coated serpentine powders suspended in oil were evaluated using an Optimal SRV-IV oscillating friction and wear tester. The worn surface and the tribo-induced protective film were characterized by scanning electron microscope and focused ion beam (SEM/FIB) work station, energy dispersive spectroscopy (EDS) and transmission electron microscope (TEM). Results indicate that with 0.5 wt% addition of serpentine powders to oil, the friction coefficient and wear rate significantly decrease referenced to those of the base oil alone. An amorphous SiO x film with amorphous SiO x particles inserted has formed on the worn surface undergoing the interactions between serpentine particles and friction surfaces. The protective film with excellent lubricating ability and mechanical properties is responsible for the reduced friction and wear.

  20. Microstructure and magnetic properties of FePt:Ag nanocomposite films on SiO2/Si(1 0 0)

    International Nuclear Information System (INIS)

    Wang Hao; Yang, F.J.; Wang, H.B.; Cao, X.; Xue, S.X.; Wang, J.A.; Gao, Y.; Huang, Z.B.; Yang, C.P.; Chiah, M.F.; Cheung, W.Y.; Wong, S.P.; Li, Q.; Li, Z.Y.

    2006-01-01

    FePt:Ag nanocomposite films were prepared by pulsed filtered vacuum arc deposition system and subsequent rapid thermal annealing on SiO 2 /Si(1 0 0) substrates. The microstructure and magnetic properties were investigated. A strong dependence of coercivity and ordering of the face-central tetragonal structure on both Ag concentration and annealing temperature was observed. With Ag concentration of 22% in atomic ratio, the coercivity got to 6.0 kOe with a grain size of 6.7 nm when annealing temperature was 400 deg. C

  1. Polarized spectroscopic properties of Er3+:Gd2SiO5 crystal and evaluation of Er3+:Yb3+:Gd2SiO5 crystal as a 1.55 μm laser medium

    International Nuclear Information System (INIS)

    Wang, H.; Huang, J.H.; Gong, X.H.; Chen, Y.J.; Lin, Y.F.; Luo, Z.D.; Huang, Y.D.

    2016-01-01

    An Er 3+ -doped Gd 2 SiO 5 single crystal with high optical quality has been grown by the Czochralski method. Polarized absorption and fluorescence spectra and fluorescence lifetime of the crystal were measured at room temperature. Intensity parameters, spontaneous emission probabilities, fluorescence branching ratios, and radiative lifetimes were estimated on the basis of the Judd–Ofelt theory. Besides, potentiality of 1.55 μm laser emission in an Er 3+ –Yb 3+ co-doped Gd 2 SiO 5 crystal was evaluated.

  2. Imprinted sol-gel electrochemical sensor for the determination of benzylpenicillin based on Fe3O4/SiO2 multi-walled carbon nanotubes-chitosans nanocomposite film modified carbon electrode

    International Nuclear Information System (INIS)

    Hu Yufang; Li Jiaxing; Zhang Zhaohui; Zhang Huabin; Luo Lijuan; Yao Shouzhuo

    2011-01-01

    Graphical abstract: A novel imprinted sol-gel electrochemical sensor based on Fe 3 O 4 /SiO 2 -MWNTs-CTS nanocomposite film and a thin MIP film has been developed on a carbon electrode. Highlights: → A novel imprinted sol-gel electrochemical sensor based on Fe 3 O 4 /SiO 2 -MWNTs-CTS nanocomposites has been developed. → Fe 3 O 4 /SiO 2 -MWNTs-CTS nanocomposites act as 'electronic wires' to enhance the electron transfer. → The inherent specificity of the MIPs brings about highly selectivity. The imprinted sensor detects benzylpenicillin in real samples successfully. - Abstract: Herein, a novel imprinted sol-gel electrochemical sensor based on multi-walled carbon nanotubes (MWNTs) doped with chitosan film on a carbon electrode has been developed. Prior to doped, the MWNTs have been decorated with Fe 3 O 4 nanoparticles which have been coated uniformly with SiO 2 layer. The characterization of imprinted sensor has been carried out by X-ray diffraction and scanning electron microscopy. The performance of the proposed imprinted sensor has been investigated using cyclic voltammetry and differential pulse voltammetry. The imprinted sensor offers a fast response and sensitive benzylpenicillin quantification. The fabricated benzylpenicillin imprinted sensor exhibits a linear response from 5.0 x 10 -8 to 1.0 x 10 -3 mol L -1 with a detection limit of 1.5 x 10 -9 mol L -1 . For samples analysis, perfect recoveries of the imprinted sensor for benzylpenicillin indicated that the imprinted sensor was able to detect benzylpenicillin in real samples successfully.

  3. Thermoelectric properties of ZnSb films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Venkatasubramanian, R; Watko, E; Colpitts, T

    1997-07-01

    The thermoelectric properties of ZnSb films grown by metallorganic chemical vapor deposition (MOCVD) are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the thicker ZnSb films offer improved carrier mobilities and lower free-carrier concentration levels. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 C. The thicker films, due to the lower doping levels, indicate higher Seebeck coefficients between 25 to 200 C. A short annealing of the ZnSb film at temperatures of {approximately}200 C results in reduced free-carrier level. Thermal conductivity measurements of ZnSb films using the 3-{omega} method are also presented.

  4. Synthesis and characterization of erbium-doped SiO2-TiO2 thin films prepared by sol-gel and dip-coating techniques onto commercial glass substrates as a route for obtaining active GRadient-INdex materials

    International Nuclear Information System (INIS)

    Gómez-Varela, Ana I.; Castro, Yolanda; Durán, Alicia; De Beule, Pieter A.A.; Flores-Arias, María T.; Bao-Varela, Carmen

    2015-01-01

    In this work, SiO 2 -TiO 2 films doped with erbium were prepared by dip-coating sol-gel process onto commercial glass substrates. The surface morphology of the films was characterized using atomic force microscopy, while thickness, refractive index, extinction coefficient and porosity of the films were determined by ellipsometric measurements in a wavelength region of 400-1000 nm. Optical constants and porosity were found to vary with erbium concentration. The proof of principle presented in this paper is applicable to systems of different nature by tailoring the sol-gel precursors in such a way that active GRadient-INdex media described by a complex, parabolic-like refractive index distribution for beam shaping purposes is obtained. - Highlights: • Sol-gel route for preparation of active GRadient-INdex materials is proposed. • SiO 2 -TiO 2 films doped with erbium were prepared by dipping onto commercial glasses. • Morphological and optical characterization of the samples was performed. • Optical constants and porosity were found to vary with erbium concentration. • Refractive index diminishes with dopant content; the contrary occurs for porosity

  5. Thickness dependence of optical properties of VO2 thin films epitaxially grown on sapphire (0 0 0 1)

    International Nuclear Information System (INIS)

    Xu Gang; Jin Ping; Tazawa, Masato; Yoshimura, Kazuki

    2005-01-01

    Vanadium dioxide (VO 2 ) films were epitaxially grown on α-Al 2 O 3 (0 0 0 1) by rf reactive magnetron sputtering. The effects of film thickness ranging from 3 to 150 nm on optical properties were investigated. It revealed that the semiconductor--metal phase transition temperature considerably decreases as film thickness decreases, in particular for the film with thickness less than 10 nm. On the other hand, we found that the difference in visible transmittance between the two phases of VO 2 also varies with film thickness. For the films with thickness less than 50 nm, the semiconductor phase exhibits lower visible transmittance than its metallic phase, while for those with thickness larger than 50 nm the situation is reversed

  6. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    Directory of Open Access Journals (Sweden)

    B. Pivac

    2018-01-01

    Full Text Available The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction and self-organization (in horizontal direction. The formed films produce intensive photoluminescence (PL with a peak at 500 nm. The explored dynamics of the PL decay show the existence of a very rapid process similar to the one found at Ge/SiO2 defected interface layers.

  7. The properties of TiN ultra-thin films grown on SiO{sub 2} substrate by reactive high power impulse magnetron sputtering under various growth angles

    Energy Technology Data Exchange (ETDEWEB)

    Shayestehaminzadeh, S., E-mail: ses30@hi.is [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Tryggvason, T.K. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Karlsson, L. [School of Engineering and Science, Jacobs University Bremen, Campus Ring 1, 28759 Bremen (Germany); Olafsson, S. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Gudmundsson, J.T. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); University of Michigan-Shanghai Jiao Tong University, University Joint Institute, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 200240 (China)

    2013-12-02

    Thin TiN films were grown on SiO{sub 2} by reactive high power impulse magnetron sputtering (HiPIMS) and conventional dc magnetron sputtering (dcMS) while varying the angle between the target and the substrate surface from 0° (on-axis growth) to 90° (off-axis growth). Surface morphology and structural characterization were carried out using X-ray diffraction and reflection methods and the film properties were compared. The dcMS process shows higher growth rate than the HiPIMS process for on-axis grown films but the dcMS growth rate drops drastically for off-axis growth while the HiPIMS growth rate decreases slowly with increased angle between target and substrate for off-axis growth and becomes comparable to the dcMS growth rate. The dcMS grown films exhibit angle dependence in the density and surface roughness while the HiPIMS process creates denser and smoother films that are less angle dependent in all aspects. It was observed that the HiPIMS grown films remain poly-crystalline for all angles of rotation while the dcMS grown films are somewhat amorphous after 60°. The [111] and [200] grain sizes are comparable to the total film thickness in the HiPIMS grown films for all angles of rotation. In the case of dcMS, the [111], [200] and [220] grain sizes are roughly of the same size and much smaller than the total thickness for all growth angles except at 60° and higher. - Highlights: • TiN films were grown on SiO{sub 2} by HiPIMS and dcMS under various growth angles. • Influence of growth angle α = 0–90° on deposition rate and film quality was studied. • The HiPIMS process produces denser and smoother films for all growth angles. • At α = 0°, the growth rate of HiPIMS is 25% of dcMS while it is 50% at 90°. • The HiPIMS grown films remain poly-crystalline for all growth angles.

  8. Graphene synthesis from graphite/Ni composite films grown by sputtering

    International Nuclear Information System (INIS)

    Shin, Dong Hee; Yang, Seung Bum; Shin, Dong Yeol; Kim, Chang Oh; Kim, Sung; Choi, Suk Ho; Paek, Sang Hyon

    2012-01-01

    Graphite/Ni composite films have been deposited on SiO 2 /Si (100) wafers by varying their graphite concentration (n G ) and thickness (t) from 2 to 12 wt% and 40 to 400 nm, respectively, in a RF sputtering system, subsequently annealed at 900 .deg. C for 4 min, and then slowly cooled to room temperature to form graphene layers on Ni surfaces. Several structural-analysis techniques reveal the optimum nG (∼8 wt%) and t (∼160 nm) of the composite films for the synthesis of fewest-layer, defect-minimized graphene. At the annealing temperature, carbon atoms diffuse out from the composite film, followed by their precipitation as graphene on the Ni layer as the carbon solubility limit in Ni is reached during the cooling period. Based on this mechanism, the optimum conditions are explained. Our approach provides an advantage in that the number of layers can be simply tuned by varying n G and t of the composite films.

  9. Internal Friction and Young's Modulus Measurements on SiO2 and Ta2O5 Films Done with an Ultra-High Q Silicon-Wafer Suspension

    Directory of Open Access Journals (Sweden)

    Granata M.

    2015-04-01

    Full Text Available In order to study the internal friction of thin films a nodal suspension system called GeNS (Gentle Nodal Suspension has been developed. The key features of this system are: i the possibility to use substrates easily available like silicon wafers; ii extremely low excess losses coming from the suspension system which allows to measure Q factors in excess of 2×108 on 3” diameter wafers; iii reproducibility of measurements within few percent on mechanical losses and 0.01% on resonant frequencies; iv absence of clamping; v the capability to operate at cryogenic temperatures. Measurements at cryogenic temperatures on SiO2 and at room temperature only on Ta2O5 films deposited on silicon are presented.

  10. Stable, highly-responsive and broadband photodetection based on large-area multilayered WS2 films grown by pulsed-laser deposition

    Science.gov (United States)

    Yao, J. D.; Zheng, Z. Q.; Shao, J. M.; Yang, G. W.

    2015-09-01

    The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor deposition (CVD). Here, we introduce pulsed-laser deposition (PLD) to prepare multilayered WS2 films. Large-area WS2 films of the magnitude of cm2 are achieved. Comparative measurements of a WS2-based photoresistor demonstrate its stable broadband photoresponse from 370 to 1064 nm, the broadest range demonstrated in WS2 photodetectors. Benefiting from the large optical absorbance (40%-85%) and high carrier mobility (31 cm2 V-1 s-1), the responsivity of the device approaches a high value of 0.51 A W-1 in an ambient environment. Such a performance far surpasses the CVD-grown WS2-based photodetectors (μA W-1). In a vacuum environment, the responsivity is further enhanced to 0.70 A W-1 along with an external quantum efficiency of 137% and a photodetectivity of 2.7 × 109 cm Hz1/2 W-1. These findings stress that the PLD-grown WS2 film may constitute a new paradigm for the next-generation stable, broadband and highly-responsive photodetectors.The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor

  11. Electrical resistivity, magnetoresistance and magnetostriction of Ni81Fe19 monolithic films on SiO2

    International Nuclear Information System (INIS)

    Sahingoez, R.

    2004-01-01

    Ultra thin films of Ni 8 1Fe 1 9, 1Onm, 6nm, 5nm, 3nm and 2.5, 2nm thick have been grown on thermally oxidised Si. Pirst, the thickness dependence of electrical resistivity of Ni 8 1Fe 1 9 monolithic films was measured. It was found that the electrical resistivity was proportional to t - 4, where t indicates the thickness of the sample. Second, the magnetoresistance (MR), of the samples was plotted against applied DC magnetic field. The thickness dependence of MR was investigated. The next step was to investigate the effect of stress on MR. The aim of the final part was to show that MR values could be used to calculate the magnetostriction constant

  12. Effect of hydrogen on the device performance and stability characteristics of amorphous InGaZnO thin-film transistors with a SiO2/SiNx/SiO2 buffer

    Science.gov (United States)

    Han, Ki-Lim; Ok, Kyung-Chul; Cho, Hyeon-Su; Oh, Saeroonter; Park, Jin-Seong

    2017-08-01

    We investigate the influence of the multi-layered buffer consisting of SiO2/SiNx/SiO2 on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs). The multi-layered buffer inhibits permeation of water from flexible plastic substrates and prevents degradation of overlying organic layers. The a-IGZO TFTs with a multi-layered buffer suffer less positive bias temperature stress instability compared to the device with a single SiO2 buffer layer after annealing at 250 °C. Hydrogen from the SiNx layer diffuses into the active layer and reduces electron trapping at loosely bound oxygen defects near the SiO2/a-IGZO interface. Quantitative analysis shows that a hydrogen density of 1.85 × 1021 cm-3 is beneficial to reliability. However, the multi-layered buffer device annealed at 350 °C resulted in conductive characteristics due to the excess carrier concentration from the higher hydrogen density of 2.12 × 1021 cm-3.

  13. In-situ grown CNTs modified SiO2/C composites as anode with improved cycling stability and rate capability for lithium storage

    Science.gov (United States)

    Wang, Siqi; Zhao, Naiqin; Shi, Chunsheng; Liu, Enzuo; He, Chunnian; He, Fang; Ma, Liying

    2018-03-01

    Silica (SiO2) is regarded as one of the most promising anode materials for lithium ion batteries owing to its high theoretical specific capacity, relatively low operation potentials, abundance, environmental benignity and low cost. However, the low intrinsic electrical conductivity and large volume change of SiO2 during the discharge/charge cycles usually results in poor electrochemical performance. In this work, carbon nanotubes (CNTs) modified SiO2/C composites have been fabricated through an in-situ chemical vapor deposition method. The results show that the electrical conductivity of the SiO2/C/CNTs is visibly enhanced through a robust connection between the CNTs and SiO2/C particles. Compared with the pristine SiO2 and SiO2/C composites, the SiO2/C/CNTs composites display a high initial capacity of 1267.2 mA h g-1. Besides, an excellent cycling stability with the capacity of 315.7 mA h g-1 is achieved after 1000th cycles at a rate of 1 A g-1. The significantly improved electrochemical properties of the SiO2/C/CNTs composites are mainly attributed to the formation of three dimensional CNT networks in the SiO2/C substrate, which can not only shorten the Li-ion diffusion path but also relieve the volume change during the lithium-ion insertion/extraction processes.

  14. Li4SiO4-Based Artificial Passivation Thin Film for Improving Interfacial Stability of Li Metal Anodes.

    Science.gov (United States)

    Kim, Ji Young; Kim, A-Young; Liu, Guicheng; Woo, Jae-Young; Kim, Hansung; Lee, Joong Kee

    2018-03-14

    An amorphous SiO 2 (a-SiO 2 ) thin film was developed as an artificial passivation layer to stabilize Li metal anodes during electrochemical reactions. The thin film was prepared using an electron cyclotron resonance-chemical vapor deposition apparatus. The obtained passivation layer has a hierarchical structure, which is composed of lithium silicide, lithiated silicon oxide, and a-SiO 2 . The thickness of the a-SiO 2 passivation layer could be varied by changing the processing time, whereas that of the lithium silicide and lithiated silicon oxide layers was almost constant. During cycling, the surface of the a-SiO 2 passivation layer is converted into lithium silicate (Li 4 SiO 4 ), and the portion of Li 4 SiO 4 depends on the thickness of a-SiO 2 . A minimum overpotential of 21.7 mV was observed at the Li metal electrode at a current density of 3 mA cm -2 with flat voltage profiles, when an a-SiO 2 passivation layer of 92.5 nm was used. The Li metal with this optimized thin passivation layer also showed the lowest charge-transfer resistance (3.948 Ω cm) and the highest Li ion diffusivity (7.06 × 10 -14 cm 2 s -1 ) after cycling in a Li-S battery. The existence of the Li 4 SiO 4 artificial passivation layer prevents the corrosion of Li metal by suppressing Li dendritic growth and improving the ionic conductivity, which contribute to the low charge-transfer resistance and high Li ion diffusivity of the electrode.

  15. New configurations for short-pulses high power solid-state lasers: conception and realization of highly doped waveguide amplifiers/lasers grown by liquid phase epitaxy and demonstration of Y2SiO5: Yb and Lu2SiO5: Yb femtosecond lasers

    International Nuclear Information System (INIS)

    Thibault, F.

    2006-04-01

    Yb-doped yttrium and lutetium ortho-silicates, Y 2 SiO 5 :Yb and Lu 2 SiO 5 :Yb respectively, exhibit spectroscopic properties favorable to an efficient laser operation in both high power cw and femtosecond regime. Their first diode-pumped femtosecond operation demonstration lead to exceptional performances in terms of output power and efficiency. In order to realize compact and efficient solid-state laser devices using those materials, we chose a configuration with an Yb-doped medium planar waveguide geometry, grown by liquid phase epitaxy, face-pumped by a single laser diode bar. The growth of highly doped Y 2 SiO 5 :Yb layers, within a large range of compositions and thicknesses, was demonstrated. The refractive index increase due to the substitution of the various dopants is analyzed. The layers spectroscopic properties are similar to the bulk ones, with an noticeably higher crystalline quality. The Yb ion lifetime evolution with respect to its doping shows up a particularly low decrease, proof of a low concentration of extrinsic quenching centers. The covered YSO:24%Yb waveguides exhibit lower than 0.3 dB/cm propagation losses, and provided up to 2.9 dB/cm net amplification at 1082 nm with a single mode output. The realization of the first diode-pumped monolithic cw waveguide lasers was also demonstrated. For a 4% output coupler, they provided up to 340 mW at 1082 nm with a 14% slope efficiency. (author)

  16. Photoluminescence properties of powder and pulsed laser-deposited PbS nanoparticles in SiO2

    International Nuclear Information System (INIS)

    Dhlamini, M.S.; Terblans, J.J.; Ntwaeaborwa, O.M.; Ngaruiya, J.M.; Hillie, K.T.; Botha, J.R.; Swart, H.C.

    2008-01-01

    Thin films of lead sulfide (PbS) nanoparticles embedded in an amorphous silica (SiO 2 ) host were grown on Si(1 0 0) substrates at different temperatures by the pulsed laser deposition (PLD) technique. Surface morphology and photoluminescence (PL) properties of samples were analyzed with scanning electron microscopy (SEM) and a 458 nm Ar + laser, respectively. The PL data show a blue-shift from the normal emission at ∼3200 nm in PbS bulk to ∼560-700 nm in nanoparticulate PbS powders and thin films. Furthermore, the PL emission of the films was red-shifted from that of the powders at ∼560 to ∼660 nm. The blue-shifting of the emission wavelengths from 3200 to ∼560-700 nm is attributed to quantum confinement of charge carriers in the restricted volume of nanoparticles, while the red-shift between powders and thin-film PbS nanoparticles is speculated to be due to an increase in the defect concentration. The red-shift increased slightly with an increase in deposition temperature, which suggests that there has been a relative growth in particle sizes during the PLD of the films at higher temperatures. Generally, the PL emission of the powders was more intense than that of the films, although the intensity of some of the films was improved marginally by post-deposition annealing at 400 deg. C. This paper compares the PL properties of powder and pulsed laser-deposited thin films of PbS nanoparticles and the effects of deposition temperatures

  17. Photosensitivity of nanocrystalline ZnO films grown by PLD

    International Nuclear Information System (INIS)

    Ayouchi, R.; Bentes, L.; Casteleiro, C.; Conde, O.; Marques, C.P.; Alves, E.; Moutinho, A.M.C.; Marques, H.P.; Teodoro, O.; Schwarz, R.

    2009-01-01

    We have studied the properties of ZnO thin films grown by laser ablation of ZnO targets on (0 0 0 1) sapphire (Al 2 O 3 ), under substrate temperatures around 400 deg. C. The films were characterized by different methods including X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and atomic force microscopy (AFM). XPS analysis revealed that the films are oxygen deficient, and XRD analysis with θ-2θ scans and rocking curves indicate that the ZnO thin films are highly c-axis oriented. All the films are ultraviolet (UV) sensitive. Sensitivity is maximum for the films deposited at lower temperature. The films deposited at higher temperatures show crystallite sizes of typically 500 nm, a high dark current and minimum photoresponse. In all films we observe persistent photoconductivity decay. More densely packed crystallites and a faster decay in photocurrent is observed for films deposited at lower temperature

  18. {alpha} Fe{sub 2}O{sub 3} films grown by the spin-on sol-gel deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Avila G, A.; Carbajal F, G. [Seccion de Electronica del Estado Solido, Departamento de Ingenieria Electrica, CINVESTAV del l.P.N., Av. I.P.N. No. 2508, Apartado Postal 14-740, Mexico 07360, D.F (Mexico); Tiburcio S, A. [Division Posg, lnstituto Tecnologico de Toluca-SEP, P.O. Box 890, 50000 Toluca, Edo. Mex. (Mexico); Barrera C, E. [Departamento de IPH, Area de Ingenieria en Recursos Energeticos, Universidad Autonoma Metropolitana-lztapalapa, Apartado Postal 55-5340, Mexico, D.F. (Mexico); Andrade I, E. [Instituto de Fsica, Universidad Nacional Autononca de Mexico, Apartado Postal 20-364, Mexico 01000, D. F (Mexico)

    2003-07-01

    {alpha}-Fe{sub 2}O{sub 3} polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  19. Low-temperature transport properties of chemical solution deposited polycrystalline La0.7Sr0.3MnO3 ferromagnetic films under a magnetic field

    International Nuclear Information System (INIS)

    Zhu, Junyu; Chen, Ying; Xu, Wenfei; Yang, Jing; Bai, Wei; Wang, Genshui; Duan, Chungang; Tang, Zheng; Tang, Xiaodong

    2011-01-01

    Polycrystalline La 0.7 Sr 0.3 MnO 3 (LSMO) films were prepared on SiO 2 /Si (001) substrates by chemical solution deposition technique. Electrical and magnetic properties of LSMO were investigated. A minimum phenomenon in resistivity is found at the low temperature ( 0.7 Sr 0.3 MnO 3 films were grown by a modified chemical solution deposition route. → High quality LSMO thin films were prepared directly onto SiO 2 /Si substrates. → Abnormality in resistivity of LSMO films at low temperatures was studied in detail. → The abnormality was mainly attributed to Kondo-like spin dependent scattering.

  20. Nano-structure formation of Fe-Pt perpendicular magnetic recording media co-deposited with MgO, Al2O3 and SiO2 additives

    International Nuclear Information System (INIS)

    Safran, G.; Suzuki, T.; Ouchi, K.; Barna, P.B.; Radnoczi, G.

    2006-01-01

    Perpendicular magnetic recording media samples were prepared by sputter deposition on sapphire with a layer sequence of MgO seed-layer/Cr under-layer/FeSi soft magnetic under-layer/MgO intermediate layer/FePt-oxide recording layer. The effects of MgO, Al 2 O 3 and SiO 2 additives on the morphology and orientation of the FePt layer were investigated by transmission electron microscopy. The samples exhibited (001) orientation of the L1 FePt phase with the mutual orientations of sapphire substrate//MgO(100)[001]//Cr(100)[11-bar0]//FeSi(100)[11-bar0]//MgO(100) [001]//FePt(001)[100]. The morphology of the FePt films varied due to the co-deposited oxides: The FePt layers were continuous and segmented by stacking faults aligned at 54 o to the surface. Films with SiO 2 addition, beside the oriented columnar FePt grains, exhibited a fraction of misoriented crystallites due to random repeated nucleation. Al 2 O 3 addition resulted in a layered structure, i.e. an initial continuous epitaxial FePt layer covered by a secondary layer of FePt-Al 2 O 3 composite. Both components (FePt and MgO) of the MgO-added samples were grown epitaxially on the MgO intermediate layer, so that a nano-composite of intercalated (001) FePt and (001) MgO was formed. The revealed microstructures and formation mechanisms may facilitate the improvement of the structural and magnetic properties of the FePt-oxide composite perpendicular magnetic recording media

  1. Screen-Printed Photochromic Textiles through New Inks Based on SiO2@naphthopyran Nanoparticles.

    Science.gov (United States)

    Pinto, Tânia V; Costa, Paula; Sousa, Céu M; Sousa, Carlos A D; Pereira, Clara; Silva, Carla J S M; Pereira, Manuel Fernando R; Coelho, Paulo J; Freire, Cristina

    2016-10-26

    Photochromic silica nanoparticles (SiO 2 @NPT), fabricated through the covalent immobilization of silylated naphthopyrans (NPTs) based on 2H-naphtho[1,2-b]pyran (S1, S2) and 3H-naphtho[2,1-b]pyran (S3, S4) or through the direct adsorption of the parent naphthopyrans (1, 3) onto silica nanoparticles (SiO 2 NPs), were successfully incorporated onto cotton fabrics by a screen-printing process. Two aqueous acrylic- (AC-) and polyurethane- (PU-) based inks were used as dispersing media. All textiles exhibited reversible photochromism under UV and solar irradiation, developing fast responses and intense coloration. The fabrics coated with SiO 2 @S1 and SiO 2 @S2 showed rapid color changes and high contrasts (ΔE* ab = 39-52), despite presenting slower bleaching kinetics (2-3 h to fade to the original color), whereas the textiles coated with SiO 2 @S3 and SiO 2 @S4 exhibited excellent engagement between coloration and decoloration rates (coloration and fading times of 1 and 2 min, respectively; ΔE* ab = 27-53). The PU-based fabrics showed excellent results during the washing fastness tests, whereas the AC-based textiles evidenced good results only when a protective transfer film was applied over the printed design.

  2. Yttria and ceria doped zirconia thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saporiti, F.; Juarez, R. E., E-mail: cididi@fi.uba.ar [Grupo de Materiales Avanzados, Facultad de Ingenieria, Universidad de Buenos Aires (Argentina); Audebert, F. [Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET) (Argentina); Boudard, M. [Laboratoire des Materiaux et du Genie Physique (CNRS), Grenoble (France)

    2013-11-01

    The Yttria stabilized Zirconia (YSZ) is a standard electrolyte for solid oxide fuel cells (SOFCs), which are potential candidates for next generation portable and mobile power sources. YSZ electrolyte thin films having a cubic single phase allow reducing the SOFC operating temperature without diminishing the electrochemical power density. Films of 8 mol% Yttria stabilized Zirconia (8YSZ) and films with addition of 4 weight% Ceria (8YSZ + 4CeO{sub 2}) were grown by pulsed laser deposition (PLD) technique using 8YSZ and 8YSZ + 4CeO{sub 2} targets and a Nd-YAG laser (355 nm). Films have been deposited on Soda-Calcia-Silica glass and Si(100) substrates at room temperature. The morphology and structural characteristics of the samples have been studied by means of X-ray diffraction and scanning electron microscopy. Films of a cubic-YSZ single phase with thickness in the range of 1-3 Micro-Sign m were grown on different substrates (author)

  3. Ellipsometric investigation of nitrogen doped diamond thin films grown in microwave CH{sub 4}/H{sub 2}/N{sub 2} plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, Mateusz, E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Sankaran, Kamatchi J.; Haenen, Ken [Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); IMOMEC, IMEC vzw, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Ryl, Jacek; Darowicki, Kazimierz [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Bogdanowicz, Robert [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, California 91125 (United States); Lin, I-Nan [Department of Physics, Tamkang University, Tamsui 251, Taiwan (China)

    2016-06-13

    The influence of N{sub 2} concentration (1%–8%) in CH{sub 4}/H{sub 2}/N{sub 2} plasma on structure and optical properties of nitrogen doped diamond (NDD) films was investigated. Thickness, roughness, and optical properties of the NDD films in the VIS–NIR range were investigated on the silicon substrates using spectroscopic ellipsometry. The samples exhibited relatively high refractive index (2.6 ± 0.25 at 550 nm) and extinction coefficient (0.05 ± 0.02 at 550 nm) with a transmittance of 60%. The optical investigation was supported by the molecular and atomic data delivered by Raman studies, bright field transmission electron microscopy imaging, and X-ray photoelectron spectroscopy diagnostics. Those results revealed that while the films grown in CH{sub 4}/H{sub 2} plasma contained micron-sized diamond grains, the films grown using CH{sub 4}/H{sub 2}/(4%)N{sub 2} plasma exhibited ultranano-sized diamond grains along with n-diamond and i-carbon clusters, which were surrounded by amorphous carbon grain boundaries.

  4. Positive magnetoresistance in ferromagnetic Nd-doped In2O3 thin films grown by pulse laser deposition

    KAUST Repository

    Xing, G. Z.

    2014-05-23

    We report the magnetic and magnetotransport properties of (In 0.985Nd0.015)2O2.89 thin films grown by pulse laser deposition. The clear magnetization hysteresis loops with the complementary magnetic domain structure reveal the intrinsic room temperature ferromagnetism in the as-prepared films. The strong sp-f exchange interaction as a result of the rare earth doping is discussed as the origin of the magnetotransport behaviours. A positive magnetoresistance (∼29.2%) was observed at 5 K and ascribed to the strong ferromagnetic sp-f exchange interaction in (In0.985Nd0.015)2O 2.89 thin films due to a large Zeeman splitting in an external magnetic field of 50 KOe. © 2014 AIP Publishing LLC.

  5. Synthesis and Luminescence Properties of Yellow-emitting SiO2/Zn2SiO4: Mn Nanocomposite

    Directory of Open Access Journals (Sweden)

    Karim OMRI

    2014-05-01

    Full Text Available Yellow light emitting Mn2+-doped b-Zn2SiO4 phosphor nanoparticles embedded in SiO2 host matrix, were prepared by a simple solid-phase reaction under natural atmosphere at 1500 °C for 2 hours after the incorporation of manganese doped zinc oxide nanoparticles in silica using sol-gel method. The SiO2/Zn2SiO4:Mn nanocomposite was characterized by X-ray diffraction (XRD, transmission electron microscopy (TEM, scanning electron microscopy (SEM and photoluminescence (PL. The nanopowder was crystallized in triclinic b-Zn2SiO4 phase with a particles size varies between 70 nm and 84 nm. The SiO2/b-Zn2SiO4:Mn nanocomposite exhibited a broad yellow emission band at 575 nm under UV excitation light. The dependence of the intensity and energy position of the obtained PL band on measurement temperature and power excitation will be discussed.

  6. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  7. Structural, Optical, and Electrical Characterization of β-Ga2O3 Thin Films Grown by Plasma-Assisted Molecular Beam Epitaxy Suitable for UV Sensing

    Directory of Open Access Journals (Sweden)

    Abraham Arias

    2018-01-01

    Full Text Available β-Ga2O3 thin films were grown on c-plane sapphire substrates by plasma-assisted molecular beam epitaxy. The films were grown using an elemental gallium source and oxygen supplied by an RF plasma source. Reflection high-energy electron diffraction (RHEED was used to monitor the surface quality in real time. Both in situ RHEED and ex situ X-ray diffraction confirmed the formation of single crystal β-phase films with excellent crystallinity on c-plane sapphire. Spectroscopic ellipsometry was used to determine the film thicknesses, giving values in the 11.6–18.8 nm range and the refractive index dispersion curves. UV-Vis transmittance measurements revealed that strong absorption of β-Ga2O3 starts at ∼270 nm. Top metal contacts were deposited by thermal evaporation for I-V characterization, which has been carried out in dark, as well as under visible and UV light illumination. The optical and electrical measurements showed that the grown thin films of β-Ga2O3 are excellent candidates for deep-ultraviolet detection and sensing.

  8. The optical properties and applications of AlN thin films prepared by a helicon sputtering system

    CERN Document Server

    Chiu, W Y; Kao, H L; Jeng, E S; Chen, J S; Jaing, C C

    2002-01-01

    AlN thin films were grown on SiO sub 2 /Si and quartz substrates using a helicon sputtering system. The dependence of film quality on growth parameters, such as total sputtering pressure, substrate temperature, and nitrogen concentration has been studied. There is a good correlation of thin film crystallinity addressed by x-ray diffraction (XRD) and spectroscopic ellipsometer. The optimized films exhibit highly oriented, with only (002) peak shown in a theta-2 theta scan XRD pattern, and extremely smooth surface with rms roughness of 2 Aa. The extinction coefficient of the film was 4x10 sup - sup 4 , which is lower than that of AlN films grown by conventional sputtering. Double-layer antireflection (DLAR) coating using AlN and Al sub 3 O sub 3 grown on quartz has been demonstrated. The transmittance of DLAR was high as 96% compared to 93% of bare substrates with the measurement error less than 0.2%. AlN films prepared by Helicon sputtering thus are potential for optical application.

  9. Ferroelectric properties of bilayer structured Pb(Zr0.52Ti0.48)O3/SrBi2Ta2O9 (PZT/SBT) thin films on Pt/TiO2/SiO2/Si substrates

    International Nuclear Information System (INIS)

    Zhang Wenqi; Li Aidong; Shao Qiyue; Xia Yidong; Wu Di; Liu Zhiguo; Ming Naiben

    2008-01-01

    Pb(Zr 0.52 Ti 0.48 )O 3 (PZT) thin films with large remanent polarization and SrBi 2 Ta 2 O 9 (SBT) thin films with excellent fatigue-resisting characteristic have been widely studied for non-volatile random access memories, respectively. To combine these two advantages , bilayered Pb(Zr 0.52 Ti 0.48 )O 3 /SrBi 2 Ta 2 O 9 (PZT/SBT) thin films were fabricated on Pt/TiO 2 /SiO 2 /Si substrates by chemical solution deposition method. X-ray diffraction patterns revealed that the diffraction peaks of PZT/SBT thin films were completely composed of PZT and SBT, and no other secondary phase was observed. The electrical properties of the bilayered structure PZT/SBT films have been investigated in comparison with pure PZT and SBT films. PZT/SBT bilayered thin films showed larger remanent polarization (2P r ) of 18.37 μC/cm 2 than pure SBT and less polarization fatigue up to 1 x 10 9 switching cycles than pure PZT. These results indicated that this bilayered structure of PZT/SBT is a promising material combination for ferroelectric memory applications

  10. Structural and optical properties of ZnO films grown on silicon and ...

    Indian Academy of Sciences (India)

    TECS

    Abstract. Photoluminescence (PL) properties of undoped ZnO thin films grown by rf magnetron sputtering on silicon .... voluted O1 s and (c) typical Zr 3d spectra of ZrO2/ZnO/Si film. .... strate doping concentration (NB) of ≈ 2⋅5 × 1015 cm–3 is.

  11. High quality TmIG films with perpendicular magnetic anisotropy grown by sputtering

    Science.gov (United States)

    Wu, C. N.; Tseng, C. C.; Yeh, S. L.; Lin, K. Y.; Cheng, C. K.; Fanchiang, Y. T.; Hong, M.; Kwo, J.

    Ferrimagnetic thulium iron garnet (TmIG) films grown on gadolinium gallium garnet substrates recently showed stress-induced perpendicular magnetic anisotropy (PMA), attractive for realization of quantum anomalous Hall effect (QAHE) of topological insulator (TI) films via the proximity effect. Moreover, current induced magnetization switching of Pt/TmIG has been demonstrated for the development of room temperature (RT) spintronic devices. In this work, high quality TmIG films (about 25nm) were grown by sputtering at RT followed by post-annealing. We showed that the film composition is tunable by varying the growth parameters. The XRD results showed excellent crystallinity of stoichiometric TmIG films with an out-of-plane lattice constant of 1.2322nm, a narrow film rocking curve of 0.017 degree, and a film roughness of 0.2 nm. The stoichiometric films exhibited PMA and the saturation magnetization at RT was 109 emu/cm3 (RT bulk value 110 emu/cm3) with a coercive field of 2.7 Oe. In contrast, TmIG films of Fe deficiency showed in-plane magnetic anisotropy. The high quality sputtered TmIG films will be applied to heterostructures with TIs or metals with strong spin-orbit coupling for novel spintronics.

  12. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  13. Crystallinity and superconductivity of as-grown MgB2 thin films with AlN buffer layers

    International Nuclear Information System (INIS)

    Tsujimoto, K.; Shimakage, H.; Wang, Z.; Kaya, N.

    2005-01-01

    The effects of aluminum nitride (AlN) buffer layers on the superconducting properties of MgB 2 thin film were investigated. The AlN buffer layers and as-grown MgB 2 thin films were deposited in situ using the multiple-target sputtering system. The best depositing condition for the AlN/MgB 2 bi-layer occurred when the AlN was deposited on c-cut sapphire substrates at 290 deg. C. The crystallinity of the AlN/MgB 2 bi-layer was studied using the XRD φ-scan and it showed that AlN and MgB 2 had the same in-plane alignment rotated at an angle of 30 deg. as compared to c-cut sapphire. The critical temperature of the MgB 2 film was 29.8 K and the resistivity was 50.0 μΩ cm at 40 K

  14. Role of low O 2 pressure and growth temperature on electrical transport of PLD grown ZnO thin films on Si substrates

    Science.gov (United States)

    Pandis, Ch.; Brilis, N.; Tsamakis, D.; Ali, H. A.; Krishnamoorthy, S.; Iliadis, A. A.

    2006-06-01

    Undoped ZnO thin films have been grown on (100) Si substrates by pulsed laser deposition. The effect of growth parameters such as temperature, O 2 partial pressure and laser fluence on the structural and electrical properties of the films has been investigated. It is shown that the well-known native n-type conductivity, attributed to the activation of hydrogenic donor states, exhibits a conversion from n-type to p-type when the O 2 partial pressure is reduced from 10 -4 to 10 -7 Torr at growth temperatures lower than 400 °C. The p-type conductivity could be attributed to the dominant role of the acceptor Zn vacancies for ZnO films grown at very low O 2 pressures.

  15. Report on cascade energy relaxation from PVP to Tb3+:Bi2SiO5 nanophosphor through salicylic acid in composite polymeric film

    Science.gov (United States)

    Kumari, Pushpa; Dwivedi, Y.

    2018-05-01

    The present article reports structural and spectroscopic properties of Tb:Bi2SiO5 nanophosphors dispersed in Polyvinylpyrrolidone polymer film, in presence of Salicylic acid (SA) molecule, which acts as a sensitizer. Detailed structural and spectroscopic characterizations were carried out using X-ray diffraction patterns, Scanning Electron Microscope, Fourier Transform Infrared and Excitation and photoluminescence techniques. The mean crystallite size of Tb3+:Bi2SiO5 nanophosphor and Tb3+:Bi2SiO5 in Polyvinylpyrrolidone polymer composite was estimated ∼22 nm and ∼28 nm, respectively. We have report atleast two times enhancement in Tb3+ ions emission intensity due to the efficient energy transfer from salicylic acid molecule to Tb ions. In addition to energy transfer from salicylic acid, the Polyvinylpyrrolidone polymeric host was also reported to serve as a sensitizer for SA molecule and Tb3+ ions through a cascade energy relaxation process while exciting with 248 nm photons. On 248 nm photon excitation, atleast five improvements in Tb3+ ion emission intensity are reported. Presence of SA molecule facilitates precise colour tuning as obvious from the CIE coordinates.

  16. Non-vacuum growth of graphene films using solid carbon source

    International Nuclear Information System (INIS)

    Nguyen, Ba-Son; Lin, Jen-Fin; Perng, Dung-Ching

    2015-01-01

    This study demonstrates that air annealing can grow high-quality graphene films on the surface of polycrystalline nickel film with the help of an effective SiO 2 capping layer. The number of graphene layers can be modulated by the amount of carbon embedded in the Ni film before annealing. Raman analysis results, transmission electron microscopy images, and electron diffraction patterns of the samples confirm that graphene films can be grown in air with an oxygen blocking layer and a 10 °C/s cooling rate in an open-vented rapid thermal annealing chamber or an open tube furnace. The high-quality low-defect air-annealing grown graphene is comparable to commercially available graphene grown via chemical vapor deposition. The proposed graphene growth using air annealing technique is simple and low-cost, making it highly attractive for mass production. It is transfer-free to a silicon substrate and can speed up graphene development, opening up new applications

  17. Morphology and photoresponse of crystalline antimony film grown on mica by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    Shafa Muhammad

    2016-09-01

    Full Text Available Antimony is a promising material for the fabrication of photodetectors. This study deals with the growth of a photosensitive thin film by the physical vapor deposition (PVD of antimony onto mica surface in a furnace tube. The geometry of the grown structures was studied via scanning electron microscopy (SEM, X-ray diffraction (XRD, energy-dispersive X-ray spectroscopy (EDX and elemental diffraction analysis. XRD peaks of the antimony film grown on mica mostly matched with JCPDF Card. The formation of rhombohedral crystal structures in the film was further confirmed by SEM micrographs and chemical composition analysis. The Hall measurements revealed good electrical conductivity of the film with bulk carrier concentration of the order of 1022 Ω·cm-3 and mobility of 9.034 cm2/Vs. The grown film was successfully tested for radiation detection. The photoresponse of the film was evaluated using its current-voltage characteristics. These investigations revealed that the photosensitivity of the antimony film was 20 times higher than that of crystalline germanium.

  18. Electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pagni, O. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Somhlahlo, N.N. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Weichsel, C. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)]. E-mail: andrew.leitch@nmmu.ac.za

    2006-04-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies.

  19. Electrical properties of ZnO thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Pagni, O.; Somhlahlo, N.N.; Weichsel, C.; Leitch, A.W.R.

    2006-01-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies

  20. Comparative study of the characteristics of Ni films deposited on SiO2/Si(100) by oblique-angle sputtering and conventional sputtering

    International Nuclear Information System (INIS)

    Yu Mingpeng; Qiu Hong; Chen Xiaobai; Wu Ping; Tian Yue

    2008-01-01

    Ni films were deposited on SiO 2 /Si(100) substrates at 300 K and 573 K by oblique-angle sputtering and conventional sputtering. The films deposited at 300 K mainly have a [110] crystalline orientation in the growing direction whereas those deposited at 573 K grow with a [111] crystalline orientation in the growing direction. The film prepared only at 300 K by oblique-angle sputtering grows with a weakly preferential orientation along the incidence direction of the sputtered Ni atoms. All the films grow with thin columnar grains perpendicular to the substrate surface. The grain size of the films sputter-deposited obliquely is larger than that of the films sputter-deposited conventionally. The grain size of the Ni film does not change markedly with the deposition temperature. The film deposited at 573 K by oblique-angle sputtering has the highest saturation magnetization. For the conventional sputtering, the coercivity of the Ni film deposited at 573 K is larger than that of the film deposited at 300 K. However, for the oblique-angle sputtering, the coercivity of the Ni film is independent of the deposition temperature. All the Ni films exhibit an isotropic magnetization characteristic in the film plane

  1. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  2. One step process of decomposition and polymerization to fabricate SiO_2 hollow spheres/polyimide composite for foldable OLEDs

    International Nuclear Information System (INIS)

    Kim, Min Kyu; Kim, Dong Won; Moon, Soo Hyun; Shin, Dong-Wook; Oh, Tae Sik; Yoo, Ji Beom

    2017-01-01

    Graphical abstract: The SiO_2 hollow spheres and polyimide hybrid synthesized using one step process, simultaneous occurrence of decomposition and polymerization (SODP) is useful with a ultra-low dielectric constant and high thermal stability for flexible OLED. - Highlights: • We fabricated hybrid films of SHS/PI by using a one step process with SODP. • The film has ultralow dielectric constant (50 vol% at k = 1.67). • There is no collapse of SiO_2 hollow spheres in the PI matrix after bending test for 50,000 cycles. - Abstract: The fabrication of interlayer dielectrics (ILDs) in flexible organic light-emitting diodes (OLEDs) requires flexible materials with a low dielectric constant as well as materials with excellent electrical, thermal, and mechanical properties for optimal device performance. Hybrid films of SiO_2 hollow spheres (SHS)/polyimide (PI) were prepared using a one-step process, with simultaneous occurrence of decomposition (polystyrene, PS) and polymerization (PI) (SODP). No collapse of SiO_2 hollow spheres in PI was observed from 10 vol% to 60 vol% SHS in hybrid films. The dielectric constant of hybrid films was reduced from 3.45 to 1.67 and was saturated at above 50 vol% of SHS due to the maximum fill factor of SHS in the PI matrix. The thermal stability was excellent up to 500 °C due to the inherent thermal property of PI. After a bending test for 50,000 cycles at a bending radius of 1 mm, the SHS/PI hybrid films retained their dielectric constant and current density. These results indicate the hybrid film to be the most promising candidate for flexible ILDs with a low dielectric constant and high thermal stability for foldable OLEDs.

  3. Effect of SiO2 Overlayer on WO3 Sensitivity to Ammonia

    Directory of Open Access Journals (Sweden)

    Vibha Srivastava

    2010-06-01

    Full Text Available Ammonia gas sensing properties of tungsten trioxide thick film sensor was investigated. The doping of noble catalysts such as Pt, Pd, Au enhanced the gas sensitivity. Platinum doping was found to result in highest sensitivity. Remarkable sensitivity enhancement was realized by coating WO3 thick film sensors with SiO2 overlayer. Sol gel process derived silica overlayer increased ammonia gas sensitivity for doped as well as undoped sensor.

  4. High resolution electron microscopy studies of interfaces between Al2O3 substrates and MBE grown Nb films

    International Nuclear Information System (INIS)

    Mayer, J.; Ruhle, M.; Dura, J.; Flynn, C.P.

    1991-01-01

    This paper reports on single crystal niobium films grown by Molecular Beam Epitaxy (MBE) on (001) S sapphire substrates. Cross-sectional specimens with thickness of 2 O 3 interface could be investigated by high resolution electron microscopy (HREM). The orientation relationship between the metal film and the ceramic substrate was verified by selected area diffraction: (111) Nb parallel (0001) S and [1 bar 10] Nb parallel [2 bar 1 bar 10] S . The atomistic structure of the interface was identified by HREM

  5. Isotope analysis of diamond-surface passivation effect of high-temperature H2O-grown atomic layer deposition-Al2O3 films

    International Nuclear Information System (INIS)

    Hiraiwa, Atsushi; Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi

    2015-01-01

    The Al 2 O 3 film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H 2 O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D 2 O instead of H 2 O in the ALD and found that the Al 2 O 3 film formed at a conventional temperature (100 °C) incorporates 50 times more CH 3 groups than the high-temperature film. This CH 3 is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H 2 O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H 2 O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D 2 O-oxidant ALD but found that the mass density and dielectric constant of D 2 O-grown Al 2 O 3 films are smaller than those of H 2 O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al 2 O 3 films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of the aforementioned new isotope effect will be a basis for further enhancing ALD

  6. Nanocrystalline magnetite thin films grown by dual ion-beam sputtering

    International Nuclear Information System (INIS)

    Prieto, Pilar; Ruiz, Patricia; Ferrer, Isabel J.; Figuera, Juan de la; Marco, José F.

    2015-01-01

    Highlights: • We have grown tensile and compressive strained nanocrystalline magnetite thin films by dual ion beam sputtering. • The magnetic and thermoelectric properties can be controlled by the deposition conditions. • The magnetic anisotropy depends on the crystalline grain size. • The thermoelectric properties depend on the type of strain induced in the films. • In plane uniaxial magnetic anisotropy develops in magnetite thin films with grain sizes ⩽20 nm. - Abstract: We have explored the influence of an ion-assisted beam in the thermoelectric and magnetic properties of nanocrystalline magnetite thin films grown by ion-beam sputtering. The microstructure has been investigated by XRD. Tensile and compressive strained thin films have been obtained as a function of the parameters of the ion-assisted beam. The evolution of the in-plane magnetic anisotropy was attributed to crystalline grain size. In some films, magneto-optical Kerr effect measurements reveal the existence of uniaxial magnetic anisotropy induced by the deposition process related with a small grain size (⩽20 nm). Isotropic magnetic properties have observed in nanocrystalline magnetite thin film having larger grain sizes. The largest power factor of all the films prepared (0.47 μW/K 2 cm), obtained from a Seebeck coefficient of −80 μV/K and an electrical resistivity of 13 mΩ cm, is obtained in a nanocrystalline magnetite thin film with an expanded out-of-plane lattice and with a grain size ≈30 nm

  7. Investigation of ZnTe thin films grown by Pulsed Laser Deposition method

    International Nuclear Information System (INIS)

    Kotlyarchuk, B.; Savchuk, V.

    2007-01-01

    This paper is devoted to optimization of the Pulsed Laser Deposition (PLD) growth condition of ZnTe films on various substrates and subsequent investigation of relevant parameters of growth process, structural, optical and electrical properties of grown films. Studies of the effect of growth parameters on the structural quality and properties of grown films were carried out. X-ray diffraction measurements showed that the ZnTe films, which have been deposited at optimal substrate temperatures, were characterized by a (111) preferred orientation with large average grain size. The optical transmission and reflectance in the energy range 1.5-5.5 eV for films grown at various substrate temperatures were measured. We calculated the variation in the absorption coefficient with the photon energy from the transmittance spectrum for samples grown at various substrate temperatures. Obtained data were analyzed and the value of the absorption coefficient, for allowed direct transitions, has been determined as a function of photon energy. We found that the undoped ZnTe films, which were grown by the PLD method, are typically p-type and possess resistivity in the range of 10 3 Ωcm at room temperature. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Negative differential resistance effect induced by metal ion implantation in SiO2 film for multilevel RRAM application

    Science.gov (United States)

    Wu, Facai; Si, Shuyao; Shi, Tuo; Zhao, Xiaolong; Liu, Qi; Liao, Lei; Lv, Hangbing; Long, Shibing; Liu, Ming

    2018-02-01

    Pt/SiO2:metal nanoparticles/Pt sandwich structure is fabricated with the method of metal ion (Ag) implantation. The device exhibits multilevel storage with appropriate R off/R on ratio, good endurance and retention properties. Based on transmission electron microscopy and energy dispersive spectrometer analysis, we confirm that Pt nanoparticles are spurted into SiO2 film from Pt bottom electrode by Ag implantation; during electroforming, the local electric field can be enhanced by these Pt nanoparticles, meanwhile the Ag nanoparticles constantly migrate toward the Pt nanoparticles. The implantation induced nanoparticles act as trap sites in the resistive switching layer and play critical roles in the multilevel storage, which is evidenced by the negative differential resistance effect in the current-voltage (I-V) measurements.

  9. Influence of deposition temperature on the structural and morphological properties of Be3N2 thin films grown by reactive laser ablation

    International Nuclear Information System (INIS)

    Chale-Lara, F.; Farias, M.H.; De la Cruz, W.; Zapata-Torres, M.

    2010-01-01

    Be 3 N 2 thin films have been grown on Si(1 1 1) substrates using the pulsed laser deposition method at different substrate temperatures: room temperature (RT), 200 deg. C, 400 deg. C, 600 deg. C and 700 deg. C. Additionally, two samples were deposited at RT and were annealed after deposition in situ at 600 deg. C and 700 deg. C. In order to obtain the stoichiometry of the samples, they have been characterized in situ by X-ray photoelectron (XPS) and reflection electron energy loss spectroscopy (REELS). The influence of the substrate temperature on the morphological and structural properties of the films was investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD). The results show that all prepared films presented the Be 3 N 2 stoichiometry. Formation of whiskers with diameters of 100-200 nm appears at the surface of the films prepared with a substrate temperature of 600 deg. C or 700 deg. C. However, the samples grown at RT and annealed at 600 deg. C or 700 deg. C do not show whiskers on the surface. The average root mean square (RMS) roughness and the average grain size of the samples grown with respect the substrate temperature is presented. The films grown with a substrate temperature between the room temperature to 400 deg. C, and the sample annealed in situ at 600 deg. C were amorphous; while the αBe 3 N 2 phase was presented on the samples with a substrate temperature of 600 deg. C, 700 deg. C and that deposited with the substrate at RT and annealed in situ at 700 deg. C.

  10. Epitaxial single-crystal thin films of MnxTi1-xO2grown on (rutile)TiO2 substrates with pulsed laser deposition: Experiment and theory

    Energy Technology Data Exchange (ETDEWEB)

    Ilton, Eugene S.; Droubay, Timothy C.; Chaka, Anne M.; Kovarik, Libor; Varga, Tamas; Arey, Bruce W.; Kerisit, Sebastien N.

    2015-02-01

    Epitaxial rutile-structured single-crystal MnxTi1-xO2films were synthesized on rutile- (110) and -(001) substrates using pulsed laser deposition. The films were characterized by reflection high-energy electron diffraction (RHEED), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and aberration-corrected transmission electron microscopy (ACTEM). Under the present conditions, 400oC and PO2 = 20 mTorr, single crystal epitaxial thin films were grown for x = 0.13, where x is the nominal average mole fraction of Mn. In fact, arbitrarily thick films could be grown with near invariant Mn/Ti concentration profiles from the substrate/film interface to the film surface. In contrast, at x = 0.25, Mn became enriched towards the surface and a secondary nano-scale phase formed which appeared to maintain the basic rutile structure but with enhanced z-contrast in the tunnels, or tetrahedral interstitial sites. Ab initio thermodynamic calculations provided quantitative estimates for the destabilizing effect of expanding the β-MnO2 lattice parameters to those of TiO2-rutile, the stabilizing effect of diluting Mn with increasing Ti concentration, and competing reaction pathways.

  11. Effects of O2 plasma post-treatment on ZnO: Ga thin films grown by H2O-thermal ALD

    Science.gov (United States)

    Lee, Yueh-Lin; Chuang, Jia-Hao; Huang, Tzu-Hsuan; Ho, Chong-Long; Wu, Meng-Chyi

    2013-03-01

    Transparent conducting oxides have been widely employed in optoelectronic devices using the various deposition methods such as sputtering, thermal evaporator, and e-gun evaporator technologies.1-3 In this work, gallium doped zinc oxide (ZnO:Ga) thin films were grown on glass substrates via H2O-thermal atomic layer deposition (ALD) at different deposition temperatures. ALD-GZO thin films were constituted as a layer-by-layer structure by stacking zinc oxides and gallium oxides. Diethylzinc (DEZ), triethylgallium (TEG) and H2O were used as zinc, gallium precursors and oxygen source, respectively. Furthermore, we investigated the influences of O2 plasma post-treatment power on the surface morphology, electrical and optical property of ZnO:Ga films. As the result of O2 plasma post-treatment, the characteristics of ZnO:Ga films exhibit a smooth surface, low resistivity, high carrier concentration, and high optical transmittance in the visible spectrum. However, the transmittance decreases with O2 plasma power in the near- and mid-infrared regions.

  12. Characterization, modeling and physical mechanisms of different surface treatment methods at room temperature on the oxide and interfacial quality of the SiO2 film using the spectroscopic scanning capacitance microscopy

    Directory of Open Access Journals (Sweden)

    Kin Mun Wong

    Full Text Available In this article, a simple, low cost and combined surface treatment method [pre-oxidation immersion of the p-type silicon (Si substrate in hydrogen peroxide (H2O2 and post oxidation ultra-violet (UV irradiation of the silicon-dioxide (SiO2 film] at room temperature is investigated. The interface trap density at midgap [Dit(mg] of the resulting SiO2 film (denoted as sample 1A is quantified from the full width at half-maximum of the scanning capacitance microscopy (SCM differential capacitance (dC/dV characteristics by utilizing a previously validated theoretical model. The Dit(mg of sample 1A is significantly lower than the sample without any surface treatments which indicates that it is a viable technique for improving the interfacial quality of the thicker SiO2 films prepared by wet oxidation. Moreover, the proposed combined surface treatment method may possibly complement the commonly used forming gas anneal process to further improve the interfacial quality of the SiO2 films. The positive shift of the flatband voltage due to the overall oxide charges (estimated from the probe tip dc bias at the peak dC/dV spectra of sample 1A suggests the presence of negative oxide fixed charge density (Nf in the oxide. In addition, an analytical formula is derived to approximate the difference of the Nf values between the oxide samples that are immersed in H2O2 and UV irradiated from their measured SCM dC/dV spectra. Conversely, some physical mechanisms are proposed that result in the ionization of the SiO− species (which are converted from the neutral SiOH groups that originate from the pre-oxidation immersion in H2O2 and ensuing wet oxidation during the UV irradiation as well as the UV photo-injected electrons from the Si substrate (which did not interact with the SiOH groups. They constitute the source of mobile electrons which partially passivate the positively charged empty donor-like interface traps at the Si-SiO2 interface. Keywords: Dielectrics

  13. Effect of hydrostatic pressure on photoluminescence spectra from structures with Si nanocrystals fabricated in SiO2 matrix

    International Nuclear Information System (INIS)

    Zhuravlev, K.S.; Tyschenko, I.E.; Vandyshev, E.N.; Bulytova, N.V.; Misiuk, A.; Rebohle, L.; Skorupa, W.

    2002-01-01

    The effect of hydrostatic pressure applied at high temperature on photoluminescence of Si-implanted SiO 2 films was studied. A 'blue'-shift of PL spectrum from the SiO 2 films implanted with Si + ions to total dose of 1.2x10 17 cm -2 with increase in hydrostatic pressure was observed. For the films implanted with Si + ions to a total dose of 4.8x10 16 cm -2 high temperature annealing under high hydrostatic pressure (12 kbar) causes a 'red'-shift of photoluminescence spectrum. The 'red' photoluminescence bands are attributed to Si nanocrystals while the 'blue' ones are related to Si nanocrystals of reduced size or chains of silicon atoms or Si-Si defects. A decrease in size of Si nanocluster occurs in result of the pressure-induced decrease in the diffusion of silicon atoms. (author)

  14. Electrical properties of pseudo-single-crystalline Ge films grown by Au-induced layer exchange crystallization at 250 °C

    Science.gov (United States)

    Higashi, H.; Kudo, K.; Yamamoto, K.; Yamada, S.; Kanashima, T.; Tsunoda, I.; Nakashima, H.; Hamaya, K.

    2018-06-01

    We study the electrical properties of pseudo-single-crystalline Ge (PSC-Ge) films grown by a Au-induced layer exchange crystallization method at 250 °C. By inserting the SiNx layer between PSC-Ge and SiO2, we initiatively suppress the influence of the Ge/SiO2 interfacial defective layers, which have been reported in our previous works, on the electrical properties of the PSC-Ge layers. As a result, we can detect the influence of the ionized Au+ donors on the temperature-dependent hole concentration and Hall mobility. To further examine their electrical properties in detail, we also fabricate p-thin-film transistors (TFTs) with the PSC-Ge layer. Although the off-state leakage currents are suppressed by inserting the SiNx layer, the value of on/off ratio remains poor (leakage current although a nominal field effect mobility is enhanced up to ˜25 cm2/V s. Considering these features, we conclude that the Au contaminations into the PSC-Ge layer can affect the electrical properties and device performances despite a low-growth temperature of 250 °C. To achieve further high-performance p-TFTs, we have to suppress the Au contaminations into PSC-Ge during the Au-induced crystallization growth.

  15. Luminescence of Eu(3+) doped SiO2 Thin Films and Glass Prepared by Sol-gel Technology

    Science.gov (United States)

    Castro, Lymari; Jia, Weiyi; Wang, Yanyun; Santiago, Miguel; Liu, Huimin

    1998-01-01

    Trivalent europium ions are an important luminophore for lighting and display. The emission of (5)D0 to (7)F2 transition exhibits a red color at about 610 nm, which is very attractive and fulfills the requirement for most red-emitting phosphors including lamp and cathode ray phosphorescence materials. Various EU(3+) doped phosphors have been developed, and luminescence properties have been extensively studied. On the other hand, sol-gel technology has been well developed by chemists. In recent years, applications of this technology to optical materials have drawn a great attention. Sol-gel technology provides a unique way to obtain homogeneous composition distribution and uniform doping, and the processing temperature can be very low. In this work, EU(3+) doped SiO2 thin films and glasses were prepared by sol-gel technology and their spectroscopic properties were investigated.

  16. Optical and structural properties of CuSbS2 thin films grown by thermal evaporation method

    International Nuclear Information System (INIS)

    Rabhi, A.; Kanzari, M.; Rezig, B.

    2009-01-01

    Structural, optical and electrical properties of CuSbS 2 thin films grown by thermal evaporation have been studied relating the effects of substrate heating conditions of these properties. The CuSbS 2 thin films were carried out at substrate temperatures in the temperature range 100-200 deg. C . The structure and composition were characterized by XRD, SEM and EDX. X-ray diffraction revealed that the films are (111) oriented upon substrate temperature 170 deg. C and amorphous for the substrate temperatures below 170 deg. C . No secondary phases are observed for all the films. The optical absorption coefficients and band gaps of the films were estimated by optical transmission and reflection measurements at room temperature. Strong absorption coefficients in the range 10 5 -10 6 cm -1 at 500 nm were found. The direct gaps Eg lie between 0.91-1.89 eV range. It is observed that there is a decrease in optical band gap Eg with increasing the substrate temperature. Resistivity of 0.03-0.96 Ω cm, in dependence on substrate temperature was characterized. The all unheated films exhibit p-type conductivity. The characteristics reported here also offer perspective for CuSbS 2 as an absorber material in solar cells applications

  17. SiO2 Antireflection Coatings Fabricated by Electron-Beam Evaporation for Black Monocrystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Minghua Li

    2014-01-01

    Full Text Available In this work we prepared double-layer antireflection coatings (DARC by using the SiO2/SiNx:H heterostructure design. SiO2 thin films were deposited by electron-beam evaporation on the conventional solar cell with SiNx:H single-layer antireflection coatings (SARC, while to avoid the coverage of SiO2 on the front side busbars, a steel mask was utilized as the shelter. The thickness of the SiNx:H as bottom layer was fixed at 80 nm, and the varied thicknesses of the SiO2 as top layer were 105 nm and 122 nm. The results show that the SiO2/SiNx:H DARC have a much lower reflectance and higher external quantum efficiency (EQE in short wavelengths compared with the SiNx:H SARC. A higher energy conversion efficiency of 17.80% was obtained for solar cells with SiO2 (105 nm/SiNx:H (80 nm DARC, an absolute conversion efficiency increase of 0.32% compared with the conventional single SiNx:H-coated cells.

  18. The ceramic SiO2 and SiO2-TiO2 coatings on biomedical Ti6Al4VELI titanium alloy

    International Nuclear Information System (INIS)

    Surowska, B.; Walczak, M.; Bienias, J.

    2004-01-01

    The paper presents the study of intermediate SiO 2 and SiO 2 -TiO 2 sol-gel coatings and dental porcelain coatings on Ti6Al4VELI titanium alloy. Surface microstructures and wear behaviour by pin-on-disc method of the ceramic coatings were investigated. The analysis revealed: (1) a compact, homogeneous SiO 2 and SiO 2 -TiO 2 coating and (2) that intermediate coatings may provide a durable joint between metal and porcelain, and (3) that dental porcelain on SiO 2 and TiO 2 coatings shows high wear resistance. (author)

  19. Effect of annealing on structural and optical properties of Cu_2ZnSnS_4 thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Surgina, G.D.; Nevolin, V.N.; Sipaylo, I.P.; Teterin, P.E.; Medvedeva, S.S.; Lebedinsky, Yu.Yu.; Zenkevich, A.V.

    2015-01-01

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu_2ZnSnS_4 (CZTS) thin films grown by reactive Pulsed Laser Deposition in H_2S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N_2 at the optimized conditions. - Highlights: • Cu_2ZnSnS_4 (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H_2S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N_2 effectively inhibits the formation of Sn_xS secondary phases.

  20. Growth of Bi 12SiO 20 single crystals by the pulling-down method with continuous feeding

    Science.gov (United States)

    Maida, Shigeru; Higuchi, Mikio; Kodaira, Kohei

    1999-09-01

    Bi 12SiO 20 single crystals were successfully grown by the pulling-down method with continuous feeding. As-grown crystals were amber in color and transparent, and had no cracks or inclusions. A crystal with homogeneous composition was obtained from Bi-rich feed powder having a composition of 14.1 mol% SiO 2, whereas precipitates of Bi 4Si 3O 12 were observed on the surface of a crystal grown with stoichiometric powder. The shape of the solid-liquid interface during the crystal growth was estimated to be almost flat, which was favorable to avoid core formation. Average dislocation density was 4×10 3/cm 2, which was comparable to that of Bi 12SiO 20 crystals grown by the Czochralski method.

  1. The influence of post-deposition annealing on the structure, morphology and luminescence properties of pulsed laser deposited La0.5Gd1.5SiO5 doped Dy3+ thin films

    Science.gov (United States)

    Ogugua, Simon N.; Swart, Hendrik C.; Ntwaeaborwa, Odireleng M.

    2018-04-01

    The influence of post-deposition annealing on the structure, particle morphology and photoluminescence properties of dysprosium (Dy3+) doped La0.5Gd1.5SiO5 thin films grown on Si(111) substrates at different substrate temperatures using pulsed laser deposition (PLD) technique were studied. The X-ray diffractometer results showed an improved crystallinity after post-annealing. The topography and morphology of the post-annealed films were studied using atomic force microscopy and field emission scanning electron microscopy respectively. The elemental composition in the surface region of the films were analyzed using energy dispersive X-ray spectroscopy. The photoluminescence studies showed an improved luminescent after post-annealing. The cathodoluminescence properties of the films are also reported. The CIE colour coordinates calculated from the photoluminescence and cathodoluminescence data suggest that the films can have potential application in white light emitting diode (LED) and field emission display (FED) applications.

  2. Transfer free graphene growth on SiO2 substrate at 250 °C

    Science.gov (United States)

    Vishwakarma, Riteshkumar; Rosmi, Mohamad Saufi; Takahashi, Kazunari; Wakamatsu, Yuji; Yaakob, Yazid; Araby, Mona Ibrahim; Kalita, Golap; Kitazawa, Masashi; Tanemura, Masaki

    2017-03-01

    Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

  3. Structural, electrical, and optical properties of polycrystalline NbO_2 thin films grown on glass substrates by solid phase crystallization

    International Nuclear Information System (INIS)

    Nakao, Shoichiro; Kamisaka, Hideyuki; Hirose, Yasushi; Hasegawa, Tetsuya

    2017-01-01

    We investigated the structural, electrical, and optical properties of polycrystalline NbO_2 thin films on glass substrates. The NbO_2 films were crystallized from amorphous precursor films grown by pulsed laser deposition at various oxygen partial pressures (P_O_2). The electrical and optical properties of the precursor films systematically changed with P_O_2, demonstrating that the oxygen content of the precursor films can be finely controlled with P_O_2. The precursors were crystallized into polycrystalline NbO_2 films by annealing under vacuum at 600 C. The NbO_2 films possessed extremely flat surfaces with branching patterns. Even optimized films showed a low resistivity (ρ) of 2 x 10"2 Ω cm, which is much lower than the bulk value of 1 x 10"4 Ω cm, probably because of the inferior crystallinity of the films compared with that of a bulk NbO_2 crystal. Both oxygen-rich and -poor NbO_2 films showed lower ρ than that of the stoichiometric film. The NbO_2 film with the highest ρ showed an indirect bandgap of 0.7 eV. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  5. Enhanced formation of Ge nanocrystals in Ge : SiO2 layers by swift heavy ions

    International Nuclear Information System (INIS)

    Antonova, I V; Volodin, V A; Marin, D M; Skuratov, V A; Smagulova, S A; Janse van Vuuren, A; Neethling, J; Jedrzejewski, J; Balberg, I

    2012-01-01

    In this paper we report the ability of swift heavy Xe ions with an energy of 480 MeV and a fluence of 10 12 cm -2 to enhance the formation of Ge nanocrystals within SiO 2 layers with variable Ge contents. These Ge-SiO 2 films were fabricated by the co-sputtering of Ge and quartz sources which followed various annealing procedures. In particular, we found that the irradiation of the Ge : SiO 2 films with subsequent annealing at 500 °C leads to the formation of a high concentration of nanocrystals (NCs) with a size of 2-5 nm, whereas without irradiation only amorphous inclusions were observed. This effect, as evidenced by Raman spectra, is enhanced by pre-irradiation at 550 °C and post-irradiation annealing at 600 °C, which also leads to the observation of room temperature visible photoluminescence. (paper)

  6. Suppressing Structural Colors of Photocatalytic Optical Coatings on Glass: The Critical Role of SiO2.

    Science.gov (United States)

    Li, Ronghua; Boudot, Mickael; Boissière, Cédric; Grosso, David; Faustini, Marco

    2017-04-26

    The appearance of structural colors on coated-glass is a critical esthetical drawback toward industrialization of photocatalytic coatings on windows for architecture or automobile. Herein we describe a rational approach to suppress the structural color of mesoporous TiO 2 -based coatings preserving photoactivity and mechanical stiffness. Addition of SiO 2 as third component is discussed. Ti x Si (1-x) O 2 mesoporous coatings were fabricated by one-step liquid deposition process through the evaporation induced self-assembling and characterized by GI-SAXS, GI-WAXS, electron microscopies, and in situ Environmental Ellipsometry Porosimetry. Guided by optical simulation, we investigated the critical role of SiO 2 on the optical responses of the films but also on the structural, mechanical, and photocatalytic properties, important requirements to go toward real applications. We demonstrate that adding SiO 2 to porous TiO 2 allows tuning and suppression of structural colors through refractive index matching and up to 160% increase in mechanical stiffening of the films. This study leads us to demonstrate an example of "invisible" coating, in which the light reflection is angle- and thickness-independent, and exhibiting high porosity, mechanical stiffness, and photoactivity.

  7. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  8. Growth behavior of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films on graphene substrate grown by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Kang, Min A.; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kang, Seong Gu [School of Electrical Engineering and Computer Science, Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-03-15

    A comparative study of the substrate effect on the growth mechanism of chalcogenide Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was carried out. Obvious microstructural discrepancy in both the as-deposited Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was observed when grown on graphene or SiO{sub 2}/Si substrate. Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films deposited on the graphene substrate were observed to be grown epitaxially along c-axis and show very smooth surface compared to that on SiO{sub 2}/Si substrate. Based on the experimental results of this study, the initial adsorption sites on graphene substrate during deposition process, which had been discussed theoretically, could be demonstrated empirically. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    Science.gov (United States)

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  10. Magnetic and optical properties of MgAl2O4-(Ni0.5Zn0.5Fe2O4 thin films prepared by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Takeshi Misu, Naonori Sakamoto, Kazuo Shinozaki, Nobuyasu Adachi, Hisao Suzuki and Naoki Wakiya

    2011-01-01

    Full Text Available Thin films composed of MgAl2O4 and (Ni0.5Zn0.5Fe2O4 ([MA(100-x-NZFx] films were grown on fused SiO2 substrates by pulsed laser deposition. X-ray diffraction measurements revealed that the films were polycrystalline, and that their lattice constant varied linearly with composition, indicating the formation of a solid solution. The film with x=60 was paramagnetic and those with x ≥ 70 were ferromagnetic. The films had a transparency above 75% in the visible range, but the transparency decreased with the x value. The optical band gaps were 2.95, 2.55, 2.30 and 1.89 eV for x=20, 40, 60, 80 and 100, respectively. The Faraday rotation angle increased with x in the visible range, and the film with x=70 exhibited a value of 2000 degrees cm-1 at 570 nm, which is comparable to the rotation angle of Y3Fe5O12. Owing to their high transparency, which extends into the visible range, the [MA(100-x-NZFx] films can be used in novel magneto-optical devices.

  11. Growth and characterization of nitrogen-doped TiO2 thin films prepared by reactive pulsed laser deposition

    International Nuclear Information System (INIS)

    Sauthier, G.; Ferrer, F.J.; Figueras, A.; Gyoergy, E.

    2010-01-01

    Nitrogen-doped titanium dioxide (TiO 2 ) thin films were grown on (001) SiO 2 substrates by reactive pulsed laser deposition. A KrF* excimer laser source (λ = 248 nm, τ FWHM ≅ 10 ns, ν = 10 Hz) was used for the irradiations of pressed powder targets composed by both anatase and rutile phase TiO 2 . The experiments were performed in a controlled reactive atmosphere consisting of oxygen or mixtures of oxygen and nitrogen gases. The obtained thin film crystal structure was investigated by X-ray diffraction, while their chemical composition as well as chemical bonding states between the elements were studied by X-ray photoelectron spectroscopy. An interrelation was found between nitrogen concentration, crystalline structure, bonding states between the elements, and the formation of titanium oxinitride compounds. Moreover, as a result of the nitrogen incorporation in the films a continuous red-shift of the optical absorption edge accompanied by absorption in the visible spectral range between 400 and 500 nm wavelength was observed.

  12. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  13. The improvement of SiO2 nanotubes electrochemical behavior by hydrogen atmosphere thermal treatment

    Science.gov (United States)

    Spataru, Nicolae; Anastasescu, Crina; Radu, Mihai Marian; Balint, Ioan; Negrila, Catalin; Spataru, Tanta; Fujishima, Akira

    2018-06-01

    Highly defected SiO2 nanotubes (SiO2-NT) were obtained by a simple sol-gel procedure followed by calcination. Boron-doped diamond (BDD) polycrystalline films coated with SiO2-NT were used as working electrodes and, unexpectedly, cyclic voltammetric experiments have shown that the concentration of both positive and negative defects at the surface is high enough to enable redox processes involving positively charged Ru(bpy)32+/3+ to occur. Conversely, no electrochemical activity was put into evidence for Fe(CN)63-/4- species, most likely as a result of the strong electrostatic repulsion exerted by the negatively charged SiO2 surface. The concentration of surface defects was further increased by a subsequent thermal treatment in a hydrogen atmosphere which, as EIS measurements have shown, significantly promotes Ru(bpy)32+ anodic oxidation. Digital simulation of the voltammetric responses demonstrated that this treatment does not lead to a similar increase of the number of electron-donor sites. It was also found that methanol anodic oxidation at hydrogenated SiO2-NT-supported platinum results in Tafel slopes of 116-220 mV decade-1, comparable to those reported for both conventional PtRu and Pt-oxide catalysts.

  14. SiO2-supported Pt particles studied by electron microscopy

    International Nuclear Information System (INIS)

    Wang, D.; Penner, S.; Su, D.S.; Rupprechter, G.; Hayek, K.; Schloegl, R.

    2003-01-01

    Regularly grown Pt particles supported by amorphous SiO 2 were heated in hydrogen at 873 K after an oxidising treatment. The morphological and structural changes were studied by electron microscopy. Platinum silicides Pt 3 Si with L1 2 (Cu 3 Au) structure, monoclinic Pt 3 Si and tetragonal Pt 12 Si 5 were identified after the treatment. The mechanisms of coalescence of the particles and the formation of irregular large particles are suggested. A topotactic structural transformation accompanied with the migration of Si from the substrate to the particles are suggested to take place during Pt 3 Si formation

  15. Thin film circuits for future applications. Pt. 2. Evaporation technique

    Energy Technology Data Exchange (ETDEWEB)

    Haug, G; Houska, K H; Schmidt, H J; Sprengel, H P; Wohak, K

    1976-06-01

    Investigations of thin film diffusion processes and reactions with encapsulation materials resulted in improved long term stability of evaporated NiCr resistors, SiO capacitors and NiCr/Au conductors for thin film circuits. Stable NiCr resistor networks can be formed on ceramic substrates, and SiO capacitors of good quality can be deposited on the new very smooth ceramic substrates. The knowledge of the influence of evaporation parameters make the production of SiO capacitors with definite properties and good reproducibility possible. The range of capacitance of tantalum thin film circuits can be extended by integration with evaporated SiO capacitors.

  16. Influence of ion bombardment on structural and electrical properties of SiO2 thin films deposited from O2/HMDSO inductively coupled plasmas under continuous wave and pulsed modes

    International Nuclear Information System (INIS)

    Bousquet, A.; Goullet, A.; Leteinturier, C.; Granier, A.; Coulon, N.

    2008-01-01

    Low pressure Plasma Enhanced Chemical Vapour Deposition is commonly used to deposit insulators on temperature sensitive substrates. In these processes, the ion bombardment experienced by films during its growth is known to have benefits but also some disadvantages on material properties. In the present paper, we investigate the influence of this bombardment on the structure and the electrical properties of SiO 2 -like film deposited from oxygen/hexa-methyl-di-siloxane radiofrequency plasma in continuous and pulsed modes. First, we studied the ion kinetics thanks to time-resolved measurements by Langmuir probe. After, we showed the ion bombardment in such plasma controls the OH bond content in deposited films. Finally, we highlight the impressive reduction of fixed charge and interface state densities in films obtained in pulsed mode due to a lower ion bombardment. (authors)

  17. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Study of hydrogen interaction with SiO2/Si(100) system using positrons

    International Nuclear Information System (INIS)

    Asoka-Kumar, P.; Lynn, K.G.; Leung, T.C.; Nielsen, B.; Wu, X.Y.

    1991-01-01

    We describe positron annihilation studies of SiO 2 /Si(100) structures having 100-nm-thick oxide grown by plasma enhanced chemical vapor deposition. A normalized shape parameter is used to characterize the positron annihilation spectra. Activation and passivation of interface states by atomic hydrogen are demonstrated by repeated vacuum anneal and atomic hydrogen exposure. Hydrogen activation energy is derived for one of the samples as 2.02±0.07 eV

  19. Effect of plasma immersion on crystallinity of V2O5 film grown by dc reactive sputtering at room temperature

    International Nuclear Information System (INIS)

    Choi, Sun Hee; Kim, Joosun; Yoon, Young Soo

    2005-01-01

    Vanadium oxide thin films were grown at room temperature by direct current reactive sputtering. To investigate the effect of plasma immersion on the crystallinity of as-grown film, we immersed samples in plasma during the deposition process. X-ray diffraction (XRD) measurements show that as-deposited thin films immersed in plasma are crystalline, whereas those not immersed in the plasma are amorphous. Images taken with scanning electron microscopy show that the surface of films exposed to plasma have a different morphology to the surface of films not exposed to plasma. The Li-intercalation feature of as-deposited films immersed in plasma shows the typical behavior of crystalline vanadium oxide; such behavior is unsuitable for the cathode of thin film batteries (TFBs). These results indicate that direct current plasma promotes the growth of crystalline vanadium oxide films

  20. Static and dynamic magnetic properties of B2 ordered Co2MnAl film epitaxially grown on GaAs

    International Nuclear Information System (INIS)

    Liu, Jihong; Qiao, Shuang

    2015-01-01

    Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. However, on the premise of high polarization, the optimization of the magnetic damping constant is directly determined the critical current density for spin torque transfer switching and also the stability of spin polarization for spin injection transfer, thus research on damping constant is also very important. In this paper, we have systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by FMR and TR-MOKE measurements, and found that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. While, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may provide important information for Co 2 MnAl/GaAs heterostructure and its potential application in spintronics. - Graphical abstract: Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. In this paper, we have successfully grown the B2-ordered Co 2 MnAl film on GaAs (100) substrate and systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by employing both FMR and TR-MOKE measurements. Our results show that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. However, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may be more useful for Co 2 MnAl/GaAs heterostructure and its possible application in spintronics. - Highlights: • B2 ordered Co 2 MnAl was successfully prepared and studied by LMOKE and ROT-MOKE. • Static magnetic measurements show clear cubic anisotropy with K C of 5.0 × 10 4

  1. Electrical and structural properties of CaF sub 2 films

    CERN Document Server

    Kim, D Y; Yi, J S

    1999-01-01

    Fluoride films have many practical applications such as gate insulators for thin-film transistors(TFTs), anti-reflection coatings, and optical waveguides. We have investigated fluoride films as gate insulators for TFT applications. Most of gate oxide films of TFTs, like SiO sub 2 , Ta sub 2 O sub 5 , Al sub 2 O sub 3 , and SiO sub x , exhibited problems with the trap charge density, lattice mismatch, and interface states, As a way of circumventing these problems in conventional gate insulators, we investigated CaF sub 2 which has a low interface trap charge density and lattice constant similar to that of the Si surface. We were able to achieve almost epitaxial CaF sub 2 film growth in the (200) plane on a (100) p-type Si substrate. Investigations of the structural properties of CaF sub 2 films for various substrate temperatures resulted in the best lattice mismatch of 0.7 % and an average surface roughness of 8.4 A. The C-V results for the Metal-Insulator-Semiconductor (MIS) structure of the CaF sub 2 films s...

  2. Effect of oxide charge trapping on x-ray photoelectron spectroscopy of HfO2/SiO2/Si structures

    International Nuclear Information System (INIS)

    Abe, Yasuhiro; Miyata, Noriyuki; Suzuki, Haruhiko; Kitamura, Koji; Igarashi, Satoru; Nohira, Hiroshi; Ikenaga, Eiji

    2009-01-01

    We examined the effects of interfacial SiO 2 layers and a surface metal layer on the photoelectron spectra of HfO 2 /SiO 2 /Si structures by hard X-ray photoemission spectroscopy with synchrotron radiation as well as conventional X-ray photoelectron spectroscopy (XPS). The Hf 4f and Hf 3d photoelectron peaks broadened and shifted toward a higher binding energy with increasing thickness of the interfacial SiO 2 layer, even though photoelectrons may have been emitted from the HfO 2 layer with the same chemical composition. Thinning the interfacial Si oxide layer to approximately one monolayer and depositing a metal layer on the HfO 2 surface suppressed these phenomena. The O 1s photoelectron spectra revealed marked differences between the metal- and nonmetal-deposited HfO 2 /SiO 2 /Si structures; HfO 2 and SiO 2 components in the O 1s photoelectron spectra for the metal-deposited structures were observed at reasonably separated binding energies, but those for the nonmetal-deposited structures were not separated clearly. From this behavior concerning the effects of interfacial SiO 2 and surface metal layers, we concluded that the Hf 4f, Hf 3d, and O 1s spectra measured from the HfO 2 /SiO 2 /Si structures did not reflect actual chemical bonding states. We consider that potential variations in the HfO 2 film owing to charge trapping strongly affect the measured photoelectron spectra. On the basis of angle-resolved XPS measurements, we propose that positive charges are trapped at the HfO 2 surface and negative charges are trapped inside the HfO 2 layer. (author)

  3. Visible Light Excited Catalysis and Reusability Performances of TiO2@Pr:Y2SiO5 Upconversion Materials

    Directory of Open Access Journals (Sweden)

    Yan Jiao

    2017-01-01

    Full Text Available To get high efficiency photodegradation on pollutants under visible light, Pr(III doped Y2SiO5 upconversion materials and anatase TiO2 nanofilm coated Pr:Y2SiO5 composite have been prepared by using a sol-gel method. XRD and SEM test results indicated that TiO2 nanofilm was well coated on Pr:Y2SiO5 to form TiO2@Pr:Y2SiO5 composite particles with the sizes of 0.5–1.0 μm. To avoid secondary pollution resulting from incomplete recovery of catalyst particles, TiO2@Pr:Y2SiO5 was loaded on the glass fiber filters by using a dip-coating method. It is found that the catalyst particles were embedded into the carrier firmly, even after having been reused for 6 times. The luminescence intensities of TiO2@Pr:Y2SiO5 were getting down sharply with the coating contents of TiO2 increased, which was attributed to the adsorption of the luminescence by the TiO2 film in situ. As a result, TiO2@Pr:Y2SiO5 with 4% TiO2, which presented lowest luminescence intensity, showed the highest efficiency on the photodegradation of nitrobenzene wastewater. The catalysts loaded on glass fiber filters showed excellent reusability on the photodegradation of nitrobenzene and presented a photodegradation rate of 95% at the first time and up to 75.9% even after 6 times of reusing by the treatment time of 12 h.

  4. Structural and morphological properties of ITO thin films grown by magnetron sputtering

    Science.gov (United States)

    Ghorannevis, Z.; Akbarnejad, E.; Ghoranneviss, M.

    2015-10-01

    Physical properties of transparent and conducting indium tin oxide (ITO) thin films grown by radiofrequency (RF) magnetron sputtering are studied systematically by changing deposition time. The X-ray diffraction (XRD) data indicate polycrystalline thin films with grain orientations predominantly along the (2 2 2) and (4 0 0) directions. From atomic force microscopy (AFM) it is found that by increasing the deposition time, the roughness of the film increases. Scanning electron microscopy (SEM) images show a network of a high-porosity interconnected nanoparticles, which approximately have a pore size ranging between 20 and 30 nm. Optical measurements suggest an average transmission of 80 % for the ITO films. Sheet resistances are investigated using four-point probes, which imply that by increasing the film thickness the resistivities of the films decrease to 2.43 × 10-5 Ω cm.

  5. Role of yttria-stabilized zirconia produced by ion-beam-assisted deposition on the properties of RuO2 on SiO2/Si

    International Nuclear Information System (INIS)

    Jia, Q.X.; Arendt, P.; Groves, J.R.; Fan, Y.; Roper, J.M.; Foltyn, S.R.

    1998-01-01

    Highly conductive biaxially textured RuO 2 thin films were deposited on technically important SiO 2 /Si substrates by pulsed laser deposition, where yttria-stabilized zirconia (YSZ) produced by ion-beam-assisted-deposition (IBAD) was used as a template to enhance the biaxial texture of RuO 2 on SiO 2 /Si. The biaxially oriented RuO 2 had a room-temperature resistivity of 37 μΩ-cm and residual resistivity ratio above 2. We then deposited Ba 0.5 Sr 0.5 TiO 3 thin films on RuO 2 /IBAD-YSZ/SiO 2 /Si. The Ba 0.5 Sr 0.5 TiO 3 had a pure (111) orientation normal to the substrate surface and a dielectric constant above 360 at 100 kHz. copyright 1998 Materials Research Society

  6. Hydrogen and chlorine detection at the SiO2/Si interface

    International Nuclear Information System (INIS)

    Tsong, I.S.T.; Monkowski, M.D.; Monkowski, J.R.; Wintenberg, A.L.; Miller, P.D.; Moak, C.D.

    1981-01-01

    Hydrogen and chlorine depth profiles were obtained on a series of silicon oxides thermally grown in HCl/O 2 and Cl 2 /O 2 ambients at 1100 0 C for 15 minutes using the 19 F nuclear reaction and SIMS techniques. The data show close correlation between the H and Cl profiles in both the HCl/O 2 and Cl 2 /O 2 oxides. While the H and Cl appear to be enriched at the SiO 2 /Si interface of the HCl/O 2 oxides, they are higher in concentration and more evenly distributed in the oxide bulk of the Cl 2 /O 2 oxides

  7. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  8. Study of dilution of Spin-On Glass by Fourier transform infrared spectroscopy

    International Nuclear Information System (INIS)

    Dominguez, Miguel; Rosales, Pedro; Torres, Alfonso; Moreno, Mario; Orduña, Abdu

    2012-01-01

    In this work, we study the dilution of Spin-On Glass (SOG) in order to obtain high quality SiO 2 films at 200 °C, with optical and electrical characteristics similar to those of the thermally grown SiO 2 . For the production of SiO 2 films we used 2-propanol and deionized water (DI) as diluents for the SOG and we compared the electrical and optical film properties with those of the films obtained from undiluted SOG. From Fourier transform infrared spectroscopy we observed a considerable reduction of Si-OH (920 cm −1 ), O-H (3490 cm −1 ) and C-H, C-O bonds (1139 cm −1 ) in the films produced from SOG diluted with DI. Besides the above, the insulator breakdown field was approximately 21 MV/cm, the refractive index and the dielectric constant were close to those of the thermally grown SiO 2 . Our results suggest that the film produced from SOG diluted with DI and cured at 200 °C is an excellent candidate to be used as insulator on flexible and large-area electronics. - Highlights: ► Preparation of high quality silicon oxide (SiO 2 ) films at 200 °C. ► Dilution of Spin-On Glass (SOG) solution was studied. ► Dilution of SOG is necessary to obtain high quality films annealed at 200 °C. ► n and k are close to those of the thermally grown SiO 2 .

  9. Superhydrophobicity and regeneration of PVDF/SiO2 composite films

    Science.gov (United States)

    Liu, Tao; Li, Xianfeng; Wang, Daohui; Huang, Qinglin; Liu, Zhen; Li, Nana; Xiao, Changfa

    2017-02-01

    Superhydrophobicity of polymers is easily destroyed by careless touching due to the softness of microstructures. In this study, based on a well-constructed polyvinylidene fluoride (PVDF) surface, a novel superhydrophobic PVDF/SiO2 composite film was fabricated by adding hydrophobic SiO2 nanoparticle and solvent into a coagulation bath. The water contact angle of the composite film reached 162.3° and the sliding angle was as low as 1.5°. More importantly, the composite film could be regenerated only through immersing the composite film in the designed regeneration agent. The composition of the designed regeneration agent ensured that SiO2 nanoparticles were firmly adhered on the film surface even under the ultrasonic cleaning. Hence, the superhydrophobicity and self-cleaing property could be regenerated and maintained effectively, and moreover, these propeties could resist a proper pressure. In addition, after many rubbing-regenerating cycles, the regeneration method was still valid.

  10. Structural features of epitaxial NiFe2O4 thin films grown on different substrates by direct liquid injection chemical vapor deposition

    Science.gov (United States)

    Datta, R.; Loukya, B.; Li, N.; Gupta, A.

    2012-04-01

    NiFe2O4 (NFO) thin films are grown on four different substrates, i.e., Lead Zinc Niobate-Lead Titanate (PZN-PT), Lead Magnesium Niobate-Lead Titanate (PMN-PT), MgAl2O4 (MAO) and SrTiO3 (STO), by a direct liquid injection chemical vapor deposition technique (DLI-CVD) under optimum growth conditions where relatively high growth rate (˜20 nm/min), smooth surface morphology and high saturation magnetization values in the range of 260-290 emu/ cm3 are obtained. The NFO films with correct stoichiometry (Ni:Fe=1:2) grow epitaxially on all four substrates, as confirmed by energy dispersive X-ray spectroscopy, transmission electron microscopy and x-ray diffraction. While the films on PMN-PT and PZN-PT substrates are partially strained, essentially complete strain relaxation occurs for films grown on MAO and STO. The formations of threading dislocations along with dark diffused contrast areas related to antiphase domains having a different cation ordering are observed on all four substrates. These crystal defects are correlated with lattice mismatch between the film and substrate and result in changes in magnetic properties of the films. Atomic resolution HAADF imaging and EDX line profiles show formation of a sharp interface between the film and the substrate with no inter-diffusion of Pb or other elements across the interface. Antiphase domains are observed to originate at the film-substrate interface.

  11. Ferroelectric properties of barium strontium titanate thin films grown by RF co-sputtering

    International Nuclear Information System (INIS)

    Zapata-Navarro, A.; Marquez-Herrera, A.; Cruz-Jauregui, M.P.; Calzada, M.L.

    2005-01-01

    In this work, we present the variation of the ferroelectric properties of Ba 1-x Sr x TiO 3 films deposited on Pt/TiO 2 /SiO 2 /Si substrates by RF co-sputtering with 0≤x≤1. The co-sputtering was done using a single magnetron with BaTiO 3 /SrTiO 3 targets in a pie mosaics configuration. Smooth and uniform films were obtained using the same conditions of growth and annealing temperature. The X-ray diffraction and EDS results show that the processes were managed to obtain crystalline materials with x from 0 to 1. The behaviour of P-E loops suggests that the ferroelectric properties of the films were tuned by changing the concentration of the cation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Influence of Sn incorporation on the properties of CuInS2 thin films grown by vacuum evaporation method

    International Nuclear Information System (INIS)

    Zribi, M.; Rabeh, M. Ben; Brini, R.; Kanzari, M.; Rezig, B.

    2006-01-01

    Structural, morphological and optical properties of Sn-doped CuInS 2 thin films grown by double source thermal evaporation method were studied. Firstly, the films were annealed in vacuum after evaporation from 250 to 500 deg. C for Sn deposition time equal to 3 min. Secondly, the films deposited for several Sn evaporation times were annealed in vacuum after evaporation at 500 deg. C. The X-ray diffraction spectra indicated that polycrystalline Sn-doped CuInS 2 films were obtained and no Sn binary or ternary phases are observed for the Sn evaporation times equal to 5 min. Scanning electron microscopy observation revealed the decrease of the surface crystallinity with increasing the Sn evaporation times and the annealing temperatures. The Sn-doped samples after annealing have bandgap energy of 1.42-1.50 eV. Furthermore, we found that the Sn-doped CuInS 2 thin films exhibit N-type conductivity after annealing

  13. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Karuppasamy, A., E-mail: karuppasamy@psnacet.edu.in

    2015-12-30

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO{sub 3} (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO{sub 3}) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O{sub 2} atmosphere. Ti:WO{sub 3} thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10{sup −3}–5.0 × 10{sup −3} mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm{sup 2}) and tungsten (3 W/cm{sup 2}) were kept constant. Ti:WO{sub 3} films deposited at an oxygen pressure of 5 × 10{sup −3} mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm{sup 2}/C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm{sup 2}, Qa: 17.72 mC/cm{sup 2}), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO{sub 3} films.

  14. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    International Nuclear Information System (INIS)

    Karuppasamy, A.

    2015-01-01

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO 3 (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO 3 ) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O 2 atmosphere. Ti:WO 3 thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10 −3 –5.0 × 10 −3 mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm 2 ) and tungsten (3 W/cm 2 ) were kept constant. Ti:WO 3 films deposited at an oxygen pressure of 5 × 10 −3 mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm 2 /C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm 2 , Qa: 17.72 mC/cm 2 ), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO 3 films.

  15. Structural, electrical, and optical properties of polycrystalline NbO{sub 2} thin films grown on glass substrates by solid phase crystallization

    Energy Technology Data Exchange (ETDEWEB)

    Nakao, Shoichiro [Kanagawa Academy of Science and Technology (KAST), Kawasaki (Japan); Kamisaka, Hideyuki [Department of Chemistry, The University of Tokyo (Japan); Hirose, Yasushi; Hasegawa, Tetsuya [Kanagawa Academy of Science and Technology (KAST), Kawasaki (Japan); Department of Chemistry, The University of Tokyo (Japan)

    2017-03-15

    We investigated the structural, electrical, and optical properties of polycrystalline NbO{sub 2} thin films on glass substrates. The NbO{sub 2} films were crystallized from amorphous precursor films grown by pulsed laser deposition at various oxygen partial pressures (P{sub O2}). The electrical and optical properties of the precursor films systematically changed with P{sub O2}, demonstrating that the oxygen content of the precursor films can be finely controlled with P{sub O2}. The precursors were crystallized into polycrystalline NbO{sub 2} films by annealing under vacuum at 600 C. The NbO{sub 2} films possessed extremely flat surfaces with branching patterns. Even optimized films showed a low resistivity (ρ) of 2 x 10{sup 2} Ω cm, which is much lower than the bulk value of 1 x 10{sup 4} Ω cm, probably because of the inferior crystallinity of the films compared with that of a bulk NbO{sub 2} crystal. Both oxygen-rich and -poor NbO{sub 2} films showed lower ρ than that of the stoichiometric film. The NbO{sub 2} film with the highest ρ showed an indirect bandgap of 0.7 eV. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides

    Science.gov (United States)

    Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    2000-05-01

    We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.

  17. Formation of Pentacene wetting layer on the SiO2 surface and charge trap in the wetting layer

    International Nuclear Information System (INIS)

    Kim, Chaeho; Jeon, D.

    2008-01-01

    We studied the early-stage growth of vacuum-evaporated pentacene film on a native SiO 2 surface using atomic force microscopy and in-situ spectroscopic ellipsometry. Pentacene deposition prompted an immediate change in the ellipsometry spectra, but atomic force microscopy images of the early stage films did not show a pentacene-related morphology other than the decrease in the surface roughness. This suggested that a thin pentacene wetting layer was formed by pentacene molecules lying on the surface before the crystalline islands nucleated. Growth simulation based on the in situ spectroscopic ellipsometry spectra supported this conclusion. Scanning capacitance microscopy measurement indicated the existence of trapped charges in the SiO 2 and pentacene wetting layer

  18. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  19. Pulsed ion-beam induced nucleation and growth of Ge nanocrystals on SiO2

    International Nuclear Information System (INIS)

    Stepina, N. P.; Dvurechenskii, A. V.; Armbrister, V. A.; Kesler, V. G.; Novikov, P. L.; Gutakovskii, A. K.; Kirienko, V. V.; Smagina, Zh. V.; Groetzschel, R.

    2007-01-01

    Pulsed low-energy (200 eV) ion-beam induced nucleation during Ge deposition on thin SiO 2 film was used to form dense homogeneous arrays of Ge nanocrystals. The ion-beam action is shown to stimulate the nucleation of Ge nanocrystals when being applied after thin Ge layer deposition. Temperature and flux variation was used to optimize the nanocrystal size and array density required for memory device. Kinetic Monte Carlo simulation shows that ion impacts open an additional channel of atom displacement from a nanocrystal onto SiO 2 surface. This results both in a decrease in the average nanocrystal size and in an increase in nanocrystal density

  20. Diffusion limited Cu and Au nanocrystal formation in thin film SiO2

    International Nuclear Information System (INIS)

    Johannessen, B.; Kluth, P.; Glover, C.J.; Foran, G.J.; Ridgway, M.C.

    2006-01-01

    Elemental Cu and Au nanocrystals (NCs) were produced by high-energy ion-implantations into amorphous silica (SiO 2 ) and subsequent thermal annealing. By a combination of X-ray diffraction (XRD) and cross-sectional transmission electron microscopy (XTEM) we confirm both NC species to have the bulk face-centered cubic phase and estimate their average diameter. We concentrate on the investigation of the concentration and size-dependent coordination number (China) of these matrix embedded NCs utilising extended X-ray absorption fine structure (EXAFS) spectroscopy. The CN is found to be suppressed compared to that of a bulk standard. The CN in Au NCs is found to be lower than that of Cu NCs in agreement with smaller average Au NC sizes. We explain this difference by the difference in diffusivity for the two atomic species in SiO 2

  1. Revelation of rutile phase by Raman scattering for enhanced photoelectrochemical performance of hydrothermally-grown anatase TiO2 film

    Science.gov (United States)

    Cho, Hsun-Wei; Liao, Kuo-Lun; Yang, Jih-Sheng; Wu, Jih-Jen

    2018-05-01

    Photoelectrochemical (PEC) performances of the anatase TiO2 films hydrothermally grown on the seeded fluorine-doped tin oxide (FTO) substrates are examined in this work. Structural characterizations of the TiO2 films were conducted using Raman scattering spectroscopy. Although there is no obvious rutile peak appearing, an asymmetrical peak centered at ∼399 cm-1 was observed in the Raman spectra of the TiO2 films deposited either on the low-temperature-formed seed layers or with low concentrations of Ti precursor. The asymmetrical Raman shift can be deconvoluted into the B1g mode of anatase and Eg mode of rutile TiO2 peaks centered at ∼399 cm-1 and ∼447 cm-1, respectively. Therefore, a minute quantity of rutile phase was inspected in the anatase film using Raman scattering spectroscopy. With the same light harvesting ability, we found that the PEC performance of the anatase TiO2 film was significantly enhanced as the minute quantity of rutile phase existing in the film. It is ascribed to the formation of the anatase/rutile heterojunction which is beneficial to the charge separation in the photoanode.

  2. Sol–gel hybrid membranes loaded with meso/macroporous SiO2, TiO2–P2O5 and SiO2–TiO2–P2O5 materials with high proton conductivity

    International Nuclear Information System (INIS)

    Castro, Yolanda; Mosa, Jadra; Aparicio, Mario; Pérez-Carrillo, Lourdes A.; Vílchez, Susana; Esquena, Jordi; Durán, Alicia

    2015-01-01

    In this work, highly conductive hybrid organic–inorganic membranes loaded with SiO 2 , TiO 2 –P 2 O 5 and SiO 2 –TiO 2 –P 2 O 5 meso/macroporous particles were prepared via a sol–gel process. Meso/macroporous particles were incorporated to hybrid membranes, for improving water retention and enhancing electrochemical performance. These particles with a polymodal pore size distribution were prepared by templating in highly concentrated emulsions, the particles showed a specific surface area between 50 m 2 /g (TiO 2 –P 2 O 5 ) and 300 m 2 /g (SiO 2 –TiO 2 –P 2 O 5 ). The particles were dispersed in a hybrid silica sol and further sprayed onto glass paper. The films were polymerized and sintered; those loaded with meso/macroporous particles had a homogenous distribution. High temperature proton conductivity measurements confirmed a high water retention. Conductivity of these materials is higher than that of Nafion ® at higher temperatures (120 °C) (2·10 −2  S/cm). This study provides processing guideline to achieve hybrid electrolytes for efficient conduction of protons due to their high surface area and porous structure. - Highlights: • Hybrid electrolyte with meso/macroporous particles were synthesized by sol–gel. • Depositions of hybrid solutions by spraying onto glass substrates were performed. • Proton conductivity was evaluated as a function of composition and porous structure

  3. ZnO film for application in surface acoustic wave device

    International Nuclear Information System (INIS)

    Du, X Y; Fu, Y Q; Tan, S C; Luo, J K; Flewitt, A J; Maeng, S; Kim, S H; Choi, Y J; Lee, D S; Park, N M; Park, J; Milne, W I

    2007-01-01

    High quality, c-axis oriented zinc oxide (ZnO) thin films were grown on silicon substrate using RF magnetron sputtering. Surface acoustic wave (SAW) devices were fabricated with different thickness of ZnO ranging from 1.2 to 5.5 μmUm and the frequency responses were characterized using a network analyzer. Thick ZnO films produce the strongest transmission and reflection signals from the SAW devices. The SAW propagation velocity is also strongly dependent on ZnO film thickness. The performance of the ZnO SAW devices could be improved with addition of a SiO 2 layer, in name of reflection signal amplitude and phase velocity of Rayleigh wave

  4. Properties of epitaxial Ba2YCu3O7-x films on LaAlO3(001) grown using optimized conditions

    International Nuclear Information System (INIS)

    Siegal, M.P.; Phillips, J.M.; van Dover, R.B.; Tiefel, T.H.; Marshall, J.H.; Carlson, D.J.

    1990-01-01

    The superconducting and structural properties of Ba 2 YCu 3 O 7-x (BYCO) films on LaAlO 3 (001) substrates can be improved by carefully optimizing the post-deposition annealing parameters. Films are grown by codeposition of BaF 2 , Y, and Cu in the correct stoichiometric ratio to within 1% of 2:1:3. Compositional deviations greater than ± 1% result in the degradation of film quality. Important annealing parameters include the ambient, annealing temperature, oxidation temperature, and duration of the anneal. Films are characterized for epitaxial quality (χ min ), morphology, critical temperature (T c ), sharpness of the superconducting transition (ΔT), and critical current density (J c ). The optimized films have relatively smooth morphology with χ min c > 90 K, ΔT c > 10 6 A/cm 2 in essentially zero magnetic field at 77 K

  5. Fabrication and characterization of Er+3 doped SiO2/SnO2 glass-ceramic thin films for planar waveguide applications

    Science.gov (United States)

    Guddala, S.; Chiappini, A.; Armellini, C.; Turell, S.; Righini, G. C.; Ferrari, M.; Narayana Rao, D.

    2015-02-01

    Glass-ceramics are a kind of two-phase materials constituted by nanocrystals embedded in a glass matrix and the respective volume fractions of crystalline and amorphous phase determine the properties of the glass-ceramics. Among these properties transparency is crucial in particular when confined structures, such as, dielectric optical waveguides, are considered. Moreover, the segregation of dopant rare-earth ions, like erbium, in low phonon energy crystalline medium makes these structures more promising in the development of waveguide amplifiers. Here we are proposing a new class of low phonon energy tin oxide semiconductor medium doped silicate based planar waveguides. Er3+ doped (100-x) SiO2-xSnO2 (x= 10, 20, 25 and 30mol%), glass-ceramic planar waveguide thin films were fabricated by a simple sol-gel processing and dip coating technique. XRD and HRTEM studies indicates the glass-ceramic phase of the film and the dispersion of ~4nm diameter of tin oxide nanocrystals in the amorphous phase of silica. The spectroscopic assessment indicates the distribution of the dopant erbium ions in the crystalline medium of tin oxide. The observed low losses, 0.5±0.2 dB/cm, at 1.54 μm communication wavelength makes them a quite promising material for the development of high gain integrated optical amplifiers.

  6. Cu2ZnSnS4 thin films grown by flash evaporation and subsequent annealing in Ar atmosphere

    International Nuclear Information System (INIS)

    Caballero, R.; Izquierdo-Roca, V.; Merino, J.M.; Friedrich, E.J.; Climent-Font, A.; Saucedo, E.; 2UB, Departament d'Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain))" data-affiliation=" (IREC, Catalonia Institute for Energy Research, C. Jardins de les Dones de Negre 1, Sant Adriá del Besòs, E-08930 Barcelona (Spain); IN2UB, Departament d'Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain))" >Pérez-Rodríguez, A.; León, M.

    2013-01-01

    A study of Cu 2 ZnSnS 4 thin films grown by flash evaporation and subsequently annealed in Ar atmosphere has been carried out. Prior to thin film deposition, Cu 2 ZnSnS 4 bulk compounds with stoichiometric and Zn-rich compositions were synthesized as evaporation sources. The characteristics of the bulk compounds and thin films were investigated by X-ray diffraction, Raman spectroscopy, scanning electron microscopy and elastic back scattering. Cu 2 ZnSnS 4 deposited films contain lower concentrations of Zn than the bulk compounds used as evaporation sources, which is related to a preferential Zn re-evaporation during the deposition process. The desired kesterite composition for solar cell applications was achieved by using a Zn-rich compound as the evaporation source plus a thermal treatment at 620 °C in Ar atmosphere. - Highlights: ► Cu 2 ZnSnS 4 (CZTS) thin films by flash evaporation + annealing in Ar atmosphere ► Difficulty of growing a single phase kesterite material ► X-ray diffraction and Raman spectroscopy to identify the different phases ► Importance of the starting film composition to get the desired CZTS material ► Annealing treatment to obtain the optimum material to be used for CZTS solar cells

  7. Magnetotransport effects of ultrathin Ni80Fe20 films probed in situ

    International Nuclear Information System (INIS)

    Krzyk, S; Schmidsfeld, A; Klaeui, M; Ruediger, U

    2010-01-01

    We investigated the magnetoresistance of Permalloy (Ni 80 Fe 20 ) films with thicknesses ranging from a single monolayer to 12 nm, grown on Al 2 O 3 , MgO and SiO 2 substrates. Growth and transport measurements were carried out at 80 K in UHV. Applying in-plane magnetic vector fields up to 100 mT, the magnetotransport properties were ascertained during growth. With increasing thickness the films exhibited a gradual transition from tunnelling magnetoresistance to anisotropic magnetoresistance. This corresponds to the evolution of the film structure from separated small islands to a network of interconnected grains, as well as the film's transition from superparamagnetic to ferromagnetic behaviour. Using an analysis based on a theoretical model of island growth, we found that the observed evolution of the magnetoresistance in the tunnelling regime originated from changes in the island size distribution during growth. Depending on the substrate material, significant differences in the magnetoresistance response in the transition regime between tunnelling magnetoresistance and anisotropic magnetoresistance were found. We attributed this to an increasingly pronounced island growth, and to a slower percolation process of Permalloy when comparing growth on SiO 2 , MgO and Al 2 O 3 substrates. The different growth characteristics resulted in a markedly earlier onset of both tunnelling magnetoresistance and anisotropic magnetoresistance for SiO 2 . For Al 2 O 3 in particular the growth mode results in a structure of the film containing two different contributions to ferromagnetism, which lead to two distinct coercive fields in the high thickness regime.

  8. Effect of annealing on structural and optical properties of Cu{sub 2}ZnSnS{sub 4} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Surgina, G.D., E-mail: silvereye@bk.ru [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation); Nevolin, V.N. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow 119991 (Russian Federation); Sipaylo, I.P.; Teterin, P.E. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Medvedeva, S.S. [Immanuel Kant Baltic Federal University, Kaliningrad 236041 (Russian Federation); Lebedinsky, Yu.Yu.; Zenkevich, A.V. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation)

    2015-11-02

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films grown by reactive Pulsed Laser Deposition in H{sub 2}S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N{sub 2} at the optimized conditions. - Highlights: • Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H{sub 2}S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N{sub 2} effectively inhibits the formation of Sn{sub x}S secondary phases.

  9. Influence of deposition temperature on the structural and morphological properties of Be{sub 3}N{sub 2} thin films grown by reactive laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Chale-Lara, F., E-mail: fabio_chale@yahoo.com.mx [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Apartado Postal 2681, Ensenada, Baja California, C.P. 22860 (Mexico); Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 14, Ensenada CP 22860, Baja California (Mexico); Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada-IPN, Unidad Altamira, Km. 14.5 Carretera Tampico-Puerto Industrial, Altamira, Tamaulipas (Mexico); Farias, M.H.; De la Cruz, W. [Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 14, Ensenada CP 22860, Baja California (Mexico); Zapata-Torres, M. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada-IPN, Legaria 694, Col. Irrigacion, Del. Miguel Hidalgo, Mexico D.F. (Mexico)

    2010-10-01

    Be{sub 3}N{sub 2} thin films have been grown on Si(1 1 1) substrates using the pulsed laser deposition method at different substrate temperatures: room temperature (RT), 200 deg. C, 400 deg. C, 600 deg. C and 700 deg. C. Additionally, two samples were deposited at RT and were annealed after deposition in situ at 600 deg. C and 700 deg. C. In order to obtain the stoichiometry of the samples, they have been characterized in situ by X-ray photoelectron (XPS) and reflection electron energy loss spectroscopy (REELS). The influence of the substrate temperature on the morphological and structural properties of the films was investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD). The results show that all prepared films presented the Be{sub 3}N{sub 2} stoichiometry. Formation of whiskers with diameters of 100-200 nm appears at the surface of the films prepared with a substrate temperature of 600 deg. C or 700 deg. C. However, the samples grown at RT and annealed at 600 deg. C or 700 deg. C do not show whiskers on the surface. The average root mean square (RMS) roughness and the average grain size of the samples grown with respect the substrate temperature is presented. The films grown with a substrate temperature between the room temperature to 400 deg. C, and the sample annealed in situ at 600 deg. C were amorphous; while the {alpha}Be{sub 3}N{sub 2} phase was presented on the samples with a substrate temperature of 600 deg. C, 700 deg. C and that deposited with the substrate at RT and annealed in situ at 700 deg. C.

  10. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  11. High thermal stability of abrupt SiO2/GaN interface with low interface state density

    Science.gov (United States)

    Truyen, Nguyen Xuan; Taoka, Noriyuki; Ohta, Akio; Makihara, Katsunori; Yamada, Hisashi; Takahashi, Tokio; Ikeda, Mitsuhisa; Shimizu, Mitsuaki; Miyazaki, Seiichi

    2018-04-01

    The effects of postdeposition annealing (PDA) on the interface properties of a SiO2/GaN structure formed by remote oxygen plasma-enhanced chemical vapor deposition (RP-CVD) were systematically investigated. X-ray photoelectron spectroscopy clarified that PDA in the temperature range from 600 to 800 °C has almost no effects on the chemical bonding features at the SiO2/GaN interface, and that positive charges exist at the interface, the density of which can be reduced by PDA at 800 °C. The capacitance-voltage (C-V) and current density-SiO2 electric field characteristics of the GaN MOS capacitors also confirmed the reduction in interface state density (D it) and the improvement in the breakdown property of the SiO2 film after PDA at 800 °C. Consequently, a high thermal stability of the SiO2/GaN structure with a low fixed charge density and a low D it formed by RP-CVD was demonstrated. This is quite informative for realizing highly robust GaN power devices.

  12. Association behaviour of 241Am(III) on SiO2(amorphous) and SiO2(quartz) colloids

    International Nuclear Information System (INIS)

    Degueldre, C.; Wernli, B.

    1993-01-01

    SiO 2 colloids have been identified as a potential vector for enhancing radionuclide transport in granitic groundwater and in concrete pore water. The sorption behaviour of 241 Am(III) on SiO 2 colloids was studied as a function of americium concentration pH (5-12), colloid concentration, ionic strength, temperature and SiO 2 allotropic species. The Am(III) sorption mechanism on amorphous silica is different from that on quartz. For SiO 2(amorphous) solution, the variation of log K p (ml g -1 ) with pH is linear (pH=5-9) with a slope of +1 indicating a one proton exchange mechanism. The colloid concentration (ppm) affects the sorption and log K p 3.7-0.67 log [SiO 2 ] (pH = 6). K p increases insignificantly when the ionic strength decreases. It shows no significant variation, however, with the Am concentration. On amorphous silica, the Am(III) sorption is driven by proton exchange from the silanol groups. For SiO 2 (quartz), log K p is constant over a large range of quartz concentration in suspension and the variation of log K p with pH is about linear (pH = 5-12), with a slope of 0.28, indicating a more complex exchange mechanism. Reactions taking into account the interaction of positive Am(OH) w (3-w)+ species on to the negatively charged quartz surface are suggested. (author)

  13. Transfer-free, lithography-free, and micrometer-precision patterning of CVD graphene on SiO2 toward all-carbon electronics

    Science.gov (United States)

    Dong, Yibo; Xie, Yiyang; Xu, Chen; Li, Xuejian; Deng, Jun; Fan, Xing; Pan, Guanzhong; Wang, Qiuhua; Xiong, Fangzhu; Fu, Yafei; Sun, Jie

    2018-02-01

    A method of producing large area continuous graphene directly on SiO2 by chemical vapor deposition is systematically developed. Cu thin film catalysts are sputtered onto the SiO2 and pre-patterned. During graphene deposition, high temperature induces evaporation and balling of the Cu, and the graphene "lands onto" SiO2. Due to the high heating and growth rate, continuous graphene is largely completed before the Cu evaporation and balling. 60 nm is identified as the optimal thickness of the Cu for a successful graphene growth and μm-large feature size in the graphene. An all-carbon device is demonstrated based on this technique.

  14. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    Science.gov (United States)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  15. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  16. Characterization of homoepitaxial and heteroepitaxial ZnO films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z.Q. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan)]. E-mail: chenzq@taka.jaeri.go.jp; Yamamoto, S. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Kawasuso, A. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Xu, Y. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Sekiguchi, T. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan)

    2005-05-15

    Homo- and heteroepitaxial ZnO films were grown on ZnO (0001) and Al{sub 2}O{sub 3} (1-bar 1-bar 2-bar -bar 0) substrates by using pulsed laser deposition. The X-ray diffraction and Raman measurements for these films show good correspondence with the bulk ZnO substrate, which confirms successful growth of c-axis oriented ZnO layer. Strong UV emission was also observed in these films, indicating good optical quality. However, the surface roughness differs very much for the homo- and heteroepitaxial film, that is, much less for the homoepitaxial layer. Positron annihilation measurements reveal a higher vacancy concentration in the homoepitaxial layer.

  17. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  18. Lipon thin films grown by plasma-enhanced metalorganic chemical vapor deposition in a N{sub 2}-H{sub 2}-Ar gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Meda, Lamartine, E-mail: LMeda@xula.edu [Department of Chemistry, Xavier University of Louisiana, 1 Drexel Drive, New Orleans, LA, 70125 (United States); Maxie, Eleston E. [Excellatron Solid State LLC, 263 Decatur Street, Atlanta, GA 30312 (United States)

    2012-01-01

    Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 {mu}m thick Au-coated alumina substrates in a N{sub 2}-H{sub 2}-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 Degree-Sign C using triethyl phosphate [(CH{sub 2}CH{sub 3}){sub 3}PO{sub 4}] and lithium tert-butoxide [(LiOC(CH{sub 3}){sub 3}] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 {mu}m. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 {mu}S/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li{sub 3}PO{sub 4} targets in either mixed Ar-N{sub 2} or pure N{sub 2} atmosphere. Attempts to deposit Lipon in a N{sub 2}-O{sub 2}-Ar plasma resulted in the growth of Li{sub 3}PO{sub 4} thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N{sub 2}-O{sub 2}-Ar plasma.

  19. Morphology and current-voltage characteristics of nanostructured pentacene thin films probed by atomic force microscopy.

    Science.gov (United States)

    Zorba, S; Le, Q T; Watkins, N J; Yan, L; Gao, Y

    2001-09-01

    Atomic force microscopy was used to study the growth modes (on SiO2, MoS2, and Au substrates) and the current-voltage (I-V) characteristics of organic semiconductor pentacene. Pentacene films grow on SiO2 substrate in a layer-by-layer manner with full coverage at an average thickness of 20 A and have the highest degree of molecular ordering with large dendritic grains among the pentacene films deposited on the three different substrates. Films grown on MoS2 substrate reveal two different growth modes, snowflake-like growth and granular growth, both of which seem to compete with each other. On the other hand, films deposited on Au substrate show granular structure for thinner coverages (no crystal structure) and dendritic growth for higher coverages (crystal structure). I-V measurements were performed with a platinum tip on a pentacene film deposited on a Au substrate. The I-V curves on pentacene film reveal symmetric tunneling type character. The field dependence of the current indicates that the main transport mechanism at high field intensities is hopping (Poole-Frenkel effect). From these measurements, we have estimated a field lowering coefficient of 9.77 x 10(-6) V-1/2 m1/2 and an ideality factor of 18 for pentacene.

  20. As-free pnictide LaNi{sub 1-x}Sb{sub 2} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2012-07-01

    We use reactive molecular beam epitaxy (RMBE) as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaNi{sub 1-x}Sb{sub 2} were grown on (100)MgO substrates from elemental sources by simultaneous evaporation of high purity La, Ni and Sb metals by e-gun. The LaNi{sub 1-x}Sb{sub 2} thin films grow epitaxially and are (00l) oriented with high crystalline quality, as evident from RHEED and X-Ray diffraction studies. The Ni deficient LaNi{sub 1-x}Sb{sub 2} thin films show metallic behavior with a room temperature resistivity of 110 {mu}{Omega} cm, while the stoichiometric compound is a semiconductor/insulator. The isostructural compound with Bi as pnictide shows a superconducting transition with a T{sub C}(0) of 3.1 K.

  1. Transmission electron microscopy studies of HfO{sub 2} thin films grown by chloride-based atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Aidla, A. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia); Aarik, J. [Institute of Physics, University of Tartu, Taehe 4, EE-51010 Tartu (Estonia)

    2006-11-15

    Detailed transmission electron microscopy characterization of HfO{sub 2} films deposited on Si(1 0 0) using atomic layer deposition has been carried out. The influence of deposition temperature has been investigated. At 226 deg. C, a predominantly quasi-amorphous film containing large grains of cubic HfO{sub 2} (a {sub 0} = 5.08 A) was formed. Grain morphology enabled the nucleation sites to be determined. Hot stage microscopy showed that both the cubic phase and the quasi-amorphous phase were very resistant to thermal modification up to 500 deg. C. These observations suggest that nucleation sites for the growth of the crystalline cubic phase form at the growing surface of the film, rather homogeneously within the film. The films grown at higher temperatures (300-750 deg. C) are crystalline and monoclinic. The principal effects of deposition temperature were on: grain size, which coarsens at the highest temperature; roughness with increases at the higher temperatures due to the prismatic faceting, and texture, with texturing being strongest at intermediate temperatures. Detailed interfacial characterization shows that interfacial layers of SiO{sub 2} form at low and high temperatures. However, at intermediate temperatures, interfaces devoid of SiO{sub 2} were formed.

  2. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  3. Do SiO 2 and carbon-doped SiO 2 nanoparticles melt? Insights from QM/MD simulations and ramifications regarding carbon nanotube growth

    Science.gov (United States)

    Page, Alister J.; Chandrakumar, K. R. S.; Irle, Stephan; Morokuma, Keiji

    2011-05-01

    Quantum chemical molecular dynamics (QM/MD) simulations of pristine and carbon-doped SiO 2 nanoparticles have been performed between 1000 and 3000 K. At temperatures above 1600 K, pristine nanoparticle SiO 2 decomposes rapidly, primarily forming SiO. Similarly, carbon-doped nanoparticle SiO 2 decomposes at temperatures above 2000 K, primarily forming SiO and CO. Analysis of the physical states of these pristine and carbon-doped SiO 2 nanoparticles indicate that they remain in the solid phase throughout decomposition. This process is therefore one of sublimation, as the liquid phase is never entered. Ramifications of these observations with respect to presently debated mechanisms of carbon nanotube growth on SiO 2 nanoparticles will be discussed.

  4. Transfer-free, lithography-free, and micrometer-precision patterning of CVD graphene on SiO2 toward all-carbon electronics

    Directory of Open Access Journals (Sweden)

    Yibo Dong

    2018-02-01

    Full Text Available A method of producing large area continuous graphene directly on SiO2 by chemical vapor deposition is systematically developed. Cu thin film catalysts are sputtered onto the SiO2 and pre-patterned. During graphene deposition, high temperature induces evaporation and balling of the Cu, and the graphene “lands onto” SiO2. Due to the high heating and growth rate, continuous graphene is largely completed before the Cu evaporation and balling. 60 nm is identified as the optimal thickness of the Cu for a successful graphene growth and μm-large feature size in the graphene. An all-carbon device is demonstrated based on this technique.

  5. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    Science.gov (United States)

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  6. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik

    2017-04-28

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  7. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp [Institute for Nanoscience and Nanotechnology, Waseda University, 513 Waseda-tsurumaki, Shinjuku, Tokyo 162-0041 (Japan); Saito, Tatsuya; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp [Faculty of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan)

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups than the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the knowledge of

  8. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  9. Preliminary study in development of glass-ceramic based on SiO2-LiO2 system, starting of different SiO2 starting powders

    International Nuclear Information System (INIS)

    Daguano, J.K.M.F.; Santos, F.A.; Santos, C.; Marton, L.F.M.; Conte, R.A.; Rodrigues Junior, D.; Melo, F.C.L.

    2009-01-01

    In this work, lithium disilicate glass-ceramics were developed starting of the rice ash- SiO 2 and Li 2 CO 3 powders. The results were compared with glass ceramics based on the lithium disilicate obtained by commercial SiO 2 powders. Glass were melted at 1580 deg C, and annealed at 850 deg C. X-Ray diffraction and scanning electron microscopy were used for characterization of the materials, and hardness and fracture toughness were evaluated using Vickers indentation method. Glasses with amorphous structure were obtained in both materials. After annealing, 'rice-ash' samples presented Li 2 SiO 3 and residual SiO 2 as crystalline phases. On the other side, commercial SiO 2 - Samples presented only Li 2 Si 2 O 5 as crystalline phases and the better results of hardness and fracture toughness. (author)

  10. Electroplating of CdTe Thin Films from Cadmium Sulphate Precursor and Comparison of Layers Grown by 3-Electrode and 2-Electrode Systems

    Directory of Open Access Journals (Sweden)

    Imyhamy M. Dharmadasa

    2017-01-01

    Full Text Available Electrodeposition of CdTe thin films was carried out from the late 1970s using the cadmium sulphate precursor. The solar energy group at Sheffield Hallam University has carried out a comprehensive study of CdTe thin films electroplated using cadmium sulfate, cadmium nitrate and cadmium chloride precursors, in order to select the best electrolyte. Some of these results have been published elsewhere, and this manuscript presents the summary of the results obtained on CdTe layers grown from cadmium sulphate precursor. In addition, this research program has been exploring the ways of eliminating the reference electrode, since this is a possible source of detrimental impurities, such as K+ and Ag+ for CdS/CdTe solar cells. This paper compares the results obtained from CdTe layers grown by three-electrode (3E and two-electrode (2E systems for their material properties and performance in CdS/CdTe devices. Thin films were characterized using a wide range of analytical techniques for their structural, morphological, optical and electrical properties. These layers have also been used in device structures; glass/FTO/CdS/CdTe/Au and CdTe from both methods have produced solar cells to date with efficiencies in the region of 5%–13%. Comprehensive work carried out to date produced comparable and superior devices fabricated from materials grown using 2E system.

  11. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  12. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  13. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  14. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  15. Thermodynamics of Bi2O3-SiO2 system

    Directory of Open Access Journals (Sweden)

    Onderka B.

    2017-01-01

    Full Text Available Thermodynamic properties of the liquid Bi2O3-SiO2 solutions were determined from the results of the electrochemical measurements by use of the solid oxide galvanic cells with YSZ (Yttria-Stabilized-Zirconia electrolyte. Activities of Bi2O3 in the solutions were determined for 0.2, 0.3, 0.4, and 0.5 SiO2 mole fractions in the temperature range 1073-1293 K from measured electromotive force (e.m.f of the solid electrolyte galvanic cell: Bi, Bi2O3-SiO2 | YSZ | air (pO2 = 0.213 bar Additionally, heat capacity data obtained for two solid phases 6Bi2O3•SiO2 and 2Bi2O3•3SiO2 were included into optimization of thermodynamic properties of the system. Optimization procedure was supported by differential thermal analysis (DTA data obtained in this work as well as those accepted from the literature. Using the data obtained in this work, and the information about phase equilibria found in the literature, binary system Bi2O3-SiO2 was assessed with the ThermoCalc software.

  16. Some studies on successive ionic layer adsorption and reaction (SILAR) grown indium sulphide thin films

    International Nuclear Information System (INIS)

    Pathan, H.M.; Lokhande, C.D.; Kulkarni, S.S.; Amalnerkar, D.P.; Seth, T.; Han, Sung-Hwan

    2005-01-01

    Indium sulphide (In 2 S 3 ) thin films were grown on amorphous glass substrate by the successive ionic layer adsorption and reaction (SILAR) method. X-ray diffraction, optical absorption, scanning electron microscopy (SEM) and Rutherford back scattering (RBS) were applied to study the structural, optical, surface morphological and compositional properties of the indium sulphide thin films. Utilization of triethanolamine and hydrazine hydrate complexed indium sulphate and sodium sulphide as precursors resulted in nanocrystalline In 2 S 3 thin film. The optical band gap was found to be 2.7 eV. The film appeared to be smooth and homogeneous from SEM study

  17. Enhanced dielectric properties of thin Ta{sub 2}O{sub 5} films grown on 65 nm SiO{sub 2}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Kolkovsky, Vl.; Kurth, E.; Kunath, C. [IPMS Fraunhofer, Dresden, Maria-Reiche Str. 2, 01109 Dresden (Germany)

    2016-12-15

    The structural and electrical properties of Ta{sub 2}O{sub 5}/65 nm SiO{sub 2} structures with different thicknesses of Ta{sub 2}O{sub 5} varying in the range of 0-260 nm are investigated. We find that the stack structures grown by the magnetron sputtering technique and annealed at 1220 K in O and Ar atmosphere show one of the highest dielectric constant of Ta{sub 2}O{sub 5}(about 64) among those previously reported in the literature. The structure of the annealed polycrystalline Ta{sub 2}O{sub 5} films is orthorhombic, as obtained from X-ray diffraction measurements and we do not observe any preferential orientation of the annealed films. The Ta{sub 2}O{sub 5} films contain positively charged defects which become mobile at around 400 K and they are tentatively correlated with the oxygen vacancies. The leakage current in the stack structures is a factor of 20 higher compared to that in thin layers with 65 nm SiO{sub 2}. The conduction mechanism in the stack structures can be described by the Fowler-Nordheim model with a barrier height that decreases slightly (<10%) as a function of the thickness of the films. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. A possibility of enhancing Jc in MgB2 film grown on metallic hastelloy tape with the use of SiC buffer layer

    International Nuclear Information System (INIS)

    Putri, W. B. K.; Kang, B.; Ranot, M.; Lee, J. H.; Kang, W. N.

    2014-01-01

    We have grown MgB 2 on SiC buffer layer by using metallic Hastelloy tape as the substrate. Hastelloy tape was chosen for its potential practical applications, mainly in the power cable industry. SiC buffer layers were deposited on Hastelloy tapes at 400, 500, and 600 degrees C by using a pulsed laser deposition method, and then by using a hybrid physical-chemical vapor deposition technique, MgB 2 films were grown on the three different SiC buffer layers. An enhancement of critical current density values were noticed in the MgB 2 films on SiC/Hastelloy deposited at 500 and 600 degrees C. From the surface analysis, smaller and denser grains of MgB 2 tapes are likely to cause this enhancement. This result infers that the addition of SiC buffer layers may contribute to the improvement of superconducting properties of MgB 2 tapes.

  19. Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties

    NARCIS (Netherlands)

    Dingemans, G.; Helvoirt, van C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M.

    2012-01-01

    Plasma-assisted atomic layer deposition (ALD) was used to deposit SiO2 films in the temperature range of Tdep = 50–400°C on Si(100). H2Si[N(C2H5)2]2 and an O2 plasma were used as Si precursor and oxidant, respectively. The ALD growth process and material properties were characterized in detail.

  20. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  1. Substrates effect on Zn1-xMnxO thin films grown by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Elanchezhiyan, J.; Bhuvana, K.P.; Gopalakrishnan, N.; Balasubramanian, T.

    2008-01-01

    In this paper, we have presented the surface effect of the substrates on Mn doped ZnO (Zn 1-x Mn x O) thin films grown on Si(1 0 0) and sapphire [i.e. Al 2 O 3 (0 0 0 1)] by RF magnetron sputtering. These grown films have been characterized by X-ray diffraction (XRD), photoluminescence (PL) and vibrating sample magnetometer (VSM) to know its structural, optical and magnetic properties. All these properties have been found to be strongly influenced by the substrate surface on which the films have been deposited. The XRD results show that the Mn doped ZnO films deposited on Si(1 0 0) exhibit a polycrystalline nature whereas the films on sapphire substrate have only (0 0 2) preferential orientations indicating that the films are single crystalline. The studies of room temperature PL spectra reveal that the Zn 1-x Mn x O/Si(1 0 0) system is under severe compressive strain while the strain is almost relaxed in Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system. It has been observed from VSM studies that Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system shows ferromagnetic nature while the paramagnetic behaviour observed in Zn 1-x Mn x O/Si(1 0 0) system

  2. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  3. Preparation and Characterization of Fluorescent SiO2 Microspheres

    Science.gov (United States)

    Xu, Cui; Zhang, Hao; Guan, Ruifang

    2018-01-01

    Fluorescent compound without typical fluorophores was synthesized with citric acid (CA) and aminopropyltriethoxysilane (APTS) firstly, and then it was grafted to the surface of the prepared SiO2 microspheres by chemical reaction. The fluorescent SiO2 microspheres with good fluorescent properties were obtained by optimizing the reaction conditions. And the morphology and structure of the fluorescent SiO2 microspheres have been characterized by scanning electron microscopy (SEM) and fourier transform infrared (FTIR) spectroscopy. The results showed that the preparation of fluorescent SiO2 microspheres have good monodispersity and narrow particle size distribution. Moreover, the fluorescent SiO2 microspheres can be applied to detect Fe3+ in aqueous solution, prepare fluorescent SiO2 rubber, and have potential to be applied in the fluorescent labeling and fingerprint appearing technique fields.

  4. Photoluminescence analysis of Ce3+:Zn2SiO4 & Li++ Ce3+:Zn2SiO4: phosphors by a sol-gel method

    Science.gov (United States)

    Babu, B. Chandra; Vandana, C. Sai; Guravamma, J.; Rudramadevi, B. Hemalatha; Buddhudu, S.

    2015-06-01

    Here, we report on the development and photoluminescence analysis of Zn2SiO4, Ce3+:Zn2SiO4 & Li+ + Ce3+: Zn2SiO4 novel powder phosphors prepared by a sol-gel technique. The total amount of Ce3+ ions was kept constant in this experiment at 0.05 mol% total doping. The excitation and emission spectra of undoped (Zn2SiO4) and Ce3+ doped Zn2SiO4 and 0.05 mol% Li+ co-doped samples have been investigated. Cerium doped Zn2SiO4 powder phosphors had broad blue emission corresponding to the 2D3/22FJ transition at 443nm. Stable green-yellow-red emission has been observed from Zn2SiO4 host matrix and also we have been observed the enhanced luminescence of Li+ co-doped Zn2SiO4:Ce3+. Excitation and emission spectra of these blue luminescent phosphors have been analyzed in evaluating their potential as luminescent screen coating phosphors.

  5. Positron annihilation studies of the AlOx/SiO2/Si interface in solar cell structures

    International Nuclear Information System (INIS)

    Edwardson, C. J.; Coleman, P. G.; Li, T.-T. A.; Cuevas, A.; Ruffell, S.

    2012-01-01

    Film and film/substrate interface characteristics of 30 and 60 nm-thick AlO x films grown on Si substrates by thermal atomic layer deposition (ALD), and 30 nm-thick AlO x films by sputtering, have been probed using variable-energy positron annihilation spectroscopy (VEPAS) and Doppler-broadened spectra ratio curves. All samples were found to have an interface which traps positrons, with annealing increasing this trapping response, regardless of growth method. Thermal ALD creates an AlO x /SiO x /Si interface with positron trapping and annihilation occurring in the Si side of the SiO x /Si boundary. An induced positive charge in the Si next to the interface reduces diffusion into the oxides and increases annihilation in the Si. In this region there is a divacancy-type response (20 ± 2%) before annealing which is increased to 47 ± 2% after annealing. Sputtering seems to not produce samples with this same electrostatic shielding; instead, positron trapping occurs directly in the SiO x interface in the as-deposited sample, and the positron response to it increases after annealing as an SiO 2 layer is formed. Annealing the film has the effect of lowering the film oxygen response in all film types. Compared to other structural characterization techniques, VEPAS shows larger sensitivity to differences in film preparation method and between as-deposited and annealed samples.

  6. Annealing Effect on the Structural and Optical Properties of Sputter-Grown Bismuth Titanium Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    José E. Alfonso

    2014-04-01

    Full Text Available The aim of this work is to assess the evolution of the structural and optical properties of BixTiyOz films grown by rf magnetron sputtering upon post-deposition annealing treatments in order to obtain good quality films with large grain size, low defect density and high refractive index similar to that of single crystals. Films with thickness in the range of 220–250 nm have been successfully grown. After annealing treatment at 600 °C the films show excellent transparency and full crystallization. It is shown that to achieve larger crystallite sizes, up to 17 nm, it is better to carry the annealing under dry air than under oxygen atmosphere, probably because the nucleation rate is reduced. The refractive index of the films is similar under both atmospheres and it is very high (n =2.5 at 589 nm. However it is still slightly lower than that of the single crystal value due to the polycrystalline morphology of the thin films.

  7. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Energy Technology Data Exchange (ETDEWEB)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U., E-mail: usha.philipose@unt.edu [University of North Texas, Department of Physics (United States)

    2016-12-15

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between Sb{sub 2}S{sub 3} and In and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40–60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 10{sup 17} cm{sup −3} and 1000 cm{sup 2} V{sup −1} s{sup −1}, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  8. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Science.gov (United States)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U.

    2016-12-01

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between S b 2 S 3 and I n and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40-60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 1017 cm-3 and 1000 cm2 V-1 s-1, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  9. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  10. Characterization of TiO{sub 2} thin films obtained by metal-organic chemical vapour deposition; Caracterizacao de filmes finos de TiO{sub 2} obtidos por deposicao quimica em fase vapor

    Energy Technology Data Exchange (ETDEWEB)

    Carriel, Rodrigo Crociati

    2015-07-01

    Titanium dioxide (TiO{sub 2}) thin films were grown on silicon substrate (100) by MOCVD process (chemical deposition of organometallic vapor phase). The films were grown at 400, 500, 600 and 700 ° C in a conventional horizontal equipment. Titanium tetraisopropoxide was used as source of both oxygen and titanium. Nitrogen was used as carrier and purge gas. X-ray diffraction technique was used for the characterization of the crystalline structure. Scanning electron microscopy with field emission gun was used to evaluate the morphology and thickness of the films. The films grown at 400 and 500°C presented anatase phase. The film grown at 600ºC presented rutile besides anatase phase, while the film grown at 700°C showed, in addition to anatase and rutile, brookite phase. In order to evaluate the electrochemical behavior of the films cyclic voltammetry technique was used. The tests revealed that the TiO2 films formed exclusively by the anatase phase exhibit strong capacitive character. The anodic current peak is directly proportional to the square root of the scanning rate for films grown at 500ºC, suggesting that linear diffusion is the predominant mechanism of cations transport. It was observed that in the film grown during 60 minutes the Na+ ions intercalation and deintercalation easily. The films grown in the other conditions did not present the anodic current peak, although charge was accumulated in the film. (author)

  11. Thermal response of Ru electrodes in contact with SiO2 and Hf-based high-k gate dielectrics

    International Nuclear Information System (INIS)

    Wen, H.-C.; Lysaght, P.; Alshareef, H.N.; Huffman, C.; Harris, H.R.; Choi, K.; Senzaki, Y.; Luan, H.; Majhi, P.; Lee, B.H.; Campin, M. J.; Foran, B.; Lian, G.D.; Kwong, D.-L.

    2005-01-01

    A systematic experimental evaluation of the thermal stability of Ru metal gate electrodes in direct contact with SiO 2 and Hf-based dielectric layers was performed and correlated with electrical device measurements. The distinctly different interfacial reactions in the Ru/SiO 2 , Ru/HfO 2 , and Ru/HfSiO x film systems were observed through cross-sectional high-resolution transmission electron microscopy, high angle annular dark field scanning transmission electron microscopy with electron-energy-loss spectra, and energy dispersive x-ray spectra analysis. Ru interacted with SiO 2 , but remained stable on HfO 2 at 1000 deg. C. The onset of Ru/SiO 2 interfacial interactions is identified via silicon substrate pitting possibly from Ru diffusion into the dielectric in samples exposed to a 900 deg. C/10-s anneal. The dependence of capacitor device degradation with decreasing SiO 2 thickness suggests Ru diffuses through SiO 2 , followed by an abrupt, rapid, nonuniform interaction of ruthenium silicide as Ru contacts the Si substrate. Local interdiffusion detected on Ru/HfSiO x samples may be due to phase separation of HfSiO x into HfO 2 grains within a SiO 2 matrix, suggesting that SiO 2 provides a diffusion pathway for Ru. Detailed evidence consistent with a dual reaction mechanism for the Ru/SiO 2 system at 1000 deg. C is presented

  12. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    International Nuclear Information System (INIS)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao

    2010-01-01

    A series of SiO 2 films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO 2 films thicker than 60 nm are close to those of bulk SiO 2 . For the thin films deposited at the rate of ∼1.0 nm s -1 , the refractive indices increase with decreasing thickness from ∼60 to ∼10 nm and then drop sharply with decreasing thickness below ∼10 nm. However, for thin films deposited at the rates of ∼0.4 and ∼0.2 nm s -1 , the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  13. IR emission and electrical conductivity of Nd/Nb-codoped TiO{sub x} (1.5 < x < 2) thin films grown by pulsed-laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tchiffo-Tameko, C.; Cachoncinlle, C. [GREMI, UMR 7344 CNRS-Université Orléans, 45067 Orléans Cedex 2 (France); Perriere, J. [Sorbonne Universités, UPMC Université Paris 06, UMR 7588, INSP, 75005 Paris (France); CNRS, UMR 7588, INSP, 75005 Paris (France); Nistor, M. [NILPRP, L 22 P.O. Box MG-36, 77125 Bucharest-Magurele (Romania); Petit, A.; Aubry, O. [GREMI, UMR 7344 CNRS-Université Orléans, 45067 Orléans Cedex 2 (France); Pérez Casero, R. [Departamento de Física Aplicada, Universidad Autónoma de Madrid, 28049 Madrid (Spain); Millon, E., E-mail: eric.millon@univ-orleans.fr [GREMI, UMR 7344 CNRS-Université Orléans, 45067 Orléans Cedex 2 (France)

    2016-12-15

    Highlights: • Nd/Nb-codoped TiO{sub 2} PLD films are electrically insulating and transparent in the UV visible NIR spectral domain. • Nd/Nb-codoped oxygen deficient TiO{sub x} (x ≈ 1.5) films are conductive and absorbent. • IR emission of Nd{sup 3+} in codoped TiO{sub x} films is quenched due to oxygen deficiency. • High Nb-doping rate decreases the IR emission of Nd{sup 3+} in Nd/Nb-codoped TiO{sub 2} films. - Abstract: The effect of the co-doping with Nd and Nb on electrical and optical properties of TiO{sub x} films is reported. The role of oxygen vacancies on the physical properties is also evidenced. The films are grown by pulsed-laser deposition onto (001) sapphire and (100) silicon substrates. The substrate temperature was fixed at 700 °C. To obtain either stoichiometric (TiO{sub 2}) or highly oxygen deficient (TiO{sub x} with x < 1.6) thin films, the oxygen partial pressure was adjusted at 10{sup −1} and 10{sup −6} mbar, respectively. 1%Nd-1%Nb, 1%Nd-5%Nb and 5%Nd-1%Nb co-doped TiO{sub 2} were used as bulk ceramic target. Composition, structural and morphological properties of films determined by Rutherford backscattering spectroscopy, X-ray diffraction and scanning electron microscopy, are correlated to their optical (UV–vis transmission and photoluminescence) and electrical properties (resistivity at room temperature). The most intense Nd{sup 3+} emission in the IR domain is obtained for stoichiometric films. Codoping Nd-TiO{sub x} films by Nb{sup 5+} ions is found to decrease the photoluminescence efficiency. The oxygen pressure during the growth allows to tune the optical and electrical properties: insulating and highly transparent (80% in the visible range) Nd/Nb codoped TiO{sub 2} films are obtained at high oxygen pressure, while conductive and absorbent films are grown under low oxygen pressure (10{sup −6} mbar).

  14. Cr2O3 thin films grown at room temperature by low pressure laser chemical vapour deposition

    International Nuclear Information System (INIS)

    Sousa, P.M.; Silvestre, A.J.; Conde, O.

    2011-01-01

    Chromia (Cr 2 O 3 ) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr 2 O 3 films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr 2 O 3 onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO) 6 as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm -2 and a partial pressure ratio of O 2 to Cr(CO) 6 of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s -1 and mean particle sizes of 1.85 μm were measured for these films.

  15. Comparative Study of the Corrosion Resistance of Air-Plasma-Sprayed Ca2SiO4 and Al2O3 Coatings in Salt Water

    Directory of Open Access Journals (Sweden)

    Yuan Xiao

    2018-03-01

    Full Text Available In this study, Ca2SiO4 coating was sprayed on stainless steel substrate and the corrosion resistance of the as-sprayed coating was studied in salt water. At the same time, Al2O3 coatings were produced by air-plasma-sprayed technology as comparison. Immersion test was carried out to evaluate the protection performance of coatings. Potentiodynamic polarization curves and electrochemical impedance spectroscopy (EIS plots were also analyzed. The results indicated that Ca2SiO4 coatings showed a better protection performance than Al2O3 coatings. During the immersion, various calcium carbonate crystals appeared on the surface of Ca2SiO4 coatings. Ca(OH2 was released from Ca2SiO4 coatings into NaCl aqueous solution, increasing the alkalinity, which is in favor of the formation of passivation film, and thus improves the corrosion resistance. Ca2SiO4 coatings became denser after immersion due to the fact that the pores and micro cracks were filled with hydration products i.e., hydrated calcium silicate (C–S–H gel. On the contrary, the microstructure of Al2O3 coatings became loose and obvious rusty spots were observed on the surface after the immersion test.

  16. Atomic layer deposition and properties of mixed Ta2O5 and ZrO2 films

    Directory of Open Access Journals (Sweden)

    Kaupo Kukli

    2017-02-01

    Full Text Available Thin solid films consisting of ZrO2 and Ta2O5 were grown by atomic layer deposition at 300 °C. Ta2O5 films doped with ZrO2, TaZr2.75O8 ternary phase, or ZrO2 doped with Ta2O5 were grown to thickness and composition depending on the number and ratio of alternating ZrO2 and Ta2O5 deposition cycles. All the films grown exhibited resistive switching characteristics between TiN and Pt electrodes, expressed by repetitive current-voltage loops. The most reliable windows between high and low resistive states were observed in Ta2O5 films mixed with relatively low amounts of ZrO2, providing Zr to Ta cation ratio of 0.2.

  17. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam [School of Physics, University of Hyderabad, Hyderabad-500046 (India); Madhurima, V., E-mail: madhurima.v@gmail.com [Department of Physics, Central University of Tamil Nadu, Thiruvarur-610004 (India)

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  18. A novel growth mode of alkane films on a SiO2 surface

    DEFF Research Database (Denmark)

    Mo, H.; Taub, H.; Volkmann, U.G.

    2003-01-01

    on the SiO2 surface with the long-axis of the C32 molecules oriented parallel to the interface followed by a C32 monolayer with the long-axis perpendicular to it. Finally, preferentially oriented bulk particles nucleate having two different crystal structures. This growth model differs from that found...... previously for shorter alkanes deposited from the vapor phase onto solid surfaces....

  19. Structural and magnetic properties of SmCo-based magnetic films grown by electron-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, P., E-mail: psdrdo@gmail.com [Defence Metallurgical Research Laboratory, Hyderabad 500058 (India); Vinod, V.T.P.; Černík, Miroslav [Institute for Nanomaterials, Advanced Technologies and Innovation, Department of Natural Sciences, Technical University of Liberec, Studentská 1402/2, Liberec 1, 461 17 (Czech Republic); Vishnuraj, R.; Arout Chelvane, J.; Kamat, S.V. [Defence Metallurgical Research Laboratory, Hyderabad 500058 (India); Hsu, Jen-Hwa, E-mail: jhhsu@phys.ntu.edu.tw [Department of Physics, National Taiwan University, Taipei 106, Taiwan (China)

    2015-07-01

    Sub-micron thick Sm–Co films (200 and 300 nm) with selective phase composition are grown on Si (100) substrates by electron-beam evaporation using Sm-lean alloy targets such as Sm{sub 4}Co{sub 96} and Sm{sub 8}Co{sub 92}. The structural and magnetic properties of Sm–Co films are characterized by x-ray diffraction (XRD), field-emission scanning electron microscopy (FESEM) and super-conducting quantum interference device (SQUID) magnetometer. The Sm–Co films obtained with the Sm{sub 4}Co{sub 96} target exhibit Sm{sub 2}Co{sub 17} as a prominent phase; while the films produced with the Sm{sub 8}Co{sub 92} target show Sm{sub 2}Co{sub 7} as a major phase. Both the Sm–Co films reveal granular morphology; however, the estimated grain size values are slightly lower in the case of Sm{sub 2}Co{sub 7} films, irrespective of their thicknesses. Coercivity (H{sub c}) values of 1.48 and 0.9 kOe are achieved for the as-grown 200-nm thick Sm{sub 2}Co{sub 17} and Sm{sub 2}Co{sub 7}-films. Temperature-dependent magnetization studies confirm that the demagnetization behaviors of these films are consistent with respect to the identified phase composition. Upon rapid thermal annealing, maximum H{sub c} value of 8.4 kOe is achieved for the 200 nm thick Sm{sub 2}Co{sub 17}-films. As far as e-beam evaporated Sm–Co films are concerned, this H{sub c} value is one of the best values reported so far. - Highlights: • Electron-beam evaporation was exploited to grow sub-μm thick Sm–Co films. • Sm{sub 2}Co{sub 7} and Sm{sub 2}Co{sub 17} magnetic phases were crystallized using Sm-lean alloy targets. • Both 200 and 300-nm thick Sm–Co films revealed distinct granular morphology. • Sm–Co films of lower thickness exhibited high H{sub c} and low M{sub s} and vice-versa. • Coercivity value of 8.4 kOe achieved for the 200-nm thick Sm{sub 2}Co{sub 17}-films after RTA.

  20. Characterization of carbon nanotubes grown on Fe70Pd30 film

    International Nuclear Information System (INIS)

    Khan, Zishan H.; Islam, S.S.; Kung, S.C.; Perng, T.P.; Khan, Samina; Tripathi, K.N.; Agarwal, Monika; Zulfequar, M.; Husain, M.

    2006-01-01

    Carbon nanotubes have been synthesized by a LPCVD on nanocrystalline Fe-Pd film. CNTs are grown for 30min and 1h respectively. From the SEM images, the diameter of these nanotubes varies from 40-80nm and the length is several micro-meter approximately. TEM observations suggest that the CNTs are multi-walled and the structure changes from ordinary geometry of CNTs to bamboo shaped. We have observed sharp G and D bands in the Raman spectra of these carbon nanotubes. Higher D-band is observed for the carbon nanotubes grown for longer time (1h), showing that these nanotubes contain more amorphous carbon. The field emission measurements for these CNTs are also performed. For CNTs grown for longer time (1h), a superior turn-on field of 4.88V/μm (when the current density achieves 10μA/cm 2 ) is obtained and a current density of 29.36mA/cm 2 can be generated at 9.59V/μm

  1. Mn-implanted, polycrystalline indium tin oxide and indium oxide films

    International Nuclear Information System (INIS)

    Scarlat, Camelia; Vinnichenko, Mykola; Xu Qingyu; Buerger, Danilo; Zhou Shengqiang; Kolitsch, Andreas; Grenzer, Joerg; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Polycrystalline conducting, ca. 250 nm thick indium tin oxide (ITO) and indium oxide (IO) films grown on SiO 2 /Si substrates using reactive magnetron sputtering, have been implanted with 1 and 5 at.% of Mn, followed by annealing in nitrogen or in vacuum. The effect of the post-growth treatment on the structural, electrical, magnetic, and optical properties has been studied. The roughness of implanted films ranges between 3 and 15 nm and XRD measurements revealed a polycrystalline structure. A positive MR has been observed for Mn-implanted and post-annealed ITO and IO films. It has been interpreted by considering s-d exchange. Spectroscopic ellipsometry has been used to prove the existence of midgap electronic states in the Mn-implanted ITO and IO films reducing the transmittance below 80%.

  2. Low-Temperature, Chemically Grown Titanium Oxide Thin Films with a High Hole Tunneling Rate for Si Solar Cells

    Directory of Open Access Journals (Sweden)

    Yu-Tsu Lee

    2016-05-01

    Full Text Available In this paper, we propose a chemically grown titanium oxide (TiO2 on Si to form a heterojunction for photovoltaic devices. The chemically grown TiO2 does not block hole transport. Ultraviolet photoemission spectroscopy was used to study the band alignment. A substantial band offset at the TiO2/Si interface was observed. X-ray photoemission spectroscopy (XPS revealed that the chemically grown TiO2 is oxygen-deficient and contains numerous gap states. A multiple-trap-assisted tunneling (TAT model was used to explain the high hole injection rate. According to this model, the tunneling rate can be 105 orders of magnitude higher for holes passing through TiO2 than for flow through SiO2. With 24-nm-thick TiO2, a Si solar cell achieves a 33.2 mA/cm2 photocurrent on a planar substrate, with a 9.4% power conversion efficiency. Plan-view scanning electron microscopy images indicate that a moth-eye-like structure formed during TiO2 deposition. This structure enables light harvesting for a high photocurrent. The high photocurrent and ease of production of chemically grown TiO2 imply that it is a suitable candidate for future low-cost, high-efficiency solar cell applications.

  3. Biocompatibility of GaSb thin films grown by RF magnetron sputtering

    Science.gov (United States)

    Nishimoto, Naoki; Fujihara, Junko; Yoshino, Katsumi

    2017-07-01

    GaSb may be suitable for biological applications, such as cellular sensors and bio-medical instrumentation because of its low toxicity compared with As (III) compounds and its band gap energy. Therefore, the biocompatibility and the film properties under physiological conditions were investigated for GaSb thin films with or without a surface coating. GaSb thin films were grown on quartz substrates by RF magnetron sputtering, and then coated with (3-mercaptopropyl) trimethoxysilane (MPT). The electrical properties, surface morphology, and crystal structure of the GaSb thin film were unaffected by the MPT coating. The cell viability assay suggested that MPT-coated GaSb thin films are biocompatible. Bare GaSb was particularly unstable in pH9 buffer. Ga elution was prevented by the MPT coating, although the Ga concentration in the pH 9 buffer was higher than that in the other solutions. The surface morphology and crystal structure were not changed by exposure to the solutions, except for the pH 9 buffer, and the thin film properties of MPT-coated GaSb exposed to distilled water and H2O2 in saline were maintained. These results indicate that MPT-coated GaSb thin films are biocompatible and could be used for temporary biomedical devices.

  4. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  5. Physical properties of SnS thin films grown by hot wall deposition

    International Nuclear Information System (INIS)

    Gremenok, V.; Ivanov, V.; Bashkirov, S.; Unuchak, D.; Lazenka, V.; Bente, K.; Tashlykov, I.; Turovets, A.

    2010-01-01

    Full text : Recently, considerable effort has been invested to gain a better and deeper knowledge of structural and physical properties of metal chalcogenide semiconductors because of their potential application in electrical and photonic devices. Among them, tin sulphide (SnS) has attracted attention because of band gap of 1.3 eV and an absorption coefficient greater than 10 4 cm - 1. Additionally, by using tin sulfide compounds for photovoltaic devices, the production costs are decreased, because these materials are cheap and abundant in nature. For the sythesis of SnS thin films by hot wall deposition, SnS ingots were used as the source materials synthesized from high purity elements (99.999 percent). The thin films were grown onto glass at substrate temperatures between 220 and 380 degrees Celsium. The thickness of the films was in the range of 1.0 - 2.5 μm. The crystal structure and crystalline phases of the materials were studied by XRD using a Siemens D-5000 diffractometer with CuK α (λ = 1.5418 A) radiation. In order to consider instrumental error, the samples were coated by Si powder suspended in acetone. The composition and surface morphology of thin films were investigated by electron probe microanalysis (EPMA) using a CAMECA SX-100, a scanning electron microscope JEOL 6400 and an atomic force microscope (AFM, Model: NT 206), respectively. Depth profiling was performed by Auger electron spectroscopy (AES) using a Perkin Elmer Physical Electronics 590. The electrical resistivity was studied by van der Pauw four-probe technique using silver paste contact. The optical transmittance was carried out using a Varian Cary 50 UV - VIS spectrophotometer in the range 500 - 2000 nm. The as-grown films exhibited a composition with a Sn/S at. percent ratio of 1.06. The AES depth profiles revealed relatively uniform composition through the film thickness. The XRD analysis of the SnS films showed that they were monophase (JCPDS 39-0354), polycrystalline with

  6. Photoluminescence properties of ZnO films grown on InP by thermally oxidizing metallic Zn films

    CERN Document Server

    Chen, S J; Zhang, J Y; Lu, Y M; Shen, D Z; Fan, X W

    2003-01-01

    Photoluminescence (PL) properties of ZnO films grown on (001) InP substrates by thermal oxidization of metallic Zn films, in which oxygen vacancies and interstitial Zn ions are compensated by P ions diffusing from (001) InP substrates, are investigated. X-ray diffraction spectra indicate that P ions have diffused into the Zn films and chemically combined with Zn ions to form Zn sub 3 P sub 2. Intense free exciton emission dominates the PL spectra of ZnO films with very weak deep-level emission. Low-temperature PL spectra at 79 K are dominated by neutral-donor bound exciton emission at 3.299 eV (I sub 4) with a linewidth of 17.3 meV and neutral-acceptor bound exciton emission at 3.264 eV. The free exciton emission increases with increasing temperature and eventually dominates the emission spectrum for temperature higher than 170 K. Furthermore, the visible emission around 2.3 eV correlated with oxygen deficiencies and interstitial Zn defects was quenched to a remarkable degree by P diffusing from InP substrate...

  7. Photoluminescence properties of ZnO films grown on InP by thermally oxidizing metallic Zn films

    International Nuclear Information System (INIS)

    Chen, S J; Liu, Y C; Zhang, J Y; Lu, Y M; Shen, D Z; Fan, X W

    2003-01-01

    Photoluminescence (PL) properties of ZnO films grown on (001) InP substrates by thermal oxidization of metallic Zn films, in which oxygen vacancies and interstitial Zn ions are compensated by P ions diffusing from (001) InP substrates, are investigated. X-ray diffraction spectra indicate that P ions have diffused into the Zn films and chemically combined with Zn ions to form Zn 3 P 2 . Intense free exciton emission dominates the PL spectra of ZnO films with very weak deep-level emission. Low-temperature PL spectra at 79 K are dominated by neutral-donor bound exciton emission at 3.299 eV (I 4 ) with a linewidth of 17.3 meV and neutral-acceptor bound exciton emission at 3.264 eV. The free exciton emission increases with increasing temperature and eventually dominates the emission spectrum for temperature higher than 170 K. Furthermore, the visible emission around 2.3 eV correlated with oxygen deficiencies and interstitial Zn defects was quenched to a remarkable degree by P diffusing from InP substrates

  8. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  9. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  10. Local electrical properties of thermally grown oxide films formed on duplex stainless steel surfaces

    Science.gov (United States)

    Guo, L. Q.; Yang, B. J.; He, J. Y.; Qiao, L. J.

    2018-06-01

    The local electrical properties of thermally grown oxide films formed on ferrite and austenite surfaces of duplex stainless steel at different temperatures were investigated by Current sensing atomic force microscopy, X-ray Photoelectron Spectroscopy (XPS) and Auger Electron Spectroscopy (AES). The current maps and XPS/AES analyses show that the oxide films covering austenite and ferrite surfaces formed at different temperatures exhibit different local electrical characteristics, thickness and composition. The dependence of electrical conductivity of oxide films covering austenite and ferrite surface on the formation temperature is attributed to the film thickness and semiconducting structures, which is intrinsically related to thermodynamics and kinetics process of film grown at different temperature. This is well elucidated by corresponding semiconductor band structures of oxide films formed on austenite and ferrite phases at different temperature.

  11. Highly conformal SiO2/Al2O3 nanolaminate gas-diffusion barriers for large-area flexible electronics applications

    International Nuclear Information System (INIS)

    Choi, Jin-Hwan; Kim, Young-Min; Park, Young-Wook; Park, Tae-Hyun; Jeong, Jin-Wook; Choi, Hyun-Ju; Song, Eun-Ho; Ju, Byeong-Kwon; Lee, Jin-Woo; Kim, Cheol-Ho

    2010-01-01

    The present study demonstrates a flexible gas-diffusion barrier film, containing an SiO 2 /Al 2 O 3 nanolaminate on a plastic substrate. Highly uniform and conformal coatings can be made by alternating the exposure of a flexible polyethersulfone surface to vapors of SiO 2 and Al 2 O 3 , at nanoscale thickness cycles via RF-magnetron sputtering deposition. The calcium degradation test indicates that 24 cycles of a 10/10 nm inorganic bilayer, top-coated by UV-cured resin, greatly enhance the barrier performance, with a permeation rate of 3.79 x 10 -5 g m -2 day -1 based on the change in the ohmic behavior of the calcium sensor at 20 deg. C and 50% relative humidity. Also, the permeation rate for 30 cycles of an 8/8 nm inorganic bilayer coated with UV resin was beyond the limited measurable range of the Ca test at 60 deg. C and 95% relative humidity. It has been found that such laminate films can effectively suppress the void defects of a single inorganic layer, and are significantly less sensitive against moisture permeation. This nanostructure, fabricated by an RF-sputtering process at room temperature, is verified as being useful for highly water-sensitive organic electronics fabricated on plastic substrates.

  12. Surface defects on the Gd{sub 2}Zr{sub 2}O{sub 7} oxide films grown on textured NiW technical substrates by chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Y., E-mail: yuezhao@sjtu.edu.cn [School of Electronic Information and Electrical Engineering, Shanghai Jiao Tong University, 200240 Shanghai (China); Department of Energy Conversion and Storage, Technical University of Denmark, 4000 Roskilde (Denmark); Opata, Yuri A. [Department of Energy Conversion and Storage, Technical University of Denmark, 4000 Roskilde (Denmark); Wu, W. [School of Electronic Information and Electrical Engineering, Shanghai Jiao Tong University, 200240 Shanghai (China); Grivel, J.C. [Department of Energy Conversion and Storage, Technical University of Denmark, 4000 Roskilde (Denmark)

    2017-02-15

    Epitaxial growth of oxide thin films has attracted much interest because of their broad applications in various fields. In this study, we investigated the microstructure of textured Gd{sub 2}Zr{sub 2}O{sub 7} films grown on (001)〈100〉 orientated NiW alloy substrates by a chemical solution deposition (CSD) method. The aging effect of precursor solution on defect formation was thoroughly investigated. A slight difference was observed between the as-obtained and aged precursor solutions with respect to the phase purity and global texture of films prepared using these solutions. However, the surface morphologies are different, i.e., some regular-shaped regions (mainly hexagonal or dodecagonal) were observed on the film prepared using the as-obtained precursor, whereas the film prepared using the aged precursor exhibits a homogeneous structure. Electron backscatter diffraction and scanning electron microscopy analyses showed that the Gd{sub 2}Zr{sub 2}O{sub 7} grains present within the regular-shaped regions are polycrystalline, whereas those present in the surrounding are epitaxial. Some polycrystalline regions ranging from several micrometers to several tens of micrometers grew across the NiW grain boundaries underneath. To understand this phenomenon, the properties of the precursors and corresponding xerogel were studied by Fourier transform infrared spectroscopy and coupled thermogravimetry/differential thermal analysis. The results showed that both the solutions mainly contain small Gd−Zr−O clusters obtained by the reaction of zirconium acetylacetonate with propionic acid during the precursor synthesis. The regular-shaped regions were probably formed by large Gd−Zr−O frameworks with a metastable structure in the solution with limited aging time. This study demonstrates the importance of the precise control of chemical reaction path to enhance the stability and homogeneity of the precursors of the CSD route. - Highlights: •We investigate microstructure

  13. Growth and characterization of textured YBaCo2O5+δ thin films grown on (001)-SrTiO3 via DC magnetron sputtering

    International Nuclear Information System (INIS)

    Galeano, V.; Arnache, O.; Supelano, I.; Vargas, C.A. Parra; Morán, O.

    2016-01-01

    Thin films of the layered cobaltite YBaCo 2 O 5+δ were successfully grown on (001)-oriented SrTiO 3 single-crystal substrates by means of DC magnetron sputtering. The 112 phase of the compound was stabilized by choosing an adequate Co reactant and through careful thermal treatment of the target. The results demonstrate the strong influence of these variables on the final phase of the compound. A substrate temperature of 1053 K and an oxygen pressure of 300 Pa seemed to be appropriate growing conditions for depositing (00ℓ)-textured YBaCo 2 O 5+δ thin films onto the chosen substrate. In like fashion to the polycrystalline YBaCo 2 O 5+δ , the films showed a clear sequence of antiferromagnetic–ferromagnetic–paramagnetic transitions within a narrow temperature range. Well-defined hysteresis loops were observed at temperatures as high as 270 K, which supports the existence of a FM order in the films. In turn, the dependence of the resistivity on the temperature shows a semiconductor-like behavior, without any distinguishable structure, within the temperature range measured (50–350 K). The analysis of the experimental data showed that the transport mechanism in the films is well described by using the Mott variable range hopping (VRH) conduction model. - Highlights: • YBaCo 2 O 5+δ thin films are grown on SrTiO 3 substrates. • Strong (00ℓ) reflections are observed in the X-ray diffraction pattern. • A clear sequence of magnetic transitions is observed. • Semiconducting-like behavior is verified.

  14. Photocatalytic Removal of Phenol under Natural Sunlight over N-TiO2-SiO2 Catalyst: The Effect of Nitrogen Composition in TiO2-SiO2

    Directory of Open Access Journals (Sweden)

    Viet-Cuong Nguyen

    2009-01-01

    Full Text Available In this present work, high specific surface area and strong visible light absorption nitrogen doped TiO2-SiO2 photocatalyst was synthesized by using sol-gel coupled with hydrothermal treatment method. Nitrogen was found to improve the specific surface area while it also distorted the crystal phase of the resulting N-TiO2-SiO2 catalyst. As the N/ (TiO2-SiO2 molar ratio was more than 10%, the derived catalyst presented the superior specific surface area up to 260 m2/g. Nevertheless, its photoactivity towards phenol removal was observed to significantly decrease, which could results from the too low crystallinity. The nitrogen content in N-TiO2-SiO2 catalyst was therefore necessary to be optimized in terms of phenol removal efficiency and found at ca. 5%. Under UVA light and natural sunlight irradiation of 80 min, N(5%-TiO2-SiO2 catalyst presented the phenol decomposition efficiencies of 68 and 100%, respectively. It was also interestingly found in this study that the reaction rate was successfully expressed using a Langmuir-Hinshelwood (L-H model, indicating the L-H nature of photocatalytic phenol decomposition reaction on the N-TiO2-SiO2 catalyst.

  15. Alkaline-doped manganese perovskite thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Bibes, M.; Gorbenko, O.; Martinez, B.; Kaul, A.; Fontcuberta, J.

    2000-01-01

    We report on the preparation and characterization of La 1-x Na x MnO 3 thin films grown by MOCVD on various single-crystalline substrates. Under appropriate conditions epitaxial thin films have been obtained. The Curie temperatures of the films, which are very similar to those of bulk samples of similar composition, reflect the residual strain caused by the substrate. The anisotropic magnetoresistance AMR of the films has been analyzed in some detail, and it has been found that it has a two-fold symmetry at any temperature. Its temperature dependence mimics that of the electrical resistivity and magnetoresistance measured at similar fields, thus suggesting that the real structure of the material contributes to the measured AMR besides the intrinsic component

  16. Structure and magnetism of ultrathin Co and Fe films epitaxially grown on Pd/Cu(0 0 1)

    International Nuclear Information System (INIS)

    Lu, Y.F.; Przybylski, M.; Yan, L.; Barthel, J.; Meyerheim, H.L.; Kirschner, J.

    2005-01-01

    A contribution originating from the Co/Pd and Fe/Pd interfaces to the magneto-optical Kerr effect (MOKE) rotation is analyzed for Co and/or Fe films grown on a Pd-buffer-monolayer on Cu(0 0 1). A clear increase of the MOKE signal in comparison to the Co(Fe) films grown directly on Cu(0 0 1) is detected. An interpretation is supported by similar observations for Co films grown on Pd(1 1 0) and Pd(0 0 1). In particular, the sign reversal of the Kerr loops with increasing thickness of the Co(Fe) films is discussed. Magneto-optical effects are separated from the real magnetization and its dependence on the film thickness

  17. Positron trap centers in x-ray and γ-ray irradiated SiO2

    International Nuclear Information System (INIS)

    Khatri, R.; Asoka-Kumar, P.; Nielsen, B.; Roellig, L.O.; Lynn, K.G.

    1993-01-01

    Using Doppler broadening annihilation spectroscopy, we investigated the properties of irradiated samples of SiO 2 /Si(100) with 117 nm thick oxide layer, grown in dry O 2 on p- and n-type substrates. These samples were irradiated with γ rays and x rays at doses in the range of 7x10 4 --9x10 6 rad and 50--2000 mJ/cm 2 , respectively. The changes observed in the Doppler broadening line shape parameter after irradiation and its recovery during isochronal annealing were used to obtain an activation energy of 1.48--1.61 eV required for annealing the defects

  18. Formation of light-emitting nanostructures in layers of stoichiometric SiO2 irradiated with swift heavy ions

    International Nuclear Information System (INIS)

    Kachurin, G. A.; Cherkova, S. G.; Skuratov, V. A.; Marin, D. V.; Kesler, V. G.; Volodin, V. A.

    2011-01-01

    Thermally grown SiO 2 layers have been irradiated with 700-MeV Bi ions with doses of (3–10) × 10 12 cm −2 . It is found that, even after a dose of 3 × 10 12 cm −2 , a photoluminescence band in the region of 600 nm appears. Its intensity levels off at a dose of ∼5 × 10 12 cm −2 . The nature of the emission centers is studied by the methods of infrared transmission, Raman scattering, X-ray photoelectron spectroscopy, ellipsometry, and the reaction to passivating low-temperature anneals. It is established that irradiation brings about a decrease in the number of Si-O bonds with a relevant increase in the Si-Si bonds. It is assumed that the photoluminescence is caused by nanostructures containing an excess Si and/or having a deficit of O. The reaction of reduction of SiO 2 proceeds in ion tracks due to high levels of ionization and heating within these tracks. The dose dependence is used to estimate the diameter of a track at 8–9 nm.

  19. Silver nanoparticle deposition on inverse opal SiO2 films embedded in protective polypropylene micropits for SERS applications

    Science.gov (United States)

    Ammosova, Lena; Ankudze, Bright; Philip, Anish; Jiang, Yu; Pakkanen, Tuula T.; Pakkanen, Tapani A.

    2018-01-01

    Common methods to fabricate surface enhanced Raman scattering (SERS) substrates with controlled micro-nanohierarchy are often complex and expensive. In this study, we demonstrate a simple and cost effective method to fabricate SERS substrates with complex geometries. Microworking robot structuration is used to pattern a polypropylene (PP) substrate with micropits, facilitating protective microenvironment for brittle SiO2 inverse opal (IO) structure. Hierarchical SiO2 IO patterns were obtained using polystyrene (PS) spheres as a sacrificial template, and were selectively embedded into the hydrophilized PP micropits. The same microworking robot technique was subsequently used to deposit silver nanoparticle ink into the SiO2 IO cavities. The fabricated multi-level micro-nanohierarchy surface was studied to enhance Raman scattering of the 4-aminothiophenol (4-ATP) analyte molecule. The results show that the SERS performance of the micro-nanohierarchical substrate increases significantly the Raman scattering intensity compared to substrates with structured 2D surface geometries.

  20. THE THERMODYNAMIC PROPERTIES OF MELTS OF DOUBLE SYSTEM MgO – Al2O3, MgO – SiO2, MgO – CaF2, Al2O3 – SiO2, Al2O3 – CaF2, SiO2 – CaF2

    Directory of Open Access Journals (Sweden)

    В. Судавцова

    2012-04-01

    Full Text Available Methodology of prognostication of thermodynamics properties of melts is presented from the coordinatesof liquidus of diagram of the state in area of equilibria a hard component is solution, on which energies ofmixing of Gibbs are expected in the double border systems of MgO – Al2O3, MgO – SiO2, MgO – CaF2,Al2O3 – SiO2, Al2O3 - CaF2, SiO2 - CaF2. For the areas of equilibrium there is quasibinary connection(MgAl2O4, Mg2SiO4, Al6Si2O13 – a grout at calculations was used equalization of Hauffe-Wagner. Theobtained data comport with literary

  1. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    Science.gov (United States)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  2. SiO2 films deposited on silicon at low temperature by plasma-enhanced decomposition of hexamethyldisilazane: Defect characterization

    International Nuclear Information System (INIS)

    Croci, S.; Pecheur, A.; Autran, J.L.; Vedda, A.; Caccavale, F.; Martini, M.; Spinolo, G.

    2001-01-01

    Silicon dioxide films have been deposited by plasma-enhanced chemical vapor deposition at low substrate temperature (50 deg. C) in a parallel-plate reactor using hexamethyldisilazane (HMDS), diluted in He, and O 2 as Si and O precursors. The effect of the O 2 /(HMDS+He) flow rate ratio on the oxide properties has been investigated in the range of 0.05-1.25 by means of deposition rate, wet etching rate, secondary ion mass spectrometry, thermally stimulated luminescence, and high frequency capacitance-voltage measurements. Both the deposition rate and the etching rate increase by increasing the O 2 /(HMDS+He) flow rate ratio and reach a constant value at flow rate ratios higher than 0.6. The strong increase and saturation in the deposition rate can be attributed to the impinging oxide atoms flux and to the consumption of silyl radicals at the deposition surface, respectively. The Si/SiO 2 interface state density and the positive fixed charge density are in the range 1x10 11 -1x10 12 eV -1 cm -2 and 6x10 11 -1.5x10 12 C cm -2 , respectively. These concentrations are comparable with literature data concerning SiO 2 films obtained by plasma enhanced chemical vapor deposition at temperatures higher than 200 deg. C using other Si precursors. Moreover, the interface state density decreases while the fixed oxide charge increases by increasing the O 2 /(HMDS+He) flow rate ratio. A correlation has been found between defects monitored by thermally stimulated luminescence and fixed oxide charges. From a comparison with secondary ion mass spectrometry results, the fixed oxide charges can be preliminarily attributed to intrinsic defects

  3. Depth profiling of transport properties of in-situ grown YBa_2Cu_3O_7-x films for coated conductor applications

    Science.gov (United States)

    Jo, William; Huh, J.-U.; Hammond, R. H.; Beasley, M. R.

    2003-03-01

    We report depth profiling of the local critical current density and resistivity of YBa_2Cu_3O_7-x (YBCO) films grown by in-situ electron beam evaporation. The method provides important information on the uniformity of the films, and therefore on the commonly observed property that the critical currents of coated conductor high temperature superconductor films do not scale linearly with thickness. Using a methodology of layer-by-layer etching, depth profiling of critical currents and resistivity of the films has been achieved. We use a Bromine methanol mixture to etch down YBCO films with an etch rate of 60 nm/min. At each step, we also observe surface morphology using high resolution scanning electron microscopy. In this talk, we report further study of the results found earlier that YBCO films deposited at high rates are composed of an upper layer of defected YBCO with a local Jc of 5 - 7 MA/cm^2 and a lower more perfect layer with no critical current capacity. The information derived may be useful in the characterization and optimization of superconducting thin films for electrical power and other applications.

  4. Cu{sub 2}ZnSnS{sub 4} thin films grown by flash evaporation and subsequent annealing in Ar atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Caballero, R., E-mail: raquel.caballero@uam.es [Universidad Autónoma de Madrid, Departamento de Física Aplicada, M12, C/Francisco Tomás y Valiente 7, E-28049 Madrid (Spain); Izquierdo-Roca, V. [IREC, Catalonia Institute for Energy Research, C. Jardins de les Dones de Negre 1, Sant Adriá del Besòs, E-08930 Barcelona (Spain); Merino, J.M.; Friedrich, E.J. [Universidad Autónoma de Madrid, Departamento de Física Aplicada, M12, C/Francisco Tomás y Valiente 7, E-28049 Madrid (Spain); Climent-Font, A. [Universidad Autónoma de Madrid, Departamento de Física Aplicada, M12, C/Francisco Tomás y Valiente 7, E-28049 Madrid (Spain); CMAM, Universidad Autónoma de Madrid, C/Faraday 3, E-28049, Madrid (Spain); Saucedo, E. [IREC, Catalonia Institute for Energy Research, C. Jardins de les Dones de Negre 1, Sant Adriá del Besòs, E-08930 Barcelona (Spain); Pérez-Rodríguez, A. [IREC, Catalonia Institute for Energy Research, C. Jardins de les Dones de Negre 1, Sant Adriá del Besòs, E-08930 Barcelona (Spain); IN" 2UB, Departament d' Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain); León, M. [Universidad Autónoma de Madrid, Departamento de Física Aplicada, M12, C/Francisco Tomás y Valiente 7, E-28049 Madrid (Spain)

    2013-05-01

    A study of Cu{sub 2}ZnSnS{sub 4} thin films grown by flash evaporation and subsequently annealed in Ar atmosphere has been carried out. Prior to thin film deposition, Cu{sub 2}ZnSnS{sub 4} bulk compounds with stoichiometric and Zn-rich compositions were synthesized as evaporation sources. The characteristics of the bulk compounds and thin films were investigated by X-ray diffraction, Raman spectroscopy, scanning electron microscopy and elastic back scattering. Cu{sub 2}ZnSnS{sub 4} deposited films contain lower concentrations of Zn than the bulk compounds used as evaporation sources, which is related to a preferential Zn re-evaporation during the deposition process. The desired kesterite composition for solar cell applications was achieved by using a Zn-rich compound as the evaporation source plus a thermal treatment at 620 °C in Ar atmosphere. - Highlights: ► Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films by flash evaporation + annealing in Ar atmosphere ► Difficulty of growing a single phase kesterite material ► X-ray diffraction and Raman spectroscopy to identify the different phases ► Importance of the starting film composition to get the desired CZTS material ► Annealing treatment to obtain the optimum material to be used for CZTS solar cells.

  5. Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S. [Department of Physics, University School of Sciences, Gujarat University, Ahmedabad-380 009 (India)

    2016-05-23

    Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3}, while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.

  6. Electron-diffraction and spectroscopical characterisation of ultrathin ZnS films grown by molecular beam epitaxy on GaP(0 0 1)

    International Nuclear Information System (INIS)

    Zhang, L.; Szargan, R.; Chasse, T.

    2004-01-01

    ZnS films were grown by molecular beam epitaxy employing a single compound effusion cell on GaP(0 0 1) substrate at different temperatures, and characterised by means of low energy electron diffraction, X-ray and ultra-violet photoelectron spectroscopy, angle-resolved ultra-violet photoelectron spectroscopy and X-ray emission spectroscopy. The GaP(0 0 1) substrate exhibits a (4x2) reconstruction after Ar ion sputtering and annealing at 370 deg. C. Crystal quality of the ZnS films depends on both film thickness and growth temperature. Thinner films grown at higher temperatures and thicker films grown at lower temperatures have better crystal quality. The layer-by-layer growth mode of the ZnS films at lower (25, 80 and 100 deg. C) temperatures changes to layer-by-layer-plus-island mode at higher temperatures (120, 150 and 180 deg. C). A chemical reaction takes place and is confined to the interface. The valence band offset of the ZnS-GaP heterojunction was determined to be 0.8±0.1 eV. Sulphur L 2,3 emission spectra of ZnS powder raw material and the epitaxial ZnS films display the same features, regardless of the existence of the Ga-S bonding in the film samples

  7. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  8. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.; Quevedo-Ló pez, Manuel Angel Quevedo; Alshareef, Husam N.; Gnade, Bruce E.; Ramí rez-Bon, Rafael

    2010-01-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  9. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.

    2010-03-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  10. Electrical and optical properties of thin films with a SnS{sub 2} - Bi{sub 2}S{sub 3} alloy grown by sulphurization

    Energy Technology Data Exchange (ETDEWEB)

    Dussan, A; Mesa, F; Gordillo, G [Departamento de Fisica, Universidad Nacional de Colombia, Bogota Cr.30 No 45-03 (Colombia); Botero, M, E-mail: ggordillog@unal.edu.c, E-mail: adussanc@unal.edu.c [Departamento de Fisica, Universidad Central, Bogota Cr.5 No 21A-03 (Colombia)

    2009-05-01

    In this work, thin films of SnS{sub 2} with increased Bi content were grown by sulphurization of a thin film of Sn:Bi alloy, at temperatures around 300{sup 0}C. The effect of the Bi concentration on the optical, electrical and structural properties was determined through measurements of spectral transmittance, conductivity and x-ray diffraction XRD respectively. It was found that the optical constants (refractive index n, absorption coefficient alpha and energy gap Eg) and the electrical conductivity are significantly affected by the Bi concentration. In particular, a variation of the energy gap between 1.44 and 1.63 eV and a change of the conductivity greater than three orders of magnitude were observed when the content of Bi in the Sn:Bi alloy varied between 0 and 100 %. The analysis of the XRD measurements allowed us to find that the SnS: Bi films grow with a mixture of the SnS{sub 2} and Bi{sub 2}S{sub 3} phases, independently of the Bi content.

  11. Support-Free Transfer of Ultrasmooth Graphene Films Facilitated by Self-Assembled Monolayers for Electronic Devices and Patterns.

    Science.gov (United States)

    Wang, Bin; Huang, Ming; Tao, Li; Lee, Sun Hwa; Jang, A-Rang; Li, Bao-Wen; Shin, Hyeon Suk; Akinwande, Deji; Ruoff, Rodney S

    2016-01-26

    We explored a support-free method for transferring large area graphene films grown by chemical vapor deposition to various fluoric self-assembled monolayer (F-SAM) modified substrates including SiO2/Si wafers, polyethylene terephthalate films, and glass. This method yields clean, ultrasmooth, and high-quality graphene films for promising applications such as transparent, conductive, and flexible films due to the absence of residues and limited structural defects such as cracks. The F-SAM introduced in the transfer process can also lead to graphene transistors with enhanced field-effect mobility (up to 10,663 cm(2)/Vs) and resistance modulation (up to 12×) on a standard silicon dioxide dielectric. Clean graphene patterns can be realized by transfer of graphene onto only the F-SAM modified surfaces.

  12. Light-emitting Si nanostructures formed by swift heavy ions in stoichiometric SiO2 layers

    Science.gov (United States)

    Kachurin, G. A.; Cherkova, S. G.; Marin, D. V.; Kesler, V. G.; Volodin, V. A.; Skuratov, V. A.

    2012-07-01

    Three hundred and twenty nanometer-thick SiO2 layers were thermally grown on the Si substrates. The layers were irradiated with 167 MeV Xe ions to the fluences ranging between 1012 cm-2 and 1014 cm-2, or with 700 MeV Bi ions in the fluence range of 3 × 1012-1 × 1013 cm-2. After irradiation the yellow-orange photoluminescence (PL) band appeared and grew with the ion fluences. In parallel optical absorption in the region of 950-1150 cm-1, Raman scattering and X-ray photoelectron spectroscopy evidenced a decrease in the number of Si-O bonds and an increase in the number of Si-coordinated atoms. The results obtained are interpreted as the formation of the light-emitting Si-enriched nanostructures inside the tracks of swift heavy ions through the disproportionation of SiO2. Ionization losses of the ions are regarded as responsible for the processes observed. Difference between the dependences of the PL intensity on the fluences of Xe and Bi ions are ascribed to their different stopping energy, therewith the diameters of the tracks of Xe and Bi ions were assessed as <3 nm and ˜10 nm, respectively. The observed shift of the PL bands, induced by Xe and Bi ions, agrees with the predictions of the quantum confinement theory.

  13. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    Directory of Open Access Journals (Sweden)

    Akarapu Ashok

    2014-01-01

    Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.

  14. Thermoelectric properties of ZnSb films grown by MOCVD

    International Nuclear Information System (INIS)

    Venkatasubramanian, R.; Watko, E.; Colpitts, T.

    1997-04-01

    The thermoelectric properties of metallorganic chemical vapor deposited (MOCVD) ZnSb films are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the growth of thicker ZnSb films lead to improved carrier mobilities and lower free-carrier concentrations. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 to 170 C, with peak Seebeck coefficients as high as 470 microV/K at 220 C. The various growth conditions, including the use of intentional dopants, to improve the Seebeck coefficients at room temperature and above, are discussed. A short annealing of the ZnSb films at temperatures of ∼ 200 C resulted in reduced free-carrier levels and higher Seebeck coefficients at 300 K. Finally, ZT values based on preliminary thermal conductivity measurements using the 3-ω method are reported

  15. Crystalline thin films of transition metal hexacyanochromates grown under Langmuir monolayer

    International Nuclear Information System (INIS)

    Bagkar, Nitin; Choudhury, Sipra; Kim, Kyung-Hee; Chowdhury, Prasanta; Lee, Sung-Ik; Yakhmi, J.V.

    2006-01-01

    Crystalline films of cobalt, nickel and iron hexacyanochromates (analogues of Prussian blue) were grown at air-water interface using a surfactant monolayer as a template. These films were transferred on suitable substrates and characterized by X-ray diffraction (XRD), cyclic voltammetry and magnetization measurements. XRD patterns confirmed the formation of oriented crystals in {100} direction for all these films. Magnetization data on nickel and iron hexacyanochromate films indicated ferromagnetic behaviour below Curie temperatures of 72 and 21 K, respectively. The methodology adopted by us to grow crystalline films is useful in obtaining magnetic thin films of analogues of Prussian blue with interesting magnetic properties with respect to transition temperatures and nature of magnetic ordering

  16. Properties of CoSb{sub 3} films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Christen, H M; Mandrus, D G; Norton, D P; Boatner, L A; Sales, B C

    1997-07-01

    Polycrystalline CoSb{sub 3} films were grown on a variety of electrically insulating substrates by pulsed laser ablation from a stoichiometric hot-pressed target. These films are fully crystallized in the skutterudite structure, and the grains exhibit a strongly preferred alignment of the cubic [310]-axis perpendicular to the substrate surface. The film quality is studied for different single-crystal substrates and as a function of growth temperature and background gas. Hall measurements show that the films are p-type semiconducting with a room-temperature carrier density of 3 x 10{sup 20} holes/cm{sup 3}. The Hall mobility is found to be 50 to 60 cm{sup 2}/Vs, which is high for such a heavily-doped material. The Seebeck coefficient and the resistivity are measured as a function of temperature and are compared to bulk measurements.

  17. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  18. Photoemission electronic states of epitaxially grown magnetite films

    International Nuclear Information System (INIS)

    Zalecki, R.; Kolodziejczyk, A.; Korecki, J.; Spiridis, N.; Zajac, M.; Kozlowski, A.; Kakol, Z.; Antolak, D.

    2007-01-01

    The valence band photoemission spectra of epitaxially grown 300 A single crystalline magnetite films were measured by the angle-resolved ultraviolet photoemission spectroscopy (ARUPS) at 300 K. The samples were grown either on MgO(0 0 1) (B termination) or on (0 0 1) Fe (iron-rich A termination), thus intentionally presenting different surface stoichiometry, i.e. also different surface electronic states. Four main features of the electron photoemission at about -1.0, -3.0, -5.5 and -10.0 eV below a chemical potential show systematic differences for two terminations; this difference depends on the electron outgoing angle. Our studies confirm sensitivity of angle resolved PES technique on subtleties of surface states

  19. Geometric structure of thin SiO xN y films on Si(100)

    Science.gov (United States)

    Behrens, K.-M.; Klinkenberg, E.-D.; Finster, J.; Meiwes-Broer, K.-H.

    1998-05-01

    Thin films of amorphous stoichometric SiO xN y are deposited on radiation-heated Si(100) by rapid thermal low-pressure chemical vapour deposition. We studied the whole range of possible compositions. In order to determine the geometric structure, we used EXAFS and photoelectron spectroscopy. Tetrahedrons constitute the short-range units with a central Si atom connected to N and O. The distribution of the possible tetrahedrons can be described by a mixture of the Random Bonding Model and the Random Mixture Model. For low oxygen contents x/( x+ y)≤0.3, the geometric structure of the film is almost the structure of a-Si 3N 4, with the oxygen preferably on top of Si-N 3 triangles. Higher oxygen contents induce changes in the bond lengths, bond angles and coordination numbers.

  20. On a two-layer Si_3N_4/SiO_2 dielectric mask for low-resistance ohmic contacts to AlGaN/GaN HEMTs

    International Nuclear Information System (INIS)

    Arutyunyan, S. S.; Pavlov, A. Yu.; Pavlov, B. Yu.; Tomosh, K. N.; Fedorov, Yu. V.

    2016-01-01

    The fabrication of a two-layer Si_3N_4/SiO_2 dielectric mask and features of its application in the technology of non-fired epitaxially grown ohmic contacts for high-power HEMTs on AlGaN/GaN heterostructures are described. The proposed Si_3N_4/SiO_2 mask allows the selective epitaxial growth of heavily doped ohmic contacts by nitride molecular-beam epitaxy and the fabrication of non-fired ohmic contacts with a resistance of 0.15–0.2 Ω mm and a smooth surface and edge morphology.

  1. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  2. Improved linearity and reliability in GaN metal-oxide-semiconductor high-electron-mobility transistors using nanolaminate La2O3/SiO2 gate dielectric

    Science.gov (United States)

    Hsu, Ching-Hsiang; Shih, Wang-Cheng; Lin, Yueh-Chin; Hsu, Heng-Tung; Hsu, Hisang-Hua; Huang, Yu-Xiang; Lin, Tai-Wei; Wu, Chia-Hsun; Wu, Wen-Hao; Maa, Jer-Shen; Iwai, Hiroshi; Kakushima, Kuniyuki; Chang, Edward Yi

    2016-04-01

    Improved device performance to enable high-linearity power applications has been discussed in this study. We have compared the La2O3/SiO2 AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with other La2O3-based (La2O3/HfO2, La2O3/CeO2 and single La2O3) MOS-HEMTs. It was found that forming lanthanum silicate films can not only improve the dielectric quality but also can improve the device characteristics. The improved gate insulation, reliability, and linearity of the 8 nm La2O3/SiO2 MOS-HEMT were demonstrated.

  3. Bonding structure and morphology of chromium oxide films grown by pulsed-DC reactive magnetron sputter deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gago, R., E-mail: rgago@icmm.csic.es [Instituto de Ciencia de Materiales de Madrid, Consejo Superior de Investigaciones Científicas, E-28049 Madrid (Spain); Vinnichenko, M. [Fraunhofer-Institut für Keramische Technologien und Systeme IKTS, D-01277 Dresden (Germany); Hübner, R. [Helmholtz-Zentrum Dresden – Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany); Redondo-Cubero, A. [Departamento de Física Aplicada and Centro de Microanálisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain)

    2016-07-05

    Chromium oxide (CrO{sub x}) thin films were grown by pulsed-DC reactive magnetron sputter deposition in an Ar/O{sub 2} discharge as a function of the O{sub 2} fraction in the gas mixture (ƒ) and for substrate temperatures, T{sub s}, up to 450 °C. The samples were analysed by Rutherford backscattering spectrometry (RBS), spectroscopic ellipsometry (SE), atomic force microscopy (AFM), scanning (SEM) and transmission (TEM) electron microscopy, X-ray diffraction (XRD), and X-ray absorption near-edge structure (XANES). On unheated substrates, by increasing ƒ the growth rate is higher and the O/Cr ratio (x) rises from ∼2 up to ∼2.5. Inversely, by increasing T{sub s} the atomic incorporation rate drops and x falls to ∼1.8. XRD shows that samples grown on unheated substrates are amorphous and that nanocrystalline Cr{sub 2}O{sub 3} (x = 1.5) is formed by increasing T{sub s}. In amorphous CrO{sub x}, XANES reveals the presence of multiple Cr environments that indicate the growth of mixed-valence oxides, with progressive promotion of hexavalent states with ƒ. XANES data also confirms the formation of single-phase nanocrystalline Cr{sub 2}O{sub 3} at elevated T{sub s}. These structural changes also reflect on the optical and morphological properties of the films. - Highlights: • XANES of CrO{sub x} thin films grown by pulsed-DC reactive magnetron sputtering. • Identification of mixed-valence amorphous CrO{sub x} oxides on unheated substrates. • Promotion of amorphous chromic acid (Cr{sup VI}) by increasing O{sub 2} partial pressure. • Production of single-phase Cr{sub 2}O{sub 3} films by increasing substrate temperature. • Correlation of bonding structure with morphological and optical properties.

  4. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    Science.gov (United States)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  5. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  6. Stability analysis of SiO2/SiC multilayer coatings

    International Nuclear Information System (INIS)

    Fu Zhiqiang; Jean-Charles, R.

    2006-01-01

    The stability behaviours of SiC coatings and SiO 2 /SiC coatings in helium with little impurities are studied by HSC Chemistry 4.1, the software for analysis of Chemical reaction and equilibrium in multi-component complex system. It is found that in helium with a low partial pressure of oxidative impurities under different total pressure, the key influence factor controlling T cp of SiC depends is the partial pressure of oxidative impurities; T cp of SiC increases with the partial pressure of oxidative impurities. In helium with a low partial pressure of different impurities, the key influence factor of T cs of SiO 2 are both the partial pressure of impurities and the amount of impurities for l mol SiO 2 ; T cs of SiO 2 increases with the partial pressure of oxidative impurities at the same amount of the impurities for 1 mol SiO 2 while it decreases with the amount of the impurities for 1 mm SiO 2 at the same partial pressure of the impurities. The influence of other impurities on T cp of SiC in He-O 2 is studied and it is found that CO 2 , H 2 O and N-2 increase T cp of SiC in He-O 2 while H 2 , CO and CH 4 decrease T cp of SiC He-O 2 . When there exist both oxidative impurities and reductive impurities, their effect on T cs of SiO 2 can be suppressed by the other. In HTR-10 operation atmosphere, SiO 2 /SiC coatings can keep stable status at higher temperature than SiC coatings, so SiO 2 /SiC coatings is more suitable to improve the oxidation resistance of graphite in HTR-10 operation atmosphere compared with SiC coatings. (authors)

  7. Effect of Commercial SiO2 and SiO2 from rice husk ash loading on biodegradation of Poly (lactic acid) and crosslinked Poly (lactic acid)

    Science.gov (United States)

    Prapruddivongs, C.; Apichartsitporn, M.; Wongpreedee, T.

    2017-09-01

    In this work, biodegradation behavior of poly (lactic acid) (PLA) and crosslinked PLA filled with two types of SiO2, precipitated SiO2 (commercial SiO2) and SiO2 from rice husk ash, were studied. Rice husks were first treated with 2 molar hydrochloric acid (HCl) to produce high purity SiO2, before burnt in a furnace at 800°C for 6 hours. All components were melted bending by an internal mixer then hot pressed using compression molder to form tested specimens. FTIR spectra of SiO2 and PLA samples were investigated. The results showed the lack of silanol group (Si-OH) of rice husk ash after steric acid surface modification, while the addition of particles can affect the crosslinking of the PLA. For biodegradation test by evaluating total amount of carbon dioxide (CO2) evolved during 60 days incubation at a controlled temperature of 58±2°C, the results showed that the biodegradation of crosslinked PLA occurred slower than the neat PLA. However, SiO2 incorporation enhanced the degree of biodegradation In particular, introducing commercial SiO2 in PLA and crosslinked PLA tended to clearly increase the degree of biodegradation as a consequence of the more accelerated hydrolysis degradation.

  8. Reactive pulsed laser deposition of Cu2ZnSnS4 thin films in H2S

    International Nuclear Information System (INIS)

    Surgina, G.D.; Zenkevich, A.V.; Sipaylo, I.P.; Nevolin, V.N.; Drube, W.; Teterin, P.E.; Minnekaev, M.N.

    2013-01-01

    Cu 2 ZnSnS 4 (CZTS) thin films have been grown by reactive pulsed laser deposition in H 2 S atmosphere, combining the alternate ablation from the metallic (Cu) and alloyed (Zn x Sn) targets at room temperature. The morphological, structural and optical properties of as grown CZTS thin films with varying compositions as well as upon annealing in N 2 atmosphere are investigated by Rutherford backscattering spectrometry, X-ray diffraction, Raman spectroscopy and optical spectrophotometry. The chemical bonding in the “bulk” of the CZTS films is elucidated via hard X-ray photoemission spectroscopy measurements. The formation of the good quality stoichiometric polycrystalline CZTS films is demonstrated upon optimization of the growth parameters. - Highlights: ► The new method of Cu 2 ZnSnS 4 (CZTS) thin films growth in H 2 S was realized. ► CZTS films were grown by pulsed laser deposition from Cu and alloyed Zn–Sn targets. ► The effect of the processing parameters on the CZTS properties was investigated. ► The chemical bonding in the “bulk” of CZTS films was studied

  9. SiO2@TiO2 Coating: Synthesis, Physical Characterization and Photocatalytic Evaluation

    Directory of Open Access Journals (Sweden)

    A. Rosales

    2018-03-01

    Full Text Available Use of silicon dioxide (SiO2 and titanium dioxide (TiO2 have been widely investigated individually in coatings technology, but their combined properties promote compatibility for different innovative applications. For example, the photocatalytic properties of TiO2 coatings, when exposed to UV light, have interesting environmental applications, such as air purification, self-cleaning and antibacterial properties. However, as reported in different pilot projects, serious durability problems, associated with the adhesion between the substrate and TiO2, have been evidenced. Thus, the aim of this work is to synthesize SiO2 together with TiO2 to increase the durability of the photocatalytic coating without affecting its photocatalytic potential. Therefore, synthesis using sonochemistry, synthesis without sonochemistry, physical characterization, photocatalytic evaluation, and durability of the SiO2, SiO2@TiO2 and TiO2 coatings are presented. Results indicate that using SiO2 improved the durability of the TiO2 coating without affecting its photocatalytic properties. Thus, this novel SiO2@TiO2 coating shows potential for developing long-lasting, self-cleaning and air-purifying construction materials.

  10. Potassium ions in SiO2: electrets for silicon surface passivation

    Science.gov (United States)

    Bonilla, Ruy S.; Wilshaw, Peter R.

    2018-01-01

    This manuscript reports an experimental and theoretical study of the transport of potassium ions in thin silicon dioxide films. While alkali contamination was largely researched in the context of MOSFET instability, recent reports indicate that potassium ions can be embedded into oxide films to produce dielectric materials with permanent electric charge, also known as electrets. These electrets are integral to a number of applications, including the passivation of silicon surfaces for optoelectronic devices. In this work, electric field assisted migration of ions is used to rapidly drive K+ into SiO2 and produce effective passivation of silicon surfaces. Charge concentrations of up to ~5  ×  1012 e cm-2 have been achieved. This charge was seen to be stable for over 1500 d, with decay time constants as high as 17 000 d, producing an effectively passivated oxide-silicon interface with SRV  industrial manufacture of silicon optoelectronic devices.

  11. Studies on transient characteristics of unipolar resistive switching processes in TiO2 thin film grown by atomic layer deposition

    Science.gov (United States)

    Sahu, Vikas Kumar; Das, Amit K.; Ajimsha, R. S.; Misra, P.

    2018-05-01

    The transient characteristics of resistive switching processes have been investigated in TiO2 thin films grown by atomic layer deposition (ALD) to study the temporal evolution of the switching processes and measure the switching times. The reset and set switching times of unipolar Au/TiO2/Pt devices were found to be ~250 µs and 180 ns, respectively in the voltage windows of 0.5–0.9 V for reset and 1.9–4.8 V for set switching processes, obtained from quasi-static measurements. The reset switching time decreased exponentially with increasing amplitude of applied reset voltage pulse, while the set switching time remained insensitive to the amplitude of the set voltage pulse. A fast reset process with a switching time of ~400 ns was achieved by applying a reset voltage of ~1.8 V, higher than that of the quasi-static reset voltage window but below the set voltage window. The sluggish reset process in TiO2 thin film and the dependence of the reset switching time on the amplitude of the applied voltage pulse was understood on the basis of a self-accelerated thermal dissolution model of conducting filaments (CFs), where a higher temperature of the CFs owing to enhanced Joule heating at a higher applied voltage imposes faster diffusion of oxygen vacancies, resulting in a shorter reset switching time. Our results clearly indicate that fast resistive switching with switching times in hundreds of nanoseconds can be achieved in ALD-grown TiO2 thin films. This may find applications in fast non-volatile unipolar resistive switching memories.

  12. Dependence of Photocatalytic Activity of TiO2-SiO2 Nanopowders

    Directory of Open Access Journals (Sweden)

    M. Riazian

    2014-10-01

    Full Text Available Structural properties and chemical composition change the photocatalytic activity in TiO2-SiO2 nanopowder composite. The SiO2-TiO2 nanostructure is synthesized based on sol–gel method. The nanoparticles are characterized by x-ray fluorescents (XRF, x- ray diffraction (XRD, tunneling electron microscopy (TEM, field emission scanning electron microscopy (FE-SEM, UV-vis. Spectrophotometer and furrier transmission create infrared absorption (FTIR techniques. The rate constant k for the degradation of methylen blue in its aqueous solution under UV irradiation is determined as a measure of photocatalytic activity. Dependence between photocatalytic activity and SiO2 content in the composite is determined. Rate constant k is found dependent on the content of SiO2 in the composite that calcined at 900 oC. The addition of low composition SiO2 to the TiO2 matrix (lower than 45% enhances the photocatalytic activity due to thermal stability and increasing in the surface area. The effects of chemical compositions on the surface topography and the crystallization of phases are studied.

  13. Vacancy-type defects in TiO2/SiO2/SiC dielectric stacks

    Science.gov (United States)

    Coleman, P. G.; Burrows, C. P.; Mahapatra, R.; Wright, N. G.

    2007-07-01

    Open-volume (vacancy-type) point defects have been observed in ˜80-nm-thick titanium dioxide films grown on silicon dioxide/4H silicon carbide substrates as stacks with high dielectric constant for power device applications, using variable-energy positron annihilation spectroscopy. The concentration of vacancies decreases as the titanium dioxide growth temperature is increased in the range from 700to1000°C, whereas grain boundaries form in the polycrystalline material at the highest growth temperatures. It is proposed that the optimal electrical performance for films grown at 800°C reflects a balance between decreasing vacancy concentration and increasing grain boundary formation. The concentration of vacancies at the silicon dioxide/silicon carbide interface appears to saturate after 2.5h oxidation at 1150°C. A supplementary result suggests that the quality of the 10-μm-thick deposited silicon carbide epilayer is compromised at depths of about 2μm and beyond, possibly by the migration of impurities and/or other defects from the standard-grade highly doped 4H silicon carbide wafer beneath the epilayer during oxidation.

  14. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  15. Sol-gel prepared B2O3-SiO2 thin films for protection of copper substrates

    International Nuclear Information System (INIS)

    Gouda, M.; Ahmed, M.S.; Shahin, M.A.

    2000-01-01

    Full text.Borosilicate coating has potential for applications in the field of electronics, e.g., as passivation layers. One of the main difficulties for applying these films by the conventional melting process is the extensive volatilization of B 2 O 3 from the melt. In this work transparent borosilicate films of 2OB 2 O3.8OSiO 2 (in mole %). Prepared by the sole gel method, were applied onto copper substrates by dip-coating technique. The transparency of these films was very sensitive to the humidity of the atmosphere during the coating process. Transparent films were obtained below 20% relative humidity at 20 celsius degree. High temperature oxidation tests, at about 585 celsius degree stream of air, showed that the sol-gel prepared 2OB 2 O 3 .8OSiO 2 thin films are protective coating for copper substrates under fairly severe temperature gradient and oxidizing atmosphere. It was found that the protective action of these films depends on the film thickness

  16. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  17. Growth of YBCO superconducting thin films on CaF sub 2 buffered silicon

    CERN Document Server

    Bhagwat, S S; Patil, J M; Shirodkar, V S

    2000-01-01

    CaF sub 2 films were grown on silicon using the neutral cluster beam deposition technique. These films were highly crystalline and c-axis oriented. Superconducting YBCO thin films were grown on the Ca F sub 2 buffered silicon using the laser ablation technique. These films showed T sub c (onset) at 90 K and Tc(zero) at 86 K. X-ray diffraction analysis showed that the YBCO films were also oriented along the c-axis.

  18. Effects of Na2WO4 and Na2SiO3 additives in electrolytes on microstructure and properties of PEO coatings on Q235 carbon steel

    International Nuclear Information System (INIS)

    Wang Yunlong; Jiang Zhaohua; Yao Zhongping

    2009-01-01

    Ceramic coatings were achieved on Q235 carbon steel by plasma electrolytic oxidation in aluminate system with and without Na 2 WO 4 and Na 2 SiO 3 additives in electrolyte. Influence of Na 2 WO 4 and Na 2 SiO 3 on surface morphology, phase and elemental composition of PEO coatings were examined by means of scanning electron microscope (SEM), thin-film X-ray diffraction (TF-XRD) and energy dispersive X-ray spectroscopy (EDS). Effects of the two additives on the properties of the coatings including surface roughness, surface micro hardness and friction coefficient were studied. The results showed that W from Na 2 WO 4 and Si from Na 2 SiO 3 in electrolytes entered into the coatings. Na 2 WO 4 additive had no evident effect on phase composition of the coating, while Na 2 SiO 3 additive resulted in the coating changing from crystalline state to amorphous state and increased the content of P in the coating. Both additives reduced the surface roughness of the coatings. With Na 2 WO 4 or Na 2 SiO 3 into the electrolytes, the surface micro hardness of the coating was enhanced to 1433 and 1478, respectively, and the friction coefficients were also decreased to below 0.1.

  19. Arsenic doped p-type zinc oxide films grown by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Fan, J. C.; Zhu, C. Y.; Fung, S.; To, C. K.; Yang, B.; Beling, C. D.; Ling, C. C.; Zhong, Y. C.; Wong, K. S.; Xie, Z.; Brauer, G.; Skorupa, W.; Anwand, W.

    2009-01-01

    As-doped ZnO films were grown by the radio frequency magnetron sputtering method. As the substrate temperature during growth was raised above ∼400 deg. C, the films changed from n type to p type. Hole concentration and mobility of ∼6x10 17 cm -3 and ∼6 cm 2 V -1 s -1 were achieved. The ZnO films were studied by secondary ion mass spectroscopy, x-ray photoelectron spectroscopy (XPS), low temperature photoluminescence (PL), and positron annihilation spectroscopy (PAS). The results were consistent with the As Zn -2V Zn shallow acceptor model proposed by Limpijumnong et al. [Phys. Rev. Lett. 92, 155504 (2004)]. The results of the XPS, PL, PAS, and thermal studies lead us to suggest a comprehensive picture of the As-related shallow acceptor formation.

  20. Arsenic doped p-type zinc oxide films grown by radio frequency magnetron sputtering

    Science.gov (United States)

    Fan, J. C.; Zhu, C. Y.; Fung, S.; Zhong, Y. C.; Wong, K. S.; Xie, Z.; Brauer, G.; Anwand, W.; Skorupa, W.; To, C. K.; Yang, B.; Beling, C. D.; Ling, C. C.

    2009-10-01

    As-doped ZnO films were grown by the radio frequency magnetron sputtering method. As the substrate temperature during growth was raised above ˜400 °C, the films changed from n type to p type. Hole concentration and mobility of ˜6×1017 cm-3 and ˜6 cm2 V-1 s-1 were achieved. The ZnO films were studied by secondary ion mass spectroscopy, x-ray photoelectron spectroscopy (XPS), low temperature photoluminescence (PL), and positron annihilation spectroscopy (PAS). The results were consistent with the AsZn-2VZn shallow acceptor model proposed by Limpijumnong et al. [Phys. Rev. Lett. 92, 155504 (2004)]. The results of the XPS, PL, PAS, and thermal studies lead us to suggest a comprehensive picture of the As-related shallow acceptor formation.

  1. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  2. Magnetic SiO2/Fe3O4 colloidal crystals

    International Nuclear Information System (INIS)

    Huang, C-K; Hou, C-H; Chen, C-C; Tsai, Y-L; Chang, L-M; Wei, H-S; Hsieh, K-H; Chan, C-H

    2008-01-01

    We proposed a novel technique to fabricate colloidal crystals by using monodisperse SiO 2 coated magnetic Fe 3 O 4 (SiO 2 /Fe 3 O 4 ) microspheres. The magnetic SiO 2 /Fe 3 O 4 microspheres with a diameter of 700 nm were synthesized in the basic condition with ferric sulfate, ferrous sulfate, tartaric acid and tetraethyl orthosilicate (TEOS) in the reaction system. Monodisperse SiO 2 /Fe 3 O 4 superparamagnetic microspheres have been successfully used to fabricate colloidal crystals under the existing magnetic field

  3. Transparent nanoscale floating gate memory using self-assembled bismuth nanocrystals in Bi(2) Mg(2/3) Nb(4/3) O(7) (BMN) pyrochlore thin films grown at room temperature.

    Science.gov (United States)

    Jung, Hyun-June; Yoon, Soon-Gil; Hong, Soon-Ku; Lee, Jeong-Yong

    2012-07-03

    Bismuth nanocrystals for a nanoscale floating gate memory device are self-assembled in Bi(2) Mg(2/3) Nb(4/3) O(7) (BMN) dielectric films grown at room temperature by radio-frequency sputtering. The TEM cross-sectional image shows the "real" structure grown on a Si (001) substrate. The image magnified from the dotted box (red color) in the the cross-sectional image clearly shows bismuth nanoparticles at the interface between the Al(2) O(3) and HfO(2) layer (right image). Nanoparticles approximately 3 nm in size are regularly distributed at the interface. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Precipitation of amorphous SiO2 particles and their properties

    Directory of Open Access Journals (Sweden)

    S. Musić

    2011-03-01

    Full Text Available The experimental conditions were optimized for the synthesis of amorphous SiO2 particles by the reaction of neutralization of sodium silicate solution with H2SO4 solution. Amorphous SiO2 particles were characterized by XRD, FT-IR, FE-SEM, EDS and microelectrophoresis. The amorphous peak was located at 2θ = 21.8º in the XRD pattern. Primary SiO2 particles were ~ 15 to ~ 30 nm in size and they aggregated into bigger particles. Amorphous SiO2 particles showed a specific surface area up to 130 m²g-1, dependent on the parameters of the precipitation process. The EDS spectrum of amorphous SiO2 particles did not show contamination with sulfate or other ions, which cannot be excluded in traces. pHzpc =1.7 was obtained by microelectrophoresis.

  5. Application of a substrate bias to control the droplet density on Cu(In,Ga)Se{sub 2} thin films grown by Pulsed Electron Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rampino, S. [IMEM-CNR Institute, Parco Area delle Scienze 37/A, 43124 Parma (Italy); Pattini, F., E-mail: rampino@imem.cnr.it [IMEM-CNR Institute, Parco Area delle Scienze 37/A, 43124 Parma (Italy); Malagù, C.; Pozzetti, L. [Department of Physics and Earth Sciences, University of Ferrara, Via Saragat, 1-44122 Ferrara (Italy); Stefancich, M. [LENS Laboratory, Masdar Institute of Science and Technology, Masdar City, PO Box 54224, Abu Dhabi (United Arab Emirates); Bronzoni, M. [IMEM-CNR Institute, Parco Area delle Scienze 37/A, 43124 Parma (Italy)

    2014-07-01

    One of the main shortcomings in the fabrication of thin-film solar cells by pulsed high-energy deposition techniques (i.e. Pulsed Laser Deposition or Pulsed Electron Deposition — PED), is the presence of a significant number of particulates on the film surface. This affects the morphological properties of the cell active layers and, ultimately, the performance of the final device. To reduce the density of these defects, we deposited a Cu(In,Ga)Se{sub 2} (CIGS) thin film by PED and studied the effect on the film morphology when a DC bias was applied between the substrate and the target. Our results show that a negative substrate voltage, comprised between 0 and − 300 V, can not only reduce the droplet density on the CIGS film surface of about one order of magnitude with respect to the standard unbiased case (from 6 × 10{sup 5} to 5 × 10{sup 4} cm{sup −2}), but also lower the maximum particulate size and the surface smoothness. When a positive voltage is applied, we observed that a significant increase in the droplet surface density (up to 10{sup 8} cm{sup −2}) occurs. The abrupt change in the preferred crystal orientation (switching from (112) to (220)/(204) by applying negative and positive biases, respectively) is also a direct consequence of the applied DC voltage. These results confirm that the external DC bias could be used as an additional parameter to control the physical properties of thin films grown by PED. - Highlights: • Cu(In,Ga)Se{sub 2} (CIGS) films were grown by Pulsed Electron Deposition (PED). • Positive and negative DC biases were applied between the target and the substrate. • The droplet density can be reduced by one order of magnitude by DC negative bias. • Chemical composition and grain orientation of CIGS are influenced by the DC bias. • The DC bias can be an additional parameter of PED for controlling the film properties.

  6. Surface spins disorder in uncoated and SiO2 coated maghemite nanoparticles

    International Nuclear Information System (INIS)

    Zeb, F.; Nadeem, K.; Shah, S. Kamran Ali; Kamran, M.; Gul, I. Hussain; Ali, L.

    2017-01-01

    We studied the surface spins disorder in uncoated and silica (SiO 2 ) coated maghemite (γ-Fe 2 O 3 ) nanoparticles using temperature and time dependent magnetization. The average crystallite size for SiO 2 coated and uncoated nanoparticles was about 12 and 29 nm, respectively. Scanning electron microscopy (SEM) showed that the nanoparticles are spherical in shape and well separated. Temperature scans of zero field cooled (ZFC)/field cooled (FC) magnetization measurements showed lower average blocking temperature (T B ) for SiO 2 coated maghemite nanoparticles as compared to uncoated nanoparticles. The saturation magnetization (M s ) of SiO 2 coated maghemite nanoparticles was also lower than the uncoated nanoparticles and is attributed to smaller average crystallite size of SiO 2 coated nanoparticles. For saturation magnetization vs. temperature data, Bloch's law (M(T)= M(0).(1− BT b )) was fitted well for both uncoated and SiO 2 coated nanoparticles and yields: B =3×10 −7 K -b , b=2.22 and B=0.0127 K -b , b=0.57 for uncoated and SiO 2 coated nanoparticles, respectively. Higher value of B for SiO 2 coated nanoparticles depicts decrease in exchange coupling due to enhanced surface spins disorder (broken surface bonds) as compared to uncoated nanoparticles. The Bloch's exponent b was decreased for SiO 2 coated nanoparticles which is due to their smaller average crystallite size or finite size effects. Furthermore, a sharp increase of coercivity at low temperatures (<25 K) was observed for SiO 2 coated nanoparticles which is also due to contribution of increased surface anisotropy or frozen surface spins in these smaller nanoparticles. The FC magnetic relaxation data was fitted to stretched exponential law which revealed slower magnetic relaxation for SiO 2 coated nanoparticles. All these measurements revealed smaller average crystallite size and enhanced surface spins disorder in SiO 2 coated nanoparticles than in uncoated γ-Fe 2 O 3 nanoparticles

  7. Thin RuO2 conducting films grown by MOCVD for microelectronic applications

    International Nuclear Information System (INIS)

    Froehlich, K.; Cambel, V.; Machajdik, D.; Pignard, S.; Baumann, P. K.; Lindner, J.; Schumacher, M.

    2002-01-01

    We have prepared thin RuO 2 films by MOCVD using thermal evaporation of Ru(thd) 2 (cod) solid precursor. The films were prepared at deposition temperatures between 250 and 500 grad C on silicon and sapphire substrates. Different structure was observed for the RuO 2 films on these substrates; the films on Si substrate were polycrystalline, while X-ray diffraction analysis revealed epitaxial growth of RuO 2 on sapphire substrates. Polycrystalline RuO 2 films prepared at temperatures below 300 grad C on Si substrate exhibit smooth surface and excellent step coverage. Highly conformal growth of the RuO 2 films at low temperature and low pressure results in nearly 100% step coverage for sub-mm features with 1:1 aspect ratio. Resistivity of the polycrystalline RuO 2 at room temperature ranged between 100 and 200 μ x Ω x cm. These films are suitable for CMOS and RAM applications. (Authors)

  8. PAC study in the HfO2-SiO2 system

    International Nuclear Information System (INIS)

    Chain, C.Y.; Damonte, L.C.; Ferrari, S.; Munoz, E.; Torres, C. Rodriguez; Pasquevich, A.F.

    2010-01-01

    A high-k HfO 2 /SiO 2 gate stack is taking the place of SiO 2 as a gate dielectric in field effect transistors. This fact makes the study of the solid-state reaction between these oxides very important. Nanostructure characterization of a high-energy ball milled and post-annealed equimolar HfO 2 and amorphous SiO 2 powder mixture has been carried out by perturbed angular correlations (PAC) technique. The study was complemented with X-ray diffraction and positron annihilation lifetime spectroscopy (PALS). The experimental results revealed that the ball milling of equimolar mixtures increases the defects concentration in hafnium oxide. No solid-state reaction occurred even after 8 h of milling. The formation of HfSiO 4 (hafnon) was observed in the milled blends annealed at high temperatures.The PAC results of the milled samples are compared with those obtained for pure m-ZrO 2 subjected to high-energy ball milling and with reported microstructure data for the system ZrO 2 -SiO 2 .

  9. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  10. Anisotropic magnetotransport in epitaxial La2/3Ca1/3MnO3 thin films grown by dc-sputtering

    International Nuclear Information System (INIS)

    Moran, O.; Saldarriaga, W.; Prieto, P.; Baca, E.

    2005-01-01

    We have conducted a comprehensive study of the in-plane/out-of-plane magnetic and magnetotransport properties on (001)-oriented La 2/3 Ca 1/3 MnO 3 films epitaxially grown on single crystal (001)-SrTiO 3 substrates by dc-sputtering at high oxygen pressure. The films grew under tensile strain imposed by the lattice mismatch with the substrate. SQUID magnetometry indicated the presence of magnetocrystalline anisotropy at temperatures below the ferromagnetic Curie temperature T C with the easy plane being the film plane. Resistance measurements in magnetic field strengths of up to 6 T, applied both normal and parallel to the film plane, evidenced a distinctive dependence of the resistivity below T C on the angle of the applied field with respect to the plane of the film. During these measurements, transport current and applied magnetic field was all along maintained perpendicular to each other. Neither low-field magnetoresistance (LFMR) nor large magnetoresistance hysteresis were observed on these samples, suggesting that the tensile strain in the first monolayers has been partially released. Additionally, by rotating the sample 360 around an axis parallel to film plane, in magnetic fields ≥2 T, a quadratic sinusoidal dependence of the magnetoresistance (MR) on the polar angle θ was observed. These results can be consistently interpreted in frame of a generalized version of the theory of anisotropic magnetoresistance in transition-metal ferromagnets. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  12. Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers

    International Nuclear Information System (INIS)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Kwok, Hoi-Sing

    2014-01-01

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistors (TFTs) with SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed in this paper. The resulting a-IGZO TFT exhibits high reliability against bias stress and good electrical performance including field-effect mobility of 5 cm 2 /Vs, threshold voltage of 2.5 V, subthreshold swing of 0.63 V/decade, and on/off current ratio of 5 × 10 6 . With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. The proposed a-IGZO TFTs in this paper can act as driving devices in the next generation flat panel displays. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiN x /SiO 2 /SiN x /SiO 2 passivation layers are developed. • The source/drain areas are hydrogen-doped by CHF3 plasma. • The devices show good electrical performance and high reliability against bias stress

  13. An Investigation of Nanocrystalline and Electrochemically Grown Cu2ZnSnS4 Thin Film Using Redox Couples of Different Band Offset

    Directory of Open Access Journals (Sweden)

    Prashant K. Sarswat

    2013-01-01

    Full Text Available Alternative electrolytes were examined to evaluate photoelectrochemical response of Cu2ZnSnS4 films at different biasing potential. Selections of the electrolytes were made on the basis of relative Fermi level position and standard reduction potential. Our search was focused on some cost-effective electrolytes, which can produce good photocurrent during illumination. Thin films were grown on FTO substrate using ink of nanocrystalline Cu2ZnSnS4 particles as well as electrodeposition-elevated temperature sulfurization approach. Our investigations suggest that photoelectrochemical response is mostly due to conduction band-mediated process. Surface topography and phase purity were investigated after each electrochemical test, in order to evaluate film quality and reactivity of electrolytes. Raman examination of film and nanocrystals was conducted for comparison. The difference in photocurrent response was explained due to various parameters such as change in charge transfer rate constant, presence of dangling bond, difference in concentration of adsorbed species in electrode.

  14. Design of laser-driven SiO2-YAG:Ce composite thick film: Facile synthesis, robust thermal performance, and application in solid-state laser lighting

    Science.gov (United States)

    Xu, Jian; Liu, Bingguo; Liu, Zhiwen; Gong, Yuxuan; Hu, Baofu; Wang, Jian; Li, Hui; Wang, Xinliang; Du, Baoli

    2018-01-01

    In recent times, there have been rapid advances in the solid-state laser lighting technology. Due to the large amounts of heat accumulated from the high flux laser radiation, color conversion materials used in solid-state laser lighting devices should possess high durability, high thermal conductivity, and low thermal quenching. The aim of this study is to develop a thermally robust SiO2-YAG:Ce composite thick film (CTF) for high-power solid-state laser lighting applications. Commercial colloidal silica which was used as the source of SiO2, played the roles of an adhesive, a filler, and a protecting agent. Compared to the YAG:Ce powder, the CTF exhibits remarkable thermal stability (11.3% intensity drop at 200 °C) and durability (4.5% intensity drop after 1000 h, at 85 °C and 85% humidity). Furthermore, the effects of the substrate material and the thickness of the CTF on the laser lighting performance were investigated in terms of their thermal quenching and luminescence saturation behaviors, respectively. The CTF with a thickness of 50 μm on a sapphire substrate does not show luminescence saturation, despite a high-power density of incident radiation i.e. 20 W/mm2. These results demonstrate the potential applicability of the CTF in solid-state laser lighting devices.

  15. Positron Studies of Oxide-Semiconductor Structures

    OpenAIRE

    Uedono , A.; Wei , L.; Kawano , T.; Tanigawa , S.; Suzuki , R.; Ohgaki , H.; Mikado , T.

    1995-01-01

    The annihilation characteristics of positrons in SiO2 films grown on Si substrates were studied by using monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured as a function of incident positron energy for SiO2/Si structures fabricated by various oxidation techniques. From the measurements, it was found that the formation probability of positronium (Ps) atoms in SiO2 films strongly depends on the growth condition...

  16. A novel fabrication of a high performance SiO(2)-graphene oxide (GO) nanohybrids: Characterization of thermal properties of epoxy nanocomposites filled with SiO(2)-GO nanohybrids.

    Science.gov (United States)

    Haeri, S Z; Ramezanzadeh, B; Asghari, M

    2017-05-01

    In this study it has been aimed to enhance the thermal resistance of epoxy coating through incorporation of SiO 2 -GO nanohybrids. SiO 2 -GO nanohybrids were synthesized through one-step sol-gel route using a mixture of Tetraethylorthosilane (TEOS) and 3-Aminopropyl triethoxysilane (APTES) silanes. The SiO 2 -GO nanohybrids were prepared at various hydrolysis times of 24, 48 and 72h. Then 0.2wt.% of GO and SiO 2 -GO nanohybrids were separately incorporated into the epoxy coating. Results revealed that amino functionalized SiO 2 nanoparticles with particle size around 20-30nm successfully synthesized on the basal plane of GO. Results showed significant improvement of dispersion and interfacial interactions between nanohybrids and epoxy composite arising from covalent bonding between the SiO 2 -GO and the epoxy matrix. It was found that the thermal resistance of SiO 2 -GO nanohybrids and SiO 2 -GO/Epoxy nanocomposite was noticeably higher than GO and epoxy matrix, respectively. Copyright © 2017 Elsevier Inc. All rights reserved.

  17. Electrochemical Energy Storage Applications of CVD Grown Niobium Oxide Thin Films.

    Science.gov (United States)

    Fiz, Raquel; Appel, Linus; Gutiérrez-Pardo, Antonio; Ramírez-Rico, Joaquín; Mathur, Sanjay

    2016-08-24

    We report here on the controlled synthesis, characterization, and electrochemical properties of different polymorphs of niobium pentoxide grown by CVD of new single-source precursors. Nb2O5 films deposited at different temperatures showed systematic phase evolution from low-temperature tetragonal (TT-Nb2O5, T-Nb2O5) to high temperature monoclinic modifications (H-Nb2O5). Optimization of the precursor flux and substrate temperature enabled phase-selective growth of Nb2O5 nanorods and films on conductive mesoporous biomorphic carbon matrices (BioC). Nb2O5 thin films deposited on monolithic BioC scaffolds produced composite materials integrating the high surface area and conductivity of the carbonaceous matrix with the intrinsically high capacitance of nanostructured niobium oxide. Heterojunctions in Nb2O5/BioC composites were found to be beneficial in electrochemical capacitance. Electrochemical characterization of Nb2O5/BioC composites showed that small amounts of Nb2O5 (as low as 5%) in conjunction with BioCarbon resulted in a 7-fold increase in the electrode capacitance, from 15 to 104 F g(-1), while imparting good cycling stability, making these materials ideally suited for electrochemical energy storage applications.

  18. Enhanced photoresponse characteristics of transistors using CVD-grown MoS2/WS2 heterostructures

    Science.gov (United States)

    Shan, Junjie; Li, Jinhua; Chu, Xueying; Xu, Mingze; Jin, Fangjun; Fang, Xuan; Wei, Zhipeng; Wang, Xiaohua

    2018-06-01

    Semiconductor heterostructures based on transition metal dichalcogenides provide a broad platform to research two-dimensional nanomaterials and design atomically thin devices for fundamental and applied interests. The MoS2/WS2 heterostructure was prepared on SiO2/Si substrate by chemical vapor deposition (CVD) in our research. And the optical properties of the heterostructure was characterized by Raman and photoluminescence (PL) spectroscopy. The similar 2 orders of magnitude decrease of PL intensity in MoS2/WS2 heterostructures was tested, which is attribute to the electrical and optical modulation effects are connected with the interfacial charge transfer between MoS2 and WS2 films. Using MoS2/WS2 heterostructure as channel material of the phototransistor, we demonstrated over 50 folds enhanced photoresponsivity of multilayer MoS2 field-effect transistor. The results indicate that the MoS2/WS2 films can be a promising heterostructure material to enhance the photoresponse characteristics of MoS2-based phototransistors.

  19. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  20. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO2 thin films grown by atomic layer deposition at different temperatures

    Science.gov (United States)

    Saha, D.; Ajimsha, R. S.; Rajiv, K.; Mukherjee, C.; Gupta, M.; Misra, P.; Kukreja, L. M.

    2014-10-01

    TiO2 thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (Ts) in a wide range (50 °C ≤ Ts ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (Ts ≤ 150 °C) to the nanocrystalline films (2500 < Ts ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple-DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  1. Ferromagnetic resonance studies on (Co40Fe40B20)x(SiO2)1-x granular magnetic films

    International Nuclear Information System (INIS)

    Yildiz, F.; Kazan, S.; Aktas, B.; Tarapov, S.I.; Tagirov, L.; Granovsky, B.

    2006-01-01

    Magnetic properties of granular (Co 40 Fe 40 B 20 ) x (SiO 2 ) 1-x thin films (x=0.37-0.53) have been studied by ferromagnetic resonance (FMR) technique. Samples have been prepared by ion-beam deposition of Co-Fe-B particles and SiO 2 on sitall ceramic substrate. The FMR measurements have been done for different orientations of DC magnetic field with respect to the sample plane. It was found that the deduced value of effective magnetization from FMR data of the thin granular film is reduced by the volume-filling factor of the bulk saturation magnetization. The overall magnetization changes from 152 to 515G depending on the ratio of the magnetic nanoparticles in the SiO 2 matrix. From angular measurements an induced in-plane uniaxial anisotropy has been obtained due to the preparation of the film conditions as well

  2. Cr{sub 2}O{sub 3} thin films grown at room temperature by low pressure laser chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sousa, P.M. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal); Silvestre, A.J., E-mail: asilvestre@deq.isel.ipl.p [Instituto Superior de Engenharia de Lisboa and ICEMS, R. Conselheiro Emidio Navarro 1, 1959-007 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Faculdade de Ciencias, Departamento de Fisica and ICEMS, Campo Grande, Ed. C8, 1749-016 Lisboa (Portugal)

    2011-03-31

    Chromia (Cr{sub 2}O{sub 3}) has been extensively explored for the purpose of developing widespread industrial applications, owing to the convergence of a variety of mechanical, physical and chemical properties in one single oxide material. Various methods have been used for large area synthesis of Cr{sub 2}O{sub 3} films. However, for selective area growth and growth on thermally sensitive materials, laser-assisted chemical vapour deposition (LCVD) can be applied advantageously. Here we report on the growth of single layers of pure Cr{sub 2}O{sub 3} onto sapphire substrates at room temperature by low pressure photolytic LCVD, using UV laser radiation and Cr(CO){sub 6} as chromium precursor. The feasibility of the LCVD technique to access selective area deposition of chromia thin films is demonstrated. Best results were obtained for a laser fluence of 120 mJ cm{sup -2} and a partial pressure ratio of O{sub 2} to Cr(CO){sub 6} of 1.0. Samples grown with these experimental parameters are polycrystalline and their microstructure is characterised by a high density of particles whose size follows a lognormal distribution. Deposition rates of 0.1 nm s{sup -1} and mean particle sizes of 1.85 {mu}m were measured for these films.

  3. Europium and samarium doped calcium sulfide thin films grown by PLD

    International Nuclear Information System (INIS)

    Christoulakis, S.; Suchea, M; Katsarakis, N.; Koudoumas, E

    2007-01-01

    Europium and samarium doped calcium sulfide thin films (CaS:Eu,Sm) with different thickness were prepared by the pulsed laser deposition technique using sintered targets. A typical homemade deposition chamber and XeCl excimer laser (308 nm) were employed and the films were deposited in helium atmosphere onto silicon and corning glass substrates. Structural investigations carried out by X-ray diffraction and atomic force microscopy showed a strong influence of the deposition parameters on the film properties. The films grown had an amorphous or polycrystalline structure depending on growth temperature and the number of pulses used, the same parameters affecting the film roughness, the grain shape and dimensions, the film thickness and the optical transmittance. This work indicates that pulsed laser deposition can be a suitable technique for the preparation of CaS:Eu,Sm thin films, the film characteristics being controlled by the growth conditions

  4. NiCo2O4 nanosheets in-situ grown on three dimensional porous Ni film current collectors as integrated electrodes for high-performance supercapacitors

    Science.gov (United States)

    Wang, Tao; Guo, Ying; Zhao, Bo; Yu, Shuhui; Yang, Hai-Peng; Lu, Daniel; Fu, Xian-Zhu; Sun, Rong; Wong, Ching-Ping

    2015-07-01

    Three dimensional interconnected hierarchical porous Ni films are easily fabricated as effective current collectors through hydrogen bubble template electrochemical deposition. The binder-free integrated electrodes of spinel NiCo2O4 nanosheets directly coated the three dimensional porous Ni films are facilely obtained through successively electrochemical co-deposition of Ni/Co alloy layer then followed by subsequent annealing at 350 °C in air. Compared with NiCo2O4 nanosheets on smooth Ni foil or porous NiO/Ni film electrodes, the porous NiCo2O4/Ni integrated film electrodes for supercapacitors demonstrate remarkably higher area specific capacitance. The porous NiCo2O4/Ni film electrodes also exhibit excellent rate capability and cycling stability. The super electrochemical capacitive performances are attributed to the unique integrated architecture of NiCo2O4 nanosheets in-situ grown on three dimensional continuous hierarchical porous Ni collector collectors, which could provide large electrode-electrolyte interface area, high active sites, low contact resistance between current collector and active materials, fast electron conduction and ion/electrolyte diffusion.

  5. Effects of temperature and Mo2C layer on stress and structural properties in CVD diamond film grown on Mo foil

    International Nuclear Information System (INIS)

    Long, Fen; Wei, Qiuping; Yu, Z.M.; Luo, Jiaqi; Zhang, Xiongwei; Long, Hangyu; Wu, Xianzhe

    2013-01-01

    Highlights: •Polycrystalline diamond films were grown on Mo foil substrates by HF-CVD. •We investigated the temperature dependence of the film stress for each sample. •We show that how the thermal stress and intrinsic stress affects the total stress. •The stress of Mo foil substrate obtained by XRD was investigated in this study. •The effect of Mo 2 C interface layer for stress of multilayer system was considered. -- Abstract: Polycrystalline diamond films have been prepared by hot-filament-assisted chemical vapor deposition (HFCVD) on Mo foils. The morphology, growth rate, phase composition, element distribution and residual stress of the films at different temperature were investigated by field-emission scanning electron microscopy, Raman spectrum, field emission electron probe microanalysis and X-ray diffraction. Results show that the residual stress of the diamond films is compressive. The thermal stress plays a decisive role in the total stress, while the intrinsic stress can change the trend of the total stress. The residual stress of substrate gradually changes from tensile stress to compressive stress with the increase of the deposited temperature. A Mo 2 C interlayer is formed during deposition process, and this layer has an important influence on the stresses of films and substrates

  6. Effects of deposition rates on laser damage threshold of TiO2/SiO2 high reflectors

    International Nuclear Information System (INIS)

    Yao Jianke; Xu Cheng; Ma Jianyong; Fang Ming; Fan Zhengxiu; Jin Yunxia; Zhao Yuanan; He Hongbo; Shao Jianda

    2009-01-01

    TiO 2 single layers and TiO 2 /SiO 2 high reflectors (HR) are prepared by electron beam evaporation at different TiO 2 deposition rates. It is found that the changes of properties of TiO 2 films with the increase of rate, such as the increase of refractive index and extinction coefficient and the decrease of physical thickness, lead to the spectrum shift and reflectivity bandwidth broadening of HR together with the increase of absorption and decrease of laser-induced damage threshold. The damages are found of different morphologies: a shallow pit to a seriously delaminated and deep crater, and the different amorphous-to-anatase-to-rutile phase transition processes detected by Raman study. The frequency shift of Raman vibration mode correlates with the strain in film. Energy dispersive X-ray analysis reveals that impurities and non-stoichiometric defects are two absorption initiations resulting to the laser-induced transformation.

  7. Orientation of pentacene molecules on SiO2: From a monolayer to the bulk

    International Nuclear Information System (INIS)

    Zheng, Fan; Park, Byoung-Nam; Seo, Soonjoo; Evans, Paul G.; Himpsel, F. J.

    2007-01-01

    Near edge x-ray absorption fine structure (NEXAFS) spectroscopy is used to study the orientation of pentacene molecules within thin films on SiO 2 for thicknesses ranging from monolayers to the bulk (150 nm). The spectra exhibit a strong polarization dependence of the π * orbitals for all films, which indicates that the pentacene molecules are highly oriented. At all film thicknesses the orientation varies with the rate at which pentacene molecules are deposited, with faster rates favoring a thin film phase with different tilt angles and slower rates leading to a more bulklike orientation. Our NEXAFS results extend previous structural observations to the monolayer regime and to lower deposition rates. The NEXAFS results match crystallographic data if a finite distribution of the molecular orientations is included. Damage to the molecules by hot electrons from soft x-ray irradiation eliminates the splitting between nonequivalent π * orbitals, indicating a breakup of the pentacene molecule

  8. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  9. The structure and composition of lithium fluoride films grown by off-axis pulsed laser ablation

    International Nuclear Information System (INIS)

    Henley, S.J.; Ashfold, M.N.R.; Pearce, S.R.J.

    2003-01-01

    Alkali halide coatings have been reported to act as effective dipole layers to lower the surface work function and induce a negative electron affinity of diamond surfaces. Here, the results of the analysis of films grown on silicon and quartz substrates by 193 nm pulsed laser ablation from a commercially available sintered disk of LiF are reported. The morphology, composition and crystallinity of films grown are examined and suitable deposition parameters for optimising the growth are suggested. The ablation was shown to be very efficient at removing a large amount of material from the target, even at relatively low fluence. The morphology of the films produced was poor, however, with a high density of asperities categorised as either particulates produced by exfoliation, or as droplets produced by hydrodynamic sputtering. An improved morphology with smaller droplets and fewer particulates could be produced by mounting the substrate at an angle of 65 deg. to the axis of the ablation plume and using a fluence close to the measured ablation threshold of 1.2±0.1 J/cm 2 . The elemental composition of the films was shown to be indistinguishable from that of bulk LiF, despite evidence for significant recondensation of Li back onto the target. Films containing crystal grains oriented with the direction normal to the substrate surface were observed at substrate temperatures in excess of 300 deg. C. An improved extent of orientation was observed on the quartz substrates

  10. Suppression of oxygen diffusion by thin Al2O3 films grown on SrTiO3 studied using a monoenergetic positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Kiyohara, M.; Yasui, N.; Yamabe, K.

    2005-01-01

    The annealing behaviors of oxygen vacancies introduced by the epitaxial growth of thin SrTiO 3 and Al 2 O 3 films on SrTiO 3 substrates were studied by using a monoenergetic positron beam. The films were grown by molecular-beam epitaxy without using an oxidant. The Doppler broadening spectra of the annihilation radiation were measured as a function of the incident positron energy for samples fabricated under various growth conditions. The line-shape parameter S, corresponding to the annihilation of positrons in the substrate, was increased by the film growth, suggesting diffusion of oxygen from the substrate into the film and a resultant introduction of vacancies (mainly oxygen vacancies). A clear correlation between the value of S and the substrate conductivity was obtained. From isochronal annealing experiments, the Al 2 O 3 thin film was found to suppress the penetration of oxygen from the atmosphere for annealing temperatures below 600 deg. C. Degradation of the film's oxygen blocking property occurred due to the annealing at 700 deg. C, and this was attributed to the oxidation of the Al 2 O 3 by the atmosphere and the resultant introduction of vacancy-type defects

  11. Sb-related defects in Sb-doped ZnO thin film grown by pulsed laser deposition

    Science.gov (United States)

    Luo, Caiqin; Ho, Lok-Ping; Azad, Fahad; Anwand, Wolfgang; Butterling, Maik; Wagner, Andreas; Kuznetsov, Andrej; Zhu, Hai; Su, Shichen; Ling, Francis Chi-Chung

    2018-04-01

    Sb-doped ZnO films were fabricated on c-plane sapphire using the pulsed laser deposition method and characterized by Hall effect measurement, X-ray photoelectron spectroscopy, X-ray diffraction, photoluminescence, and positron annihilation spectroscopy. Systematic studies on the growth conditions with different Sb composition, oxygen pressure, and post-growth annealing were conducted. If the Sb doping concentration is lower than the threshold ˜8 × 1020 cm-3, the as-grown films grown with an appropriate oxygen pressure could be n˜4 × 1020 cm-3. The shallow donor was attributed to the SbZn related defect. Annealing these samples led to the formation of the SbZn-2VZn shallow acceptor which subsequently compensated for the free carrier. For samples with Sb concentration exceeding the threshold, the yielded as-grown samples were highly resistive. X-ray diffraction results showed that the Sb dopant occupied the O site rather than the Zn site as the Sb doping exceeded the threshold, whereas the SbO related deep acceptor was responsible for the high resistivity of the samples.

  12. Fabrication and characterization of Pb(Zr 0.53,Ti 0.47)O 3-Pb(Nb 1/3,Zn 2/3)O 3 thin films on cantilever stacks

    KAUST Repository

    Fuentes-Fernandez, E. M A

    2010-11-18

    0.9Pb(Zr 0.53,Ti 0.47)O 3-0.1Pb(Zn 1/3,Nb 2/3)O 3 (PZT-PZN) thin films and integrated cantilevers have been fabricated. The PZT-PZN films were deposited on SiO 2/Si or SiO 2/Si 3N 4/SiO 2/poly-Si/Si membranes capped with a sol-gel-derived ZrO 2 buffer layer. It is found that the membrane layer stack, lead content, existence of a template layer of PbTiO 3 (PT), and ramp rate during film crystallization are critical for obtaining large-grained, single-phase PZT-PZN films on the ZrO 2 surface. By controlling these parameters, the electrical properties of the PZT-PZN films, their microstructure, and phase purity were significantly improved. PZT-PZN films with a dielectric constant of 700 to 920 were obtained, depending on the underlying stack structure. © 2010 TMS.

  13. Disordered electrical potential observed on the surface of SiO2 by electric field microscopy

    International Nuclear Information System (INIS)

    GarcIa, N; Yan Zang; Ballestar, A; Barzola-Quiquia, J; Bern, F; Esquinazi, P

    2010-01-01

    The electrical potential on the surface of ∼300 nm thick SiO 2 grown on single-crystalline Si substrates has been characterized at ambient conditions using electric field microscopy. Our results show an inhomogeneous potential distribution with fluctuations up to ∼0.4 V within regions of 1 μm. The potential fluctuations observed at the surface of these usual dielectric holders of graphene sheets should induce strong variations in the graphene charge densities and provide a simple explanation for some of the anomalous behaviors of the transport properties of graphene.

  14. Structural and optical properties of GaN thin films grown on Al2O3 substrates by MOCVD at different reactor pressures

    International Nuclear Information System (INIS)

    Guillén-Cervantes, A.; Rivera-Álvarez, Z.; López-López, M.; Ponce-Pedraza, A.; Guarneros, C.; Sánchez-Reséndiz, V.M.

    2011-01-01

    GaN thin films grown by MOCVD on (0 0 0 1) Al 2 O 3 substrates at different growth pressures were characterized by field-emission scanning electron microscopy, atomic force microscopy, micro-Raman, and photoluminescence at room temperature. It was found that there is an optimum pressure of 76 Torr at which the structural and optical properties of the GaN samples are superior. On the other hand samples grown at higher pressure exhibited hexagonal surface pits and surface spirals. The results showed that the growth pressure strongly influences the morphology, and significantly affects the structural and optical properties of the GaN epilayers.

  15. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  16. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  17. Influence of different carrier gases on the properties of ZnO films grown by MOCVD

    Directory of Open Access Journals (Sweden)

    Wang, Jinzhong

    2008-08-01

    Full Text Available ZnO films were grown on sapphire (001 substrate by atmospheric MOCVD using diethyl zinc and tertiary butanol precursors. The influence of different carrier gases (H2 and He on the properties was analyzed by their structural (XRD, microstructural (SEM and compositional (SIMS characterization. The intensity of the strongest diffraction peak from ZnO (002 plane was increased by about 2 orders of magnitude when He is used as carrier gas, indicating the significant enhancement in crystallinity. The surface of the samples grown using H2 and He carrier gases was composed of leaf-like and spherical grains respectively. Hydrogen [H] content in the film grown using H2 is higher than that using He, indicating that the [H] was influenced by the H2 carrier gas. Ultraviolet emission dominates the low temperature PL spectra. The emission from ZnO films grown using He show higher optical quality and more emission centers.

    Se depositaron películas de ZnO sobre sustratos de zafiro (001 utilizando dietil zinc y butanol terciario como precursores. La influencia de los diferentes gases portadores (H2 y He sobre las propiedades se estudió mediante la caracterización estructural (XRD, microestructural (SEM y composicional (SIMS. La intensidad del pico de difracción más importante del plano (002 del ZnO aumentó en dos órdenes de magnitud cuando se utiliza He como gas portador indicando un incremento significativo de la cristalinidad. La superficie de las muestras crecidas utilizando H2 y He está formada por granos en forma de hoja y de forma esférica respectivamente. El contenido en hidrógeno (H en la película es mayor cuando se utiliza H2 que cuando se utiliza He, indicando que la cantidad de hidrógeno está influenciada por el H2 del gas portador. La emisión ultravioleta domina el espectro PL de baja temperatura. La emisión de las películas de ZnO utilizando

  18. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  19. Adsorption properties of Mg-Al layered double hydroxides thin films grown by laser based techniques

    Energy Technology Data Exchange (ETDEWEB)

    Matei, A., E-mail: andreeapurice@nipne.ro [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Birjega, R.; Vlad, A.; Filipescu, M.; Nedelcea, A.; Luculescu, C. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Zavoianu, R.; Pavel, O.D. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania); Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Laser techniques MAPLE and PLD can successfully be used to produce LDHs thin films. Black-Right-Pointing-Pointer Hydration treatments of the PLD and MAPLE deposited films lead to the LDH reconstruction effect. Black-Right-Pointing-Pointer The Ni retention from aqueous solution occurs in the films via a dissolution-reconstruction mechanism. Black-Right-Pointing-Pointer The films are suitable for applications in remediation of contaminated drinking water or waste waters. - Abstract: Powdered layered double hydroxides (LDHs) have been widely studied due to their applications as catalysts, anionic exchangers or host materials for inorganic and/or organic molecules. Assembling nano-sized LDHs onto flat solid substrates forming thin films is an expanding area of research due to the prospects of novel applications as sensors, corrosion-resistant coatings, components in optical and magnetic devices. Continuous and adherent thin films were grown by laser techniques (pulsed laser deposition - PLD and matrix assisted pulsed laser evaporation - MAPLE) starting from targets of Mg-Al LDHs. The capacity of the grown thin films to retain a metal (Ni) from contaminated water has been also explored. The thin films were immersed in an Ni(NO{sub 3}){sub 2} aqueous solutions with Ni concentrations of 10{sup -3}% (w/w) (1 g/L) and 10{sup -4}% (w/w) (0.1 g/L), respectively. X-ray diffraction (XRD), atomic force microscopy (AFM), scanning electron microscopy (SEM) combined with energy dispersive X-ray analysis (EDX) were the techniques used to characterize the prepared materials.

  20. Absence of traditional magnetoresistivity mechanisms in Sr2FeMoO6 thin films grown on SrTiO3, MgO and NdGaO3 substrates.

    Science.gov (United States)

    Saloaro, M; Majumdar, S; Huhtinen, H; Paturi, P

    2012-09-12

    Magnetoresistive double perovskite Sr(2)FeMoO(6) thin films were grown with two different deposition pressures on SrTiO(3), MgO and NdGaO(3) substrates by pulsed laser deposition and thorough structural, magnetic and magneto-transport characterization was made. According to x-ray diffraction, all the films were phase pure and fully textured. Indication of substrate dependent strain and low angle grain boundaries was found, especially in films on MgO. Both the deposition pressure and the choice of the substrate have a strong influence on the saturation magnetization, M(s), and Curie temperature, T(C). The structural and magnetic data indicate the presence of anti-site disorder (ASD) in the films. The temperature dependence of resistivity showed semiconductive behaviour at temperatures below 100 K and metallic behaviour at higher temperatures. The semiconductive behaviour was found to increase with increasing ASD. In good quality films, up to 12% negative magnetoresistance (MR) was observed and films grown on MgO and NGO substrates also showed low field MR. However, the most significant observation of this study was that the magnetoresistivity of these Sr(2)FeMoO(6) thin films could not be explained with any traditional MR mechanism, but carried the clear signature of superposition of different mechanisms, in particular low angle grain boundary tunnelling and suppression of antiferromagnetically ordered domains under a magnetic field.

  1. Photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films grown by plasma-assisted dual source reactive evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, M., E-mail: alizadeh_kozerash@yahoo.com; Ganesh, V.; Pandikumar, A.; Goh, B.T.; Azianty, S.; Huang, N.M.; Rahman, S.A., E-mail: saadah@um.edu.my

    2016-06-15

    In this work the dependence of photoelectrochemical (PEC) behavior of Al{sub x}In{sub 1−x}N (0.48 ≤x ≤ 0.66) thin films grown by plasma-assisted dual source reactive evaporation, on the plasma dynamics and the alloys properties was studied. The influence of nitrogen flow rate on the compositional, morphological, structural and optical properties of the as-prepared films were investigated using X-ray photoelectron spectroscopy (XPS), Field emission scanning electron microscopy (FESEM), micro Raman spectroscopy and UV–vis spectroscopy. The PEC study of the as-grown Al{sub x}In{sub 1−x}N thin films targeted for water splitting application were performed in the presence of simulated solar irradiation of AM 1.5G (100 mW/cm{sup 2}). The PEC results revealed that the photocurrent for the Al{sub x}In{sub 1−x}N thin film grown at nitrogen flow rate of 80 sccm is ∼10-fold higher than the dark current. From the Mott–Schottky (MS) plots it was deduced that by increasing N{sub 2} flow rate up to 80 sccm, the flat band potential shifts toward more negative values. The good photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films showed that this material could be a potential candidate for PEC water splitting. - Highlights: • Al{sub x}In{sub 1−x}N films were grown by Plasma-aided dual source reactive evaporation. • Effect of nitrogen flow rate on the films properties was investigated. • The band gap of the films decreased from 2.33 to 1.92 eV. • A good photoelectrochemical behavior of the Al{sub x}In{sub 1−x}N thin films was shown. • The photocurrent for the Al{sub 0.55}In{sub 0.45}N films is ∼10-fold higher than dark current.

  2. α-Ga2O3 grown by low temperature atomic layer deposition on sapphire

    Science.gov (United States)

    Roberts, J. W.; Jarman, J. C.; Johnstone, D. N.; Midgley, P. A.; Chalker, P. R.; Oliver, R. A.; Massabuau, F. C.-P.

    2018-04-01

    α-Ga2O3 is a metastable phase of Ga2O3 of interest for wide bandgap engineering since it is isostructural with α-In2O3 and α-Al2O3. α-Ga2O3 is generally synthesised under high pressure (several GPa) or relatively high temperature (∼500 °C). In this study, we report the growth of α-Ga2O3 by low temperature atomic layer deposition (ALD) on sapphire substrate. The film was grown at a rate of 0.48 Å/cycle, and predominantly consists of α-Ga2O3 in the form of (0001) -oriented columns originating from the interface with the substrate. Some inclusions were also present, typically at the tips of the α phase columns and most likely comprising ε-Ga2O3. The remainder of the Ga2O3 film - i.e. nearer the surface and between the α-Ga2O3 columns, was amorphous. The film was found to be highly resistive, as is expected for undoped material. This study demonstrates that α-Ga2O3 films can be grown by low temperature ALD and suggests the possibility of a new range of ultraviolet optoelectronic and power devices grown by ALD. The study also shows that scanning electron diffraction is a powerful technique to identify the different polymorphs of Ga2O3 present in multiphase samples.

  3. Luminescence mechanisms of organic/inorganic hybrid organic light-emitting devices fabricated utilizing a Zn2SiO4:Mn color-conversion layer

    International Nuclear Information System (INIS)

    Choo, D.C.; Ahn, S.D.; Jung, H.S.; Kim, T.W.; Lee, J.Y.; Park, J.H.; Kwon, M.S.

    2010-01-01

    Zn 2 SiO 4 :Mn phosphor layers used in this study were synthesized by using the sol-gel method and printed on the glass substrates by using a vehicle solution and a heating process. Organic/inorganic hybrid organic light-emitting devices (OLEDs) utilizing a Zn 2 SiO 4 :Mn color-conversion layer were fabricated. X-ray diffraction data for the synthesized Zn 2 SiO 4 :Mn phosphor films showed that the Zn ions in the phosphor were substituted into Mn ions. The electroluminescence (EL) spectrum of the deep blue OLEDs showed that a dominant peak at 461 nm appeared. The photoluminescence spectrum for the Zn 2 SiO 4 :Mn phosphor layer by using a 470 nm excitation source showed that a dominant peak at 527 nm appeared, which originated from the 4 T 1 - 6 A 1 transitions of Mn ions. The appearance of the peak around 527 nm of the EL spectra for the OLEDs fabricated utilizing a Zn 2 SiO 4 :Mn phosphor layer demonstrated that the emitted blue color from the deep blue OLEDs was converted into a green color due to the existence of the color-conversion layer. The luminescence mechanisms of organic/inorganic hybrid OLEDs fabricated utilizing a Zn 2 SiO 4 :Mn color-conversion layer are described on the basis of the EL and PL spectra.

  4. Sol-Gel Synthesis and Characterization of Ba1-xGdxTiO3+δ Thin Films on SiO2/Si Substrates Using Spin-Coating Technique

    Directory of Open Access Journals (Sweden)

    Yen Chin TEH

    2017-02-01

    Full Text Available Ba1-xGdxTiO3+δ, at x = 0, 0.05, 0.1, 0.15, 0.2, (BGT thin films have been fabricated on SiO2/Si substrate using Sol-Gel method. The microstructure and surface morphology of the fabricated films have been investigated using X-ray diffraction (XRD and atomic force microscopy (AFM. The XRD results show that the fabricated films are crystalline with perovskite structure. There is a shifting of the preferred peak at 31.5o to a higher angle as the doping ratio increases suggesting a distortion lattice exists in the films, which could be due to the substitution of Gd3+ ions into Ba-site. The decreasing of lattice constants confirms the substitution of Gd3+ in BaTiO3 lattice structure. The microstrain and dislocation density are found to be increased with the increase of Gd3+ doping, which attributed to the reduction of lattice volume that due to the ionic size mismatch effect. The AFM results show decreasing trend in both average grain size and roughness parameters. Therefore, the microstructure and surface morphology of BGT samples is strongly dependent on the Gd3+ doping concentration that mainly due to the difference ionic radius substitution.DOI: http://dx.doi.org/10.5755/j01.ms.23.1.13954

  5. c-Axis correlated extended defects and critical current in YBa2Cu3Ox films grown on Au and Ag-nano dot decorated substrates

    International Nuclear Information System (INIS)

    Mikheenko, P.; Sarkar, A.; Dang, V.-S.; Tanner, J.L.; Abell, J.S.; Crisan, A.

    2009-01-01

    We report measurements of critical current in YBa 2 Cu 3 O x films deposited on SrTiO 3 substrates decorated with silver and gold nanodots. An increase in critical current in these films, in comparison with the films deposited on non-decorated substrates, has been achieved. We argue that this increase comes from the c-axis correlated extended defects formed in the films and originated from the nanodots. Additionally to creating extended defects, the nanodots pin them and prevent their exit from the sample during the film growth, thus keeping a high density of defects and providing a lower rate of decrease of the critical current with the thickness of the films. The best pinning is achieved in the samples with silver nanodots by optimising their deposition temperature. The nanodots grown at a temperature of a few hundred deg. C have a small diameter of a few nanometres and a high surface density of 10 11 -10 12 particles/cm 2 . We give evidence of c-axis correlated extended defects in YBa 2 Cu 3 O x films by planar and cross-sectional atomic force microscopy, transmission electron microscopy and angle-dependent transport measurements of critical current.

  6. Stress effects of the inter-level dielectric layer on the ferroelectric performance of integrated SrBi2Ta2O9 capacitors

    International Nuclear Information System (INIS)

    Hong, Suk-Kyoung; Yang, B.; Oh, Sang Hyun; Kang, Young Min; Kang, Nam Soo; Hwang, Cheol Seong; Kwon, Oh Seong

    2001-01-01

    The thermal stress effects of the inter-level dielectric (ILD) layer on the ferroelectric performance of integrated Pt/SrBi 2 Ta 2 O 9 (SBT)/Pt capacitors were investigated. Two different thin film materials, pure SiO 2 grown at 650 degree C and B- and P-doped SiO 2 grown at 400 degree C by chemical vapor deposition techniques, were tested as an ILD layer. The ILD layer encapsulated the SBT capacitor array. During high temperature thermal cycling (up to 800 degree C) after ILD deposition, which is used for both densifying the ILD and curing of the various damage imposed on the SBT capacitors, a large thermal stress occurred in the bottom Pt layer due to the thermal expansion mismatch between the various layers. In particular, the pure SiO 2 ILD layer between the capacitors did not allow thermal expansion of the Pt layers, which led to a large accumulation of compressive stress in the layer. This resulted in hillock formation in the bottom Pt layer and eventual capacitor failure. However, the B- and P-doped SiO 2 ILD layer contracted during thermal cycling by removing residual impurities, which allowed greater expansion of the Pt layer. Therefore, compressive stress accumulation did not occur and excellent ferroelectric properties were thus obtained from the integrated capacitor array. [copyright] 2001 American Institute of Physics

  7. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  8. Improving the characteristics of Sn-doped In2O2 grown at room temperature with oxygen radical-assisted electron beam deposition

    Science.gov (United States)

    Oh, Min-Suk; Seo, Inseok

    2017-07-01

    Sn-doped In2O3 (Indium tin oxide, ITO) is widely utilized in numerous industrial applications due to its high electrical conductivity and high optical transmittance in the visible region. High quality ITO thin-films have been grown at room temperature by oxygen radical assisted e-beam evaporation without any post annealing or plasma treatment. The introduction of oxygen radicals during e-beam growth greatly improved the surface morphology and structural properties of the ITO films. The obtained ITO film exhibits higher carrier mobility of 43.2 cm2/V·s and larger optical transmittance of 84.6%, resulting in a higher figure of merit of ˜ 2.8 × 10-2 Ω-1, which are quite comparable to the ITO film deposited by conventional e-beam evaporation. These results show that ITO films grown by oxygen radical assisted e-beam evaporation at room temperature with high optical transmittance and high electron conductivity have a great potential for organic optoelectronic devices.

  9. LaNiO3 buffer layers for high critical current density YBa2Cu3O7-δ and Tl2Ba2CaCu2O8-δ films

    International Nuclear Information System (INIS)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-01-01

    We demonstrate high critical current density superconducting films of YBa 2 Cu 3 O 7-δ (YBCO) and Tl 2 Ba 2 CaCu 2 O 8-δ (Tl-2212) using LaNiO 3 (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J c (5 K, H=0) than films grown directly on a bare LaAlO 3 substrate. YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J c at all temperatures and fields compared to those grown on bare LaAlO 3 , correlating to both a-axis grain and nonsuperconducting phase formation. LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films in coated conductor applications. copyright 1999 American Institute of Physics

  10. LaNiO3 Buffer Layers for High Critical Current Density YBa2Cu3O7δ and Tl2Ba2CaCu2O8δ Films

    International Nuclear Information System (INIS)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.-T.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-01-01

    We demonstrate high critical current density superconducting films of YBa 2 Cu 3 O 7-δ (YBCO) and Tl 2 Ba 2 CaCu 2 O 8-δ (Tl-2212) using LaNiO 3 (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J c (5K, H=0) than films grown directly on a bare LaAlO 3 substrate. It is noteworthy that YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J c at all temperatures and fields compared to those grown on bare LaAlO 3 , correlating to both a-axis grain and nonsuperconducting phase formation. With additional optimization, LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films, perhaps ideally suited for coated conductor applications

  11. Photoluminescence of polycrystalline CuIn 0.5 Ga 0.5 Te 2 thin films grown by flash evaporation

    KAUST Repository

    Yandjah, L.

    2018-04-03

    Polycrystalline CuIn0.5Ga0.5Te2 films were deposited by flash evaporation from ingot prepared by reacting, in stoichiometric proportions, high purity Cu, In, Ga and Te elements in vacuum sealed quartz . The as-obtained films were characterized by X – ray diffraction (XRD), transmission electron microscopy (TEM) combined with energy dispersive spectroscopy (EDS). XRD and TEM results showed that the layer has a chalcopyrite-type structure, predominantly oriented along (112) planes, with lattice parameters a = 0.61 nm and c = 1.22 nm. The optical properties in the near - infrared and visible range 600 - 2400 nm have been studied. The analysis of absorption coefficient yielded an energy gap value of 1.27 eV. Photoluminescence analysis of as-grown sample shows two main emission peaks located at 0.87 and 1.19 eV at 4 K.

  12. Transparent conductive ITO/Cu/ITO films prepared on flexible substrates at room temperature

    International Nuclear Information System (INIS)

    Ding Xingwei; Yan Jinliang; Li Ting; Zhang Liying

    2012-01-01

    Transparent conductive ITO/Cu/ITO films were deposited on PET substrates by magnetron sputtering using three cathodes at room temperature. Effects of the SiO 2 buffer layer and thickness of Cu interlayer on the structural, electrical and optical properties of ITO/Cu/ITO films were investigated. The optical transmittance was affected slightly by SiO 2 buffer layer, but the electrical properties of ITO/Cu/ITO films were improved. The transmittance and resistivity of the SiO 2 /ITO/Cu/ITO films decrease as the Cu layer thickness increases. The ITO/Cu/ITO film with 5 nm Cu interlayer deposited on the 40 nm thick SiO 2 buffer layer exhibits the sheet resistance of 143 Ω/sq and transmittance of 65% at 550 nm wavelength. The optical and electrical properties of the ITO/Cu/ITO films were mainly dependent on the Cu layer.

  13. Transparent conductive ITO/Cu/ITO films prepared on flexible substrates at room temperature

    Science.gov (United States)

    Ding, Xingwei; Yan, Jinliang; Li, Ting; Zhang, Liying

    2012-01-01

    Transparent conductive ITO/Cu/ITO films were deposited on PET substrates by magnetron sputtering using three cathodes at room temperature. Effects of the SiO2 buffer layer and thickness of Cu interlayer on the structural, electrical and optical properties of ITO/Cu/ITO films were investigated. The optical transmittance was affected slightly by SiO2 buffer layer, but the electrical properties of ITO/Cu/ITO films were improved. The transmittance and resistivity of the SiO2/ITO/Cu/ITO films decrease as the Cu layer thickness increases. The ITO/Cu/ITO film with 5 nm Cu interlayer deposited on the 40 nm thick SiO2 buffer layer exhibits the sheet resistance of 143 Ω/sq and transmittance of 65% at 550 nm wavelength. The optical and electrical properties of the ITO/Cu/ITO films were mainly dependent on the Cu layer.

  14. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  15. Comparisons between TiO2- and SiO2-flux assisted TIG welding processes.

    Science.gov (United States)

    Tseng, Kuang-Hung; Chen, Kuan-Lung

    2012-08-01

    This study investigates the effects of flux compounds on the weld shape, ferrite content, and hardness profile in the tungsten inert gas (TIG) welding of 6 mm-thick austenitic 316 L stainless steel plates, using TiO2 and SiO2 powders as the activated fluxes. The metallurgical characterizations of weld metal produced with the oxide powders were evaluated using ferritoscope, optical microscopy, and Vickers microhardness test. Under the same welding parameters, the penetration capability of TIG welding with TiO2 and SiO2 fluxes was approximately 240% and 292%, respectively. A plasma column made with SiO2 flux exhibited greater constriction than that made with TiO2 flux. In addition, an anode root made with SiO2 flux exhibited more condensation than that made with TiO2 flux. Results indicate that energy density of SiO2-flux assisted TIG welding is higher than that of TiO2-flux assisted TIG welding.

  16. Friction and wear performance of diamond-like carbon films grown in various source gas plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A.; Nilufer, I.B.; Eryilmaz, O.L.; Beschliesser, M.; Fenske, G.R. [Argonne National Lab., IL (United States). Energy Technology Div.

    1999-11-01

    In this study, we investigated the effects of various source gases (methane, ethane, ethylene, and acetylene) on the friction and wear performance of diamond-like carbon (DLC) films prepared in a plasma-enhanced chemical vapor deposition (PECVD) system. Films were deposited on AISI H13 steel substrates and tested in a pin-on-disk machine against DLC-coated M50 balls in dry nitrogen. We found a close correlation between friction coefficient and source gas composition. Specifically, films grown in source gases with higher hydrogen-to-carbon ratios exhibited lower friction coefficients and a higher wear resistance than films grown in source gases with lower hydrogen-to-carbon (H/C) ratios. The lowest friction coefficient (0.014) was achieved with a film derived from methane with an H/C ratio of 4, whereas the coefficient of films derived from acetylene (H/C=1) was 0.15. Similar correlations were observed for wear rates. Specifically, films derived from gases with lower H/C values were worn out, and the substrate material was exposed, whereas films from methane and ethane remained intact and wore at rates that were almost two orders of magnitude lower than films obtained from acetylene. (orig.)

  17. Optical properties of amorphous Ba0.7Sr0.3TiO3 thin films obtained by metal organic decomposition technique

    Science.gov (United States)

    Qiu, Fei; Xu, Zhimou

    2009-08-01

    In this study, the amorphous Ba0.7Sr0.3TiO3 (BST0.7) thin films were grown onto fused quartz and silicon substrates at low temperature by using a metal organic decomposition (MOD)-spin-coating procedure. The optical transmittance spectrum of amorphous BST0.7 thin films on fused quartz substrates has been recorded in the wavelength range 190~900 nm. The films were highly transparent for wavelengths longer than 330 nm; the transmission drops rapidly at 330 nm, and the cutoff wavelength occurs at about 260 nm. In addition, we also report the amorphous BST0.7 thin film groove-buried type waveguides with 90° bent structure fabricated on Si substrates with 1.65 μm thick SiO2 thermal oxide layer. The design, fabrication and optical losses of amorphous BST0.7 optical waveguides were presented. The amorphous BST0.7 thin films were grown onto the SiO2/Si substrates by using a metal organic decomposition (MOD)-spin-coating procedure. The optical propagation losses were about 12.8 and 9.4 dB/cm respectively for the 5 and 10 μm wide waveguides at the wavelength of 632.8 nm. The 90° bent structures with a small curvature of micrometers were designed on the basis of a double corner mirror structure. The bend losses were about 1.2 and 0.9 dB respectively for 5 and 10 μm wide waveguides at the wavelength of 632.8 nm. It is expected for amorphous BST0.7 thin films to be used not only in the passive optical interconnection in monolithic OEICs but also in active waveguide devices on the Si chip.

  18. Preparation of superhydrophobic poly(methyl methacrylate)-silicon dioxide nanocomposite films

    International Nuclear Information System (INIS)

    Wang Jinyan; Chen Xinhua; Kang Yingke; Yang Guangbin; Yu Laigui; Zhang Pingyu

    2010-01-01

    Superhydrophobic poly(methyl methacrylate)-SiO 2 (coded as PMMA-SiO 2 ) nanocomposite films with micro-nanohierarchical structure were prepared via a simple approach in the absence of low surface-energy compounds. By spin-coating the suspension of hydrophobic silica (SiO 2 ) nanoparticles dispersed in PMMA solution, target nanocomposite films were obtained on glass slides. The wetting behavior of PMMA-SiO 2 nanocomposite films was investigated in relation to the dosage of SiO 2 nanoparticles dispersed in PMMA solution. It was found that hydrophilic PMMA film was transferred to superhydrophobic PMMA-SiO 2 nanocomposite films when hydrophobic SiO 2 nanoparticles were introduced into the PMMA solution at a high enough dosage (0.2 g and above). Resultant PMMA-SiO 2 nanocomposite films had a static water contact angle of above 162 o , showing promising applications in selfcleaning and waterproof for outer wall of building, outer covering for automobile, sanitary wares, and so forth.

  19. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  20. Vertical single- and double-walled carbon nanotubes grown from modified porous anodic alumina templates

    International Nuclear Information System (INIS)

    Maschmann, Matthew R; Franklin, Aaron D; Amama, Placidus B; Zakharov, Dmitri N; Stach, Eric A; Sands, Timothy D; Fisher, Timothy S

    2006-01-01

    Vertical single-walled and double-walled carbon nanotube (SWNT and DWNT) arrays have been grown using a catalyst embedded within the pore walls of a porous anodic alumina (PAA) template. The initial film structure consisted of a SiO x adhesion layer, a Ti layer, a bottom Al layer, a Fe layer, and a top Al layer deposited on a Si wafer. The Al and Fe layers were subsequently anodized to create a vertically oriented pore structure through the film stack. CNTs were synthesized from the catalyst layer by plasma-enhanced chemical vapour deposition (PECVD). The resulting structure is expected to form the basis for development of vertically oriented CNT-based electronics and sensors

  1. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  2. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    Science.gov (United States)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  3. Influences of ultra-thin Ti seed layers on the dewetting phenomenon of Au films deposited on Si oxide substrates

    Science.gov (United States)

    Kamiko, Masao; Kim, So-Mang; Jeong, Young-Seok; Ha, Jae-Ho; Koo, Sang-Mo; Ha, Jae-Geun

    2018-05-01

    The influences of a Ti seed layer (1 nm) on the dewetting phenomenon of Au films (5 nm) grown onto amorphous SiO2 substrates have been studied and compared. Atomic force microscopy results indicated that the introduction of Ti between the substrate and Au promoted the dewetting phenomenon. X-ray diffraction measurements suggested that the initial deposition of Ti promoted crystallinity of Au. A series of Auger electron spectroscopy and X-ray photoelectron spectroscopy results revealed that Ti transformed to a Ti oxide layer by reduction of the amorphous SiO2 substrate surface, and that the Ti seed layer remained on the substrate, without going through the dewetting process during annealing. We concluded that the enhancement of Au dewetting and the improvement in crystallinity of Au by the insertion of Ti could be attributed to the fact that Au location was changed from the surface of the amorphous SiO2 substrate to that of the Ti oxide layer.

  4. LaNiO(3) Buffer Layers for High Critical Current Density YBa(2)Cu(3)O(7-delta) and Tl(2)Ba(2)CaCu(2)O(8-delta) Films

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.-T.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-08-24

    We demonstrate high critical current density superconducting films of YBa{sub 2}Cu{sub 3}O{sub 7{minus}{delta}} (YBCO) and Tl{sub 2}Ba{sub 2}CaCu{sub 2}O{sub 8{minus}{delta}} (Tl-2212) using LaNiO{sub 3} (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J{sub c} (5K, H=0) than films grown directly on a bare LaAlO{sub 3} substrate. It is noteworthy that YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J{sub c} at all temperatures and fields compared to those grown on bare LaAlO{sub 3}, correlating to both a-axis grain and nonsuperconducting phase formation. With additional optimization, LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films, perhaps ideally suited for coated conductor applications.

  5. Structural and corrosive properties of ZrO2 thin films on zircaloy-4 by RF reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Kim, Soo Ho; Lee, Kwang Hoon; Ko, Jae Hwan; Yoon, Young Soo; Baek, Jong Hyuk; Lee, Sang Jin

    2006-01-01

    Zirconium-oxide (ZrO 2 ) thin films as protective layers were grown on a Zircaloy-4 (Z-4) cladding material as a substrate by RF reactive magnetron sputtering at room temperature. To investigate the effect of plasma immersion on the structural and the corrosive properties of the as-grown ZrO 2 thin film, we immersed Z-4 in plasma during the deposition process. X-ray diffraction (XRD) measurements showed that the as-grown ZrO 2 thin films immersed in plasma had cubic, well as monoclinic and tetragonal, phases whereas those immersed in the plasma had monoclinic and tetragonal phases only. Atomic force microscopy (AFM) measurements of the surface morphology showed that the surface roughness of the as-grown ZrO 2 thin films immersed in plasma was larger than that of the films not immersed in plasma. In addition, the corrosive property of the as-grown ZrO 2 thin films immersed in the plasma was characterized using the weight gains of Z-4 after the corrosion test. Compared with the non-immersed films, the weight gains of the immersed films were larger. These results indicate that the ZrO 2 films immersed in plasma cannot protect Z-4 from corrosive phenomena.

  6. Factors that determine the presence of particles in YBCO films grown by PLD

    International Nuclear Information System (INIS)

    Barrales-Guadarrama, V R; Rodríguez-Rodríguez, E M; Barrales-Guadarrama, R; Reyes Ayala, N

    2017-01-01

    The method of growing thin films PLD, is widely used in applications and possesses great potential in thin YBa 2 Cu 3 O 7-δ films production with outstanding physical properties. However, it is limited in nano and micro technology due to the presence of particles on the surface of the films. This article describes some causes that create these particles. YBa 2 Cu 3 O 7-δ films have been grown on electrolytic copper used as a variable model the distance target-substrate. The effects are studied through Scanning Electronic Microscopy. It is observed particles with a large variety of shapes and distributions. The results show that ranging the target-substrate distance, the superficial morphology is modified. An evidence of it, is that the evaporation of d B-S = 7 cm, is more coherent that d B-S = 3 cm. Therefore, exist a relation between the morphology and the parameters of growing. Also affect, the structural change that exists among the substrate and the film formation, the substrate preparation and it must not be monocrystalline, these factors define a kinetic and a mechanism of growing that promotes a heterogeneous nucleation. (paper)

  7. Quasicubic α-Fe{sub 2}O{sub 3} nanoparticles embedded in TiO{sub 2} thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Seinberg, Liis [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kozlova, Jekaterina [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Link, Joosep [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Pikma, Piret [University of Tartu, Institute of Chemistry, Ravila 14A, 50411 Tartu (Estonia); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi 1, 50411 Tartu (Estonia); Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-08-01

    Monodispersed quasicubic α-Fe{sub 2}O{sub 3} nanoparticles were synthesized from ferric nitrite (Fe(NO{sub 3}){sub 3}), N,N-dimethyl formamide and poly(N-vinyl-2-pyrrolidone). Layers of nanoparticles were attached to HF-etched Si substrates by dip coating and subsequently embedded in thin titanium oxide films grown by atomic layer deposition from TiCl{sub 4} and H{sub 2}O. The deposition of TiO{sub 2} onto Fe{sub 2}O{sub 3} nanoparticles covered the nanoparticles uniformly and anatase phase of TiO{sub 2} was observed in Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructures. In Si/Fe{sub 2}O{sub 3}/TiO{sub 2} nanostructure magnetic domains, observable by magnetic force microscopy, were formed and these nanostructures implied ferromagnetic-like behavior at room temperature with the saturative magnetization and coercivity of 10 kA/m. - Highlights: • Cubic-shaped iron oxide crystallites were supported by thin titanium oxide films. • The process chemistry applied allowed formation of heterogeneous composite. • Atomic layer deposition of titanium oxide on nanocubes was uniform and conformal. • The nanostructures formed can be regarded as magnetically susceptible materials.

  8. SiO2 Glass Density to Lower-Mantle Pressures

    DEFF Research Database (Denmark)

    Petitgirard, Sylvain; Malfait, Wim J.; Journaux, Baptiste

    2017-01-01

    and present Earth. SiO2 is the main constituent of Earth's mantle and is the reference model system for the behavior of silicate melts at high pressure. Here, we apply our recently developed x-ray absorption technique to the density of SiO2 glass up to 110 GPa, doubling the pressure range...... for such measurements. Our density data validate recent molecular dynamics simulations and are in good agreement with previous experimental studies conducted at lower pressure. Silica glass rapidly densifies up to 40 GPa, but the density trend then flattens to become asymptotic to the density of SiO2 minerals above 60...... GPa. The density data present two discontinuities at similar to 17 and similar to 60 GPa that can be related to a silicon coordination increase from 4 to a mixed 5/6 coordination and from 5/6 to sixfold, respectively. SiO2 glass becomes denser than MgSiO3 glass at similar to 40 GPa, and its density...

  9. Concentration effect of Tm3+ on cathodoluminescence properties of SiO2: Tm3+ and SiO2:Ho3+, Tm3+ systems

    CSIR Research Space (South Africa)

    Dhlamini, MS

    2012-05-01

    Full Text Available .physb.2011.09.091 Concentration effect of Tm3+ on cathodoluminescence properties of SiO2: Tm 3+ and SiO2:Ho 3+, Tm3+ systems M.S. Dhlamini, G.H. Mhlongo, H.C. Swart, O.M. Ntwaeaborwa, K.T. Hillie ABSTRACT: Cathodoluminescence (CL) properties of Si...O2 powders activated with thulium (Tm3+) and holmium (Ho3+) ions prepared by a sol–gel process were investigated. Different molar concentrations of Tm3+ co-doped with Ho3+ were studied. The 460 nm peak was monitored and the influence of the beam...

  10. Growth of Fe2O3 thin films by atomic layer deposition

    International Nuclear Information System (INIS)

    Lie, M.; Fjellvag, H.; Kjekshus, A.

    2005-01-01

    Thin films of α-Fe 2 O 3 (α-Al 2 O 3 -type crystal structure) and γ-Fe 2 O 3 (defect-spinel-type crystal structure) have been grown by the atomic layer deposition (ALD) technique with Fe(thd) 3 (iron derivative of Hthd = 2,2,6,6-tetramethylheptane-3,5-dione) and ozone as precursors. It has been shown that an ALD window exists between 160 and 210 deg. C. The films have been characterized by various techniques and are shown to comprise (001)-oriented columns of α-Fe 2 O 3 with no in-plane orientation when grown on soda-lime-glass and Si(100) substrates. Good quality films have been made with thicknesses ranging from 10 to 130 nm. Films grown on α-Al 2 O 3 (001) and MgO(100) substrates have the α-Fe 2 O 3 and γ-Fe 2 O 3 crystal structure, respectively, and consist of highly oriented columns with in-plane orientations matching those of the substrates

  11. Nanoscale observation of surface potential and carrier transport in Cu2ZnSn(S,Se)4 thin films grown by sputtering-based two-step process.

    Science.gov (United States)

    Kim, Gee Yeong; Kim, Ju Ri; Jo, William; Son, Dae-Ho; Kim, Dae-Hwan; Kang, Jin-Kyu

    2014-01-08

    Stacked precursors of Cu-Zn-Sn-S were grown by radio frequency sputtering and annealed in a furnace with Se metals to form thin-film solar cell materials of Cu2ZnSn(S,Se)4 (CZTSSe). The samples have different absorber layer thickness of 1 to 2 μm and show conversion efficiencies up to 8.06%. Conductive atomic force microscopy and Kelvin probe force microscopy were used to explore the local electrical properties of the surface of CZTSSe thin films. The high-efficiency CZTSSe thin film exhibits significantly positive bending of surface potential around the grain boundaries. Dominant current paths along the grain boundaries are also observed. The surface electrical parameters of potential and current lead to potential solar cell applications using CZTSSe thin films, which may be an alternative choice of Cu(In,Ga)Se2.PACS number: 08.37.-d; 61.72.Mm; 71.35.-y.

  12. Low-relaxation spin waves in laser-molecular-beam epitaxy grown nanosized yttrium iron garnet films

    Energy Technology Data Exchange (ETDEWEB)

    Lutsev, L. V., E-mail: l-lutsev@mail.ru; Korovin, A. M.; Bursian, V. E.; Gastev, S. V.; Fedorov, V. V.; Suturin, S. M.; Sokolov, N. S. [Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2016-05-02

    Synthesis of nanosized yttrium iron garnet (Y{sub 3}Fe{sub 5}O{sub 12}, YIG) films followed by the study of ferromagnetic resonance (FMR) and spin wave propagation in these films is reported. The YIG films were grown on gadolinium gallium garnet substrates by laser molecular beam epitaxy. It has been shown that spin waves propagating in YIG deposited at 700 °C have low damping. At the frequency of 3.29 GHz, the spin-wave damping parameter is less than 3.6 × 10{sup −5}. Magnetic inhomogeneities of the YIG films give the main contribution to the FMR linewidth. The contribution of the relaxation processes to the FMR linewidth is as low as 1.2%.

  13. Multi-jump magnetic switching in ion-beam sputtered amorphous Co20Fe60B20 thin films

    International Nuclear Information System (INIS)

    Raju, M.; Chaudhary, Sujeet; Pandya, D. K.

    2013-01-01

    Unconventional multi-jump magnetization reversal and significant in-plane uniaxial magnetic anisotropy (UMA) in the ion-beam sputtered amorphous Co 20 Fe 60 B 20 (5–75 nm) thin films grown on Si/amorphous SiO 2 are reported. While such multi-jump behavior is observed in CoFeB(10 nm) film when the magnetic field is applied at 10°–20° away from the easy-axis, the same is observed in CoFeB(12.5 nm) film when the magnetic field is 45°–55° away from easy-axis. Unlike the previous reports of multi-jump switching in epitaxial films, their observance in the present case of amorphous CoFeB is remarkable. This multi-jump switching is found to disappear when the films are crystallized by annealing at 420 °C. The deposition geometry and the energy of the sputtered species appear to intrinsically induce a kind of bond orientation anisotropy in the films, which leads to the UMA in the as-grown amorphous CoFeB films. Exploitation of such multi-jump switching in amorphous CoFeB thin films could be of technological significance because of their applications in spintronic devices

  14. Analysis of intensities of positive and negative ion species from silicon dioxide films using time-of-flight secondary ion mass spectrometry and electronegativity of fragments

    International Nuclear Information System (INIS)

    Chiba, Kiyoshi

    2010-01-01

    Intensities of positive and negative ion species emitted from thermally oxidized and plasma-enhanced chemical vapor deposited (PECVD) SiO 2 films were analyzed using time-of-flight secondary ion mass spectrometry (TOF-SIMS) and the Saha-Boltzmann equation. Intensities of positive and negative secondary ion species were normalized to those of 28 Si + and 28 Si - ions, respectively, and an effective temperature of approximately (7.2 ± 0.1) x 10 3 K of the sputtered region bombarded with pulsed 22 kV Au 3 + primary ions was determined. Intensity spectra showed polarity dependence on both n and m values of Si n O m fragments, and a slight shift to negative polarity for PECVD SiO 2 compared to thermally oxidized SiO 2 films. By dividing the intensity ratios of negative-to-positive ions for PECVD SiO 2 by those for thermally oxidized SiO 2 films to cancel statistical factors, the difference in absolute electronegativity (half the sum of ionization potential and electron affinity of fragments) between both films was obtained. An increase in electronegativity for SiO m (m = 1, 2) and Si 2 O m (m = 1-4) fragments for PECVD SiO 2 films compared to thermally oxidized films was obtained to be 0.1-0.2 Pauling units, indicating a more covalent nature of Si-O bonds for PECVD SiO 2 films compared to the thermally oxidized SiO 2 films.

  15. TiO2 thin and thick films grown on Si/glass by sputtering of titanium targets in an RF inductively coupled plasma

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2015-01-01

    TiO 2 thin and thick films were deposited on silicon/glass substrates using RF inductive plasma in continuous wave. The films thickness, as well as phases control, is achieved with a gradual increase in temperature substrates varying supplied RF power or working gas pressure besides deposition time as well. The deposition conditions were: argon 80%/oxygen 20% carefully calibrated mixture of 2 to 7×10 −2 mbar as working gas pressure range. Deposition time 0.5 to 5 hours, 500 or 600 W RF power at 13.56 MHz frequency and 242-345 °C substrates temperature range. The titanium dioxide deposited on the substrates is grown by sputtering of a titanium target negatively polarized at 3-5 kV DC situated 14 mm in front of such substrates. The plasma reactor is a simple Pyrex-like glass cylindrical vessel of 50 cm long and 20 cm in diameter. Using the before describe plasma parameters we obtained films only anatase and both anatase/rutile phases with stoichiometric different. The films were characterized by X-ray photoelectron spectroscopy (XPS), stylus profilometer, X-ray diffraction (XRD), scanning electron microscopy (SEM) and Raman spectroscopy. (paper)

  16. More accurate definition of liquation dome in Na2O-B2O3-SiO2 system

    International Nuclear Information System (INIS)

    Alekseeva, Z.D.; Mazurin, O.V.; Aver'yanov, V.I.; Galakhov, F.Ya.

    1977-01-01

    The liquation region in the system Na 2 O-B 2 O 3 -SiO 2 was investigated. The liquation temperatures were determined by the boundary of disappearance of opalescence in specimens with pre-induced opalescence. Extrapolation of the concentration dependences of the liquation temperature along sections with a constant SiO 2 content to a point indicates that with a SiO 2 content of 20-40% the liquation boundary in the system B 2 O 3 -SiO 2 hardly lies above 450 deg C

  17. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  18. Spin polarization of single-crystalline Co2MnSi films grown by PLD on GaAs(0 0 1)

    International Nuclear Information System (INIS)

    Wang, W.H.; Przybylski, M.; Kuch, W.; Chelaru, L.I.; Wang, J.; Lu, Y.F.; Barthel, J.; Kirschner, J.

    2005-01-01

    Single-crystalline Co 2 MnSi Heusler alloy films have been grown on GaAs(0 0 1) substrates by pulsed laser deposition. The best crystallographic quality has been achieved after deposition at 450 K. Spin-resolved photoemission measurements at BESSY reveal spin-resolved density of states that are in qualitative agreement with recent band structure calculations. The spin polarization of photoelectrons close to the Fermi level is found to be at most 12% at room temperature, in contrast to the predicted half-metallic behavior. We suggest that this discrepancy may be attributed to a non-magnetic surface region and/or partial chemical disorder in the Co 2 MnSi lattice

  19. Growth of BaSi2 film on Ge(100) by vacuum evaporation and its photoresponse properties

    Science.gov (United States)

    Trinh, Cham Thi; Nakagawa, Yoshihiko; Hara, Kosuke O.; Kurokawa, Yasuyoshi; Takabe, Ryota; Suemasu, Takashi; Usami, Noritaka

    2017-05-01

    We have successfully grown a polycrystalline orthorhombic BaSi2 film on a Ge(100) substrate by an evaporation method. Deposition of an amorphous Si (a-Si) film on the Ge substrate prior to BaSi2 evaporation plays a critical role in obtaining a high-quality BaSi2 film. By controlling substrate temperature and the thickness of the a-Si film, a crack-free and single-phase polycrystalline orthorhombic BaSi2 film with a long carrier lifetime of 1.5 µs was obtained on Ge substrates. The photoresponse property of the ITO/BaSi2/Ge/Al structure was clearly observed, and photoresponsivity was found to increase with increasing substrate temperature during deposition of a-Si. Furthermore, the BaSi2 film grown on Ge showed a higher photoresponsivity than that grown on Si, indicating the potential application of evaporated BaSi2 on Ge to thin-film solar cells.

  20. Adsorption of cationic dye on a biohybrid SiO2-alginate

    International Nuclear Information System (INIS)

    Barrón Zambrano, J A; Ávila Ortega, A; Muñoz Rodríguez, D; Carrera Figueiras, C; López-Pérez, A J

    2013-01-01

    In this work, a biohybrid material based on SiO 2 -alginate was obtained and its properties of adsorption evaluated using methylene blue as a model dye. The experimental results showed that the biohybrid SiO 2 -alginate has a higher adsorption ability compared to their base compounds (SiO 2 and alginate). Methylene blue adsorption is pH dependent, resulting in a maximum adsorption at pH = 8. The sorption kinetics rate is similar to SiO 2 . Kinetic data were fitted to a model of pseudosecond order. The experimental isotherms fit well the Langmuir model.

  1. Investigations of p-type signal for ZnO thin films grown on (100)GaAs substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Univ. de Technologie de Troyes, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Monteiro, T.; Soares, M.; Neves, A.; Carmo, M.; Correia, M.R. [Physics Dept., Univ. of Aveiro (Portugal); Pereira, S. [Physics Dept., Univ. of Aveiro (Portugal); Inst. Tecnologico e Nuclear, Sacavem (Portugal); Lusson, A. [Inst. d' Electronique Fondamentale, Orsay Univ. (France); LPSC - CNRS, Meudon (France); Alves, E.; Barradas, N.P. [Inst. Tecnologico e Nuclear, Sacavem (Portugal); Morrod, J.K.; Prior, K.A. [Physics Dept., Heriot Watt Univ., Edinburgh Scotland (United Kingdom); Kung, P.; Yasan, A.; Razeghi, M. [Center for Quantum Devices, Dept. of Electrical and Computer Engineering, Northwestern Univ., Evanston, IL (United States)

    2006-03-15

    In this work we investigated ZnO films grown on semi-insulating (100)GaAs substrates by pulsed laser deposition. Samples were studied using techniques including X-ray diffraction (XRD), scanning electron microscopy, atomic force microscopy, Raman spectroscopy, temperature dependent photoluminescence, C-V profiling and temperature dependent Hall measurements. The Hall measurements showed a clear p-type response with a relatively high mobility ({proportional_to}260 cm{sup 2}/Vs) and a carrier concentration of {proportional_to}1.8 x 10{sup 19} cm{sup -3}. C-V profiling confirmed a p-type response. XRD and Raman spectroscopy indicated the presence of (0002) oriented wurtzite ZnO plus secondary phase(s) including (101) oriented Zn{sub 2}As{sub 2}O{sub 7}. The results suggest that significant atomic mixing was occurring at the film/substrate interface for films grown at substrate temperatures of 450 C (without post-annealing). (orig.)

  2. Growth and characterization of textured YBaCo{sub 2}O{sub 5+δ} thin films grown on (001)-SrTiO{sub 3} via DC magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Galeano, V. [Universidad Nacional de Colombia, Campus Medellín, Facultad de Ciencias, Departamento de Física, Laboratorio de Cerámicos y Vítreos, A.A. 568, Medellín (Colombia); Arnache, O. [Grupo de Estado Sólido, Departamento de Física, Universidad de Antioquia, A.A. 1226, Medellín (Colombia); Supelano, I.; Vargas, C.A. Parra [Universidad Pedagógica y Tecnológica de Colombia, Departamento de Física, Avenida Central del Norte 39-115, Tunja (Colombia); Morán, O., E-mail: omoranc@unal.edu.co [Universidad Nacional de Colombia, Campus Medellín, Facultad de Ciencias, Departamento de Física, Laboratorio de Cerámicos y Vítreos, A.A. 568, Medellín (Colombia)

    2016-06-30

    Thin films of the layered cobaltite YBaCo{sub 2}O{sub 5+δ} were successfully grown on (001)-oriented SrTiO{sub 3} single-crystal substrates by means of DC magnetron sputtering. The 112 phase of the compound was stabilized by choosing an adequate Co reactant and through careful thermal treatment of the target. The results demonstrate the strong influence of these variables on the final phase of the compound. A substrate temperature of 1053 K and an oxygen pressure of 300 Pa seemed to be appropriate growing conditions for depositing (00ℓ)-textured YBaCo{sub 2}O{sub 5+δ} thin films onto the chosen substrate. In like fashion to the polycrystalline YBaCo{sub 2}O{sub 5+δ}, the films showed a clear sequence of antiferromagnetic–ferromagnetic–paramagnetic transitions within a narrow temperature range. Well-defined hysteresis loops were observed at temperatures as high as 270 K, which supports the existence of a FM order in the films. In turn, the dependence of the resistivity on the temperature shows a semiconductor-like behavior, without any distinguishable structure, within the temperature range measured (50–350 K). The analysis of the experimental data showed that the transport mechanism in the films is well described by using the Mott variable range hopping (VRH) conduction model. - Highlights: • YBaCo{sub 2}O{sub 5+δ} thin films are grown on SrTiO{sub 3} substrates. • Strong (00ℓ) reflections are observed in the X-ray diffraction pattern. • A clear sequence of magnetic transitions is observed. • Semiconducting-like behavior is verified.

  3. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  4. InAs nanocrystals on SiO2/Si by molecular beam epitaxy for memory applications

    International Nuclear Information System (INIS)

    Hocevar, Moiera; Regreny, Philippe; Descamps, Armel; Albertini, David; Saint-Girons, Guillaume; Souifi, Abdelkader; Gendry, Michel; Patriarche, Gilles

    2007-01-01

    We studied a memory structure based on InAs nanocrystals grown by molecular beam epitaxy directly on thermal SiO 2 on silicon. Both nanocrystal diameter and density can be controlled by growth parameters. Transmission electron microscopy analysis shows high crystallinity and low size dispersion. In an electrical test structure with a 3.5 nm tunnel oxide, we observed that 80% of the initial injected electrons remain stored in the InAs nanocrystals after 3 months and that the retention time for electrons in InAs nanocrystals is four orders of magnitude higher than in silicon nanocrystals

  5. Tantalum films with well-controlled roughness grown by oblique incidence deposition

    Science.gov (United States)

    Rechendorff, K.; Hovgaard, M. B.; Chevallier, J.; Foss, M.; Besenbacher, F.

    2005-08-01

    We have investigated how tantalum films with well-controlled surface roughness can be grown by e-gun evaporation with oblique angle of incidence between the evaporation flux and the surface normal. Due to a more pronounced shadowing effect the root-mean-square roughness increases from about 2 to 33 nm as grazing incidence is approached. The exponent, characterizing the scaling of the root-mean-square roughness with length scale (α), varies from 0.75 to 0.93, and a clear correlation is found between the angle of incidence and root-mean-square roughness.

  6. Raman spectroscopy of ZnMnO thin films grown by pulsed laser deposition

    Science.gov (United States)

    Orozco, S.; Riascos, H.; Duque, S.

    2016-02-01

    ZnMnO thin films were grown by Pulsed Laser Deposition (PLD) technique onto Silicon (100) substrates at different growth conditions. Thin films were deposited varying Mn concentration, substrate temperature and oxygen pressure. ZnMnO samples were analysed by using Raman Spectroscopy that shows a red shift for all vibration modes. Raman spectra revealed that nanostructure of thin films was the same of ZnO bulk, wurzite hexagonal structure. The structural disorder was manifested in the line width and shape variations of E2(high) and E2(low) modes located in 99 and 434cm-1 respectively, which may be due to the incorporation of Mn ions inside the ZnO crystal lattice. Around 570cm-1 was found a peak associated to E1(LO) vibration mode of ZnO. 272cm-1 suggest intrinsic host lattice defects. Additional mode centred at about 520cm-1 can be overlap of Si and Mn modes.

  7. [Effect of TiO2-SiO2-SnOx film with different firing temperatures on bond strength of low-fusing dental porcelain to pure titanium].

    Science.gov (United States)

    Zhang, Zichuan; Zhang, Pei

    2015-07-01

    To evaluate the influence of TiO(2)-SiO(2)-SnOx nano-coatings with different firing temperatures on the bond strength of low-fusing dental porcelain to pure titanium. The surface of pure titanium was coated uniformly with TiO(2)-SiO(2)-SnOx nano-coatings by solution-gelatin (Sol-Gel) technology and then fired at 300 °C (group A) or 750 °C (group B) for 1 h. The specimens without any coatings were the control group (group C). There were 10 specimens in each group. Dental porcelain was sintered on the surface of titanium specimens. Surface roughness and contact angle of the coatings were also detected. The titanium-porcelain bond strength was investigated according to YY 0621-2008 standards using three-point flexure bond test. The phase composition of the TiO(2)-SiO(2)-SnOx nano-coatings was characterized by X-ray diffraction(XRD). The interface of titanium-porcelain and TiO(2)-SiO(2)-SnOx nano-coatings were observed using scanning electron microscope (SEM). No rutile phase was found in these specimens of group A and group B. The surface roughness of group A, B, C was (0.97 ± 0.06), (0.99 ± 0.03), (0.96 ± 0.07) µm, respectively. No significant difference was found among the three groups. Compared with that of group C (64.37° ± 3.01°), contact angles detected in group A (52.04° ± 3.15°) and group B (85.27° ± 4.17°) were significantly different (P porcelain in group A [(35.66 ± 2.65) MPa] was significantly increased compared with those in group B [(26.18 ± 2.22) MPa] and group C [(31.66 ± 3.52) MPa]. SEM photomicrographs of titanium-porcelain interface morphology of the specimens before porcelain sintering showed that TiO(2)-SiO(2)-SnOx nano-coatings in group A were compact and homogeneous with petty cracks and those in group B was loose and arranged disorderly. TiO(2)-SiO(2)-SnOx nano-coating fired at 300 °C is significantly effective in improving the titanium-porcelain bond strength.

  8. UV-VUV laser induced phenomena in SiO2 glass

    International Nuclear Information System (INIS)

    Kajihara, Koichi; Ikuta, Yoshiaki; Oto, Masanori; Hirano, Masahiro; Skuja, Linards; Hosono, Hideo

    2004-01-01

    Creation and annihilation of point defects were studied for SiO 2 glass exposed to ultraviolet (UV) and vacuum UV (VUV) lights to improve transparency and radiation toughness of SiO 2 glass to UV-VUV laser light. Topologically disordered structure of SiO 2 glass featured by the distribution of Si-O-Si angle is a critical factor degrading transmittance near the fundamental absorption edge. Doping with terminal functional groups enhances the structural relaxation and reduces the number of strained Si-O-Si bonds by breaking up the glass network without creating the color centers. Transmittance and laser toughness of SiO 2 glass for F 2 laser is greatly improved in fluorine-doped SiO 2 glass, often referred as 'modified silica glass'. Interstitial hydrogenous species are mobile and reactive at ambient temperature, and play an important role in photochemical reactions induced by exposure to UV-VUV laser light. They terminate the dangling-bond type color centers, while enhancing the formation of the oxygen vacancies. These findings are utilized to develop a deep-UV optical fiber transmitting ArF laser photons with low radiation damage

  9. Superconducting thin films of As-free pnictide LaPd{sub 1-x}Sb{sub 2} grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2013-07-01

    We use reactive molecular beam epitaxy as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaPd{sub 1-x}Sb{sub 2} were grown on (100) MgO substrates from elemental sources by simultaneous evaporation of high purity La, Pd and Sb metals by e-gun. LaPd{sub 1-x}Sb{sub 2} belongs to a novel class of pnictide superconductors with a peculiar pnictide square net layer. Previously, we have reported epitaxial growth of isostructural Bi based compounds. The substitution of Bi by Sb leads to thin films with metallic behavior and room temperature resistivity of about 85 μΩ cm. The highest observed transition temperature T{sub c} inLaPd{sub 1-x}Sb{sub 2} is 3.1 K and does not depend on x. We discuss strategies to increase T{sub c} in this pnictide subfamily.

  10. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  11. Influence of Gas Adsorption and Gold Nanoparticles on the Electrical Properties of CVD-Grown MoS2 Thin Films.

    Science.gov (United States)

    Cho, Yunae; Sohn, Ahrum; Kim, Sujung; Hahm, Myung Gwan; Kim, Dong-Ho; Cho, Byungjin; Kim, Dong-Wook

    2016-08-24

    Molybdenum disulfide (MoS2) has increasingly attracted attention from researchers and is now one of the most intensively explored atomic-layered two-dimensional semiconductors. Control of the carrier concentration and doping type of MoS2 is crucial for its application in electronic and optoelectronic devices. Because the MoS2 layers are atomically thin, their transport characteristics may be very sensitive to ambient gas adsorption and the resulting charge transfer. We investigated the influence of the ambient gas (N2, H2/N2, and O2) choice on the resistance (R) and surface work function (WF) of trilayer MoS2 thin films grown via chemical vapor deposition. We also studied the electrical properties of gold (Au)-nanoparticle (NP)-coated MoS2 thin films; their R value was found to be 2 orders of magnitude smaller than that for bare samples. While the WF largely varied for each gas, R was almost invariant for both the bare and Au-NP-coated samples regardless of which gas was used. Temperature-dependent transport suggests that variable range hopping is the dominant mechanism for electrical conduction for bare and Au-NP-coated MoS2 thin films. The charges transferred from the gas adsorbates might be insufficient to induce measurable R change and/or be trapped in the defect states. The smaller WF and larger localization length of the Au-NP-coated sample, compared with the bare sample, suggest that more carriers and less defects enhanced conduction in MoS2.

  12. Green-emissive transparent BaSi 2O 5:Eu 2 + film phosphor on quartz glass created by a sputtering thermal diffusion process

    Science.gov (United States)

    Seo, K. I.; Park, J. H.; Kim, J. S.; Na, Y. H.; Choi, J. C.; Bae, J. S.

    2009-10-01

    Eu 2+-doped BaSi 2O 5 film phosphors on quartz substrates are fabricated by radio-frequency magnetron sputtering thermal diffusion. The BaSi 2O 5: Eu 2+ phosphor crystals have some preferred orientations that are lattice-spacing matched with the crystallized β- SiO 2 crystals, and they show pore and grain boundary-free morphology with a rod-like shape fused into the crystallized β- SiO 2 crystals. The BaSi 2O 5: Eu 2+ film phosphor has a high transparency, with a transmittance of about 30% in visible light. The BaSi 2O 5: Eu 2+ film phosphor shows 510 nm green emission from the f-d transition of the Eu 2+ ions, and in particular the best sample shows a green photoluminescence brightness of about 5% of a BaSi 2O 5: Eu 2+ powder phosphor screen. These excellences in optical properties can be explained by less optical scattering at pores or grain boundaries, and less reflection at the continuously index-changed interface.

  13. Liquidus Temperature of SrO-Al2O3-SiO2 Glass-Forming Compositions

    DEFF Research Database (Denmark)

    Abel, Brett M.; Morgan, James M.; Mauro, John C.

    2013-01-01

    . In the composition range of interest for industrial glasses, Tliq tends to decrease with increasing strontium-to-alumina ratio. We find that cristobalite, mullite, and slawsonite are the dominant devitrification phases for the compositions with high SiO2, SiO2+Al2O3, and SrO contents, respectively. By comparison...... with the phase diagrams for CaO-Al2O3-SiO2 and MgO-Al2O3-SiO2 systems, we have found that for the highest [RO]/[Al2O3] ratios, Tliq exhibits a minimum value for R = Ca. Based on the phase diagram established here, the composition of glass materials, for example, for liquid crystal display substrates, belonging...... to the SrO-Al2O3-SiO2 family may be designed with a more exact control of the glass-forming ability by avoiding the regions of high liquidus temperature....

  14. Fabrication and properties of highly luminescent materials from Tb(OH)3-SiO2 and Tb(OH)3-SiO2:Eu3+ nanotubes

    International Nuclear Information System (INIS)

    Tran Thu Huong; Tran Kim Anh; Le Quoc Minh

    2009-01-01

    Luminescent nanomaterials with one-dimensional (1D) structures have attracted much attention due to their unique properties and potential applications in nanophotonics and nanobiophotonics. In this paper, we report a synthesis of terbium - hydroxide - at - silica Tb(OH) 3 -SiO 2 and Tb(OH) 3 -SiO 2 :Eu 3+ nanotubes. Terbium - hydroxide tubes were synthesized by soft template method. The size of the tubes can be controlled precisely and have outer diameters ranging from 80 to 120 nm, wall thickness of about 30 nm, and lengths ranging from 300 to 800 nm. To fabricate core/shell materials, the seed growth method is used. FESEM, X-ray diffraction, Raman spectra of Tb(OH) 3 and Tb(OH) 3 -SiO 2 nanotubes were investigated. The photoluminescence (PL) spectrum of Tb(OH) 3 under 325 nm excitation consists of four main peaks at 488, 542, 582, and 618 nm. Furthermore, a preliminary suggestion for the mechanism of growth of the Tb(OH) 3 nanotubes using the soft - template synthesis technique has been proposed. The PL intensity from Tb(OH) 3 -SiO 2 or Tb(OH) 3 -SiO 2 :Eu 3+ nanotubes is much stronger than that of Tb(OH) 3 .

  15. Photoluminescence properties of ZnO thin films grown by using the hydrothermal technique

    International Nuclear Information System (INIS)

    Sahoo, Trilochan; Jang, Leewoon; Jeon, Juwon; Kim, Myoung; Kim, Jinsoo; Lee, Inhwan; Kwak, Joonseop; Lee, Jaejin

    2010-01-01

    The photoluminescence properties of zinc-oxide thin films grown by using the hydrothermal technique have been investigated. Zinc-oxide thin films with a wurtzite symmetry and c-axis orientation were grown in aqueous solution at 90 .deg. C on sapphire substrates with a p-GaN buffer layer by using the hydrothermal technique. The low-temperature photoluminescence analysis revealed a sharp bound-exciton-related luminescence peak at 3.366 eV with a very narrow peak width. The temperature-dependent variations of the emission energy and of the integrated intensity were studied. The activation energy of the bound exciton complex was calculated to be 7.35 ± 0.5 meV from the temperature dependent quenching of the integral intensities.

  16. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  17. Investigation of humidity-dependent nanotribology behaviors of Si(1 0 0)/SiO2 pair moving from stick to slip

    International Nuclear Information System (INIS)

    Yu Jiaxin; Chen Lei; Qian Linmao; Song Danlu; Cai Yong

    2013-01-01

    Highlights: ► The effect of humidity on the motion behavior of Si(1 0 0)/SiO 2 pair was clarified. ► With increase in humidity, adhesion force increases slowly firstly, then sharply. ► With increase in humidity, friction force increases sharply firstly, then slowly. ► The wear degree of Si is relative to the physical state of absorbed water film. ► The tribochemical reaction of Si(1 0 0) in humid air was verified by ToF-SIMS. - Abstract: With an atomic force microscopy, the humidity-dependent nanotribology behaviors of Si(1 0 0) against SiO 2 microsphere were investigated while the relative movement translated from stick to slip. The relative humidity RH of air exhibits a strong effect on the motion behavior of Si(1 0 0)/SiO 2 pair. With the increase in RH, relative movement of Si(1 0 0)/SiO 2 pair is easier to keep into stick state, namely, the relative slip becomes more difficult to occur in a higher humidity range. The adhesion F a will increase with the increase in RH in the given humidity range. In the low RH range ( a increases very slowly. However, in relative higher RH range (>20%), F a increases very sharply once ‘liquid-like’ adsorbed water layer forms, because it increases the capillary force. The initial friction forces F t of Si(1 0 0)/SiO 2 pair also increase with the increase in RH in the given humidity range. However, different from F a , it increases sharply in the low RH range ( 30%). During the cyclic friction process, under the higher RH, relative stable tangential force is easier to be observed at higher displacement amplitude, here, the relative movement usually keeps into stick state. With the increase in RH, the surface damage of Si(1 0 0) transforms from mechanical deformation (forming hillock) to tribochemical wear (material removal). The tribochemical wear is sensitive to the absorbed water film with ‘solid-like’ structure, here, the wear volume increases drastically in this RH range (<20%); further increase of wear is

  18. Long lasting yellow phosphorescence and photostimulated luminescence in Sr3SiO5 : Eu2+ and Sr3SiO5 : Eu2+, Dy3+ phosphors

    International Nuclear Information System (INIS)

    Sun Xiaoyuan; Zhang Jiahua; Zhang Xia; Luo Yongshi; Wang Xiaojun

    2008-01-01

    We report the observation of long lasting yellow phosphorescence and photostimulated luminescence (PSL) in Sr 3 SiO 5 : Eu 2+ and Sr 3 SiO 5 : Eu 2+ , Dy 3+ phosphors. The decay patterns of phosphorescence and thermoluminescence curves demonstrate that introduction of Dy 3+ into Sr 3 SiO 5 : Eu 2+ can generate a large number of shallow traps and deep traps. The generated deep traps prolong the phosphorescence up to 6 h after UV irradiation. The PSL is studied under 808 nm excitation. Slow rising and falling edges of the emission in Sr 3 SiO 5 : Eu 2+ , Dy 3+ are observed, showing a retrapping process by the generated shallow traps due to co-doping Dy 3+ .

  19. Comparison of the microstructure and chemistry of GaN(0001) films grown using trimethylgallium and triethylgallium on AlN/SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji-Soo; Reitmeier, Zachary J.; Davis, Robert F. [Department of Materials Science and Engineering, Box 7907, North Carolina State University, Raleigh, NC 27695 (United States)

    2005-05-01

    The metalorganic chemical vapor deposition of GaN(0001) films using triethylgallium (TEG) and trimethylgallium (TMG) precursors on AlN/6H-SiC(0001) substrates has been conducted using various sets of two temperatures, and the microstructural and chemical differences in the films determined. Growth of films at 980 C and 1020 C using TEG and TMG, respectively, resulted in the formation of separate elongated islands. Growth at the optimum temperatures (for our system) of 1020 C and 1050 C using these two respective precursors resulted in smooth surface microstructures. Analogous depositions at 1050 C and 1080 C resulted in the formation of hillocks over most of the surfaces. In the GaN films grown using TEG at 1020 C the concentrations of carbon (3 x 10{sup 17} cm{sup -3}) and hydrogen (1 x 10{sup 18} cm{sup -3}) were {proportional_to}10 times and {proportional_to}2 times lower than in the films deposited using TMG at 1050 C. The concentrations of oxygen and silicon were 1 x 10{sup 17} cm{sup -3} in the films grown using either precursor. Atomic force microscopy of the films grown using TEG and TMG at 1020 C and 1050 C, respectively, revealed a similar surface roughness with rms values of {proportional_to}1.8 nm within 50 {mu}m x 50 {mu}m scans. The full width at half maxima determined from omega scans of the GaN(0002) peak were {proportional_to}250 arcsec for films grown using both precursors. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Enhanced Photocatalytic Activity of ZrO2-SiO2 Nanoparticles by Platinum Doping

    Directory of Open Access Journals (Sweden)

    Mohammad W. Kadi

    2013-01-01

    Full Text Available ZrO2-SiO2 mixed oxides were prepared via the sol-gel method. Photo-assisted deposition was utilized for doping the prepared mixed oxide with 0.1, 0.2, 0.3, and 0.4 wt% of Pt. XRD spectra showed that doping did not result in the incorporation of Pt within the crystal structure of the material. UV-reflectance spectrometry showed that the band gap of ZrO2-SiO2 decreased from 3.04 eV to 2.48 eV with 0.4 wt% Pt doping. The results show a specific surface area increase of 20%. Enhanced photocatalysis of Pt/ZrO2-SiO2 was successfully tested on photo degradation of cyanide under illumination of visible light. 100% conversion was achieved within 20 min with 0.3 wt% of Pt doped ZrO2-SiO2.