WorldWideScience

Sample records for single layer dielectric

  1. Field Enhancement in a Grounded Dielectric Slab by Using a Single Superstrate Layer

    OpenAIRE

    Valagiannopoulos, Constantinos A.; Tsitsas, Nikolaos L.

    2012-01-01

    The addition of a dielectric layer on a slab configuration is frequently utilized in various electromagnetic devices in order to give them certain desired operational characteristics. In this work, we consider a grounded dielectric film-slab, which is externally excited by a normally-incident Gaussian beam. On top of the film-slab, we use an additional suitably selected single isotropic superstrate layer in order to increase the field concentration inside the slab and hence achieve optimal po...

  2. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  3. Field Enhancement in a Grounded Dielectric Slab by Using a Single Superstrate Layer

    Directory of Open Access Journals (Sweden)

    Constantinos A. Valagiannopoulos

    2012-01-01

    Full Text Available The addition of a dielectric layer on a slab configuration is frequently utilized in various electromagnetic devices in order to give them certain desired operational characteristics. In this work, we consider a grounded dielectric film-slab, which is externally excited by a normally-incident Gaussian beam. On top of the film-slab, we use an additional suitably selected single isotropic superstrate layer in order to increase the field concentration inside the slab and hence achieve optimal power transfer from the external source to the internal region. We define a quantity of interest, called “enhancement factor,” expressing the increase of the field concentration in the film-slab when the superstrate is present compared to the case that it is absent. It is shown that large enhancement factor values may be achieved by choosing properly the permittivity, the permeability, and the thickness of the superstrate. In particular, it is demonstrated that the field in the film-slab is significantly enhanced when the slab is composed by an ϵ-near-zero (ENZ or low-index metamaterial.

  4. Development of a dual-layered dielectric-loaded accelerating structure

    International Nuclear Information System (INIS)

    Jing Chunguang; Kanareykin, Alexei; Kazakov, Sergey; Liu Wanming; Nenasheva, Elizaveta; Schoessow, Paul; Gai Wei

    2008-01-01

    rf Power attenuation is a critical problem in the development of dielectric-loaded structures for particle acceleration. In a previous paper [C. Jing, W. Liu, W. Gai, J. Power, T. Wong, Nucl. Instr. Meth. A 539 (2005) 445] we suggested the use of a Multilayer Dielectric-Loaded Accelerating Structure (MDLA) as a possible approach for reducing the rf losses in a single layer device. The MDLA is based on the principle of Bragg reflection familiar from optics that is used to partially confine the fields inside the dielectric layers and reduce the wall current losses at the outer boundary. We report here on the design, construction and testing of a prototype X-band double-layer structure (2DLA). The measurements show an rf power attenuation for the 2DLA more than ten times smaller than that of a comparable single-layer structure, in good agreement with the analytic results. Testing and operation of MDLAs also requires efficient power coupling from test equipment or rf power systems to the device. We describe the design and construction of two novel structures: a TM 03 mode launcher for cold testing and a power coupler for planned high-gradient experiments

  5. Transparent Flash Memory using Single Ta2O5 Layer for both Charge Trapping and Tunneling Dielectrics

    KAUST Repository

    Hota, Mrinal Kanti

    2017-06-08

    We report reproducible multibit transparent flash memory in which a single solution-derived Ta2O5 layer is used simultaneously as charge trapping and tunneling layer. This is different from conventional flash cells, where two different dielectric layers are typically used. Under optimized programming/erasing operations, the memory device shows excellent programmable memory characteristics with a maximum memory window of ~10 V. Moreover, the flash memory device shows a stable 2-bit memory performance, good reliability, including data retention for more than 104 sec and endurance performance for more than 100 cycles. The use of a common charge trapping and tunneling layer can simplify advanced flash memory fabrication.

  6. Transparent Flash Memory using Single Ta2O5 Layer for both Charge Trapping and Tunneling Dielectrics

    KAUST Repository

    Hota, Mrinal Kanti; Alshammari, Fwzah H.; Salama, Khaled N.; Alshareef, Husam N.

    2017-01-01

    We report reproducible multibit transparent flash memory in which a single solution-derived Ta2O5 layer is used simultaneously as charge trapping and tunneling layer. This is different from conventional flash cells, where two different dielectric layers are typically used. Under optimized programming/erasing operations, the memory device shows excellent programmable memory characteristics with a maximum memory window of ~10 V. Moreover, the flash memory device shows a stable 2-bit memory performance, good reliability, including data retention for more than 104 sec and endurance performance for more than 100 cycles. The use of a common charge trapping and tunneling layer can simplify advanced flash memory fabrication.

  7. Reaching state-of-the art requirements for MIM capacitors with a single-layer anodic Al2O3 dielectric and imprinted electrodes

    Science.gov (United States)

    Hourdakis, Emmanouel; Nassiopoulou, Androula G.

    2017-07-01

    Metal-Insulator-Metal (MIM) capacitors with a high capacitance density and low non-linearity coefficient using a single-layer dielectric of barrier-type anodic alumina (Al2O3) and an imprinted bottom Al electrode are presented. Imprinting of the bottom electrode aimed at increasing the capacitor effective surface area by creating a three-dimensional MIM capacitor architecture. The bottom Al electrode was only partly nanopatterned so as to ensure low series resistance of the MIM capacitor. With a 3 nm thick anodic Al2O3 dielectric, the capacitor with the imprinted electrode showed a 280% increase in capacitance density compared to the flat electrode capacitor, reaching a value of 20.5 fF/μm2. On the other hand, with a 30 nm thick anodic Al2O3 layer, the capacitance density was 7.9 fF/μm2 and the non-linearity coefficient was as low as 196 ppm/V2. These values are very close to reaching all requirements of the last International Technology Roadmap for Semiconductors for MIM capacitors [ITRS, http://www.itrs2.net/2013-itrs.html for ITRS Roadmap (2013)], and they are achieved by a single-layer dielectric instead of the complicated dielectric stacks of the literature. The obtained results constitute a real progress compared to previously reported results by our group for MIM capacitors using imprinted electrodes.

  8. High thermal conductivity lossy dielectric using a multi layer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-01-01

    Systems and methods are described for loss dielectrics. A loss dielectric includes at least one high dielectric loss layer and at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. A method of manufacturing a loss dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. The systems and methods provide advantages because the loss dielectrics are less costly and more environmentally friendly than the available alternatives.

  9. Large energy storage efficiency of the dielectric layer of graphene nanocapacitors

    Science.gov (United States)

    Bezryadin, A.; Belkin, A.; Ilin, E.; Pak, M.; Colla, Eugene V.; Hubler, A.

    2017-12-01

    Electric capacitors are commonly used in electronic circuits for the short-term storage of small amounts of energy. It is desirable however to use capacitors to store much larger energy amounts to replace rechargeable batteries. Unfortunately existing capacitors cannot store sufficient energy to be able to replace common electrochemical energy storage systems. Here we examine the energy storage capabilities of graphene nanocapacitors, which are tri-layer devices involving an Al film, Al2O3 dielectric layer, and a single layer of carbon atoms, i.e., graphene. This is a purely electronic capacitor and therefore it can function in a wide temperature interval. The capacitor shows a high dielectric breakdown electric field strength, of the order of 1000 kV mm-1 (i.e., 1 GV m-1), which is much larger than the table value of the Al2O3 dielectric strength. The corresponding energy density is 10-100 times larger than the energy density of a common electrolytic capacitor. Moreover, we discover that the amount of charge stored in the dielectric layer can be equal or can even exceed the amount of charge stored on the capacitor plates. The dielectric discharge current follows a power-law time dependence. We suggest a model to explain this behavior.

  10. Large energy storage efficiency of the dielectric layer of graphene nanocapacitors.

    Science.gov (United States)

    Bezryadin, A; Belkin, A; Ilin, E; Pak, M; Colla, Eugene V; Hubler, A

    2017-12-08

    Electric capacitors are commonly used in electronic circuits for the short-term storage of small amounts of energy. It is desirable however to use capacitors to store much larger energy amounts to replace rechargeable batteries. Unfortunately existing capacitors cannot store sufficient energy to be able to replace common electrochemical energy storage systems. Here we examine the energy storage capabilities of graphene nanocapacitors, which are tri-layer devices involving an Al film, Al 2 O 3 dielectric layer, and a single layer of carbon atoms, i.e., graphene. This is a purely electronic capacitor and therefore it can function in a wide temperature interval. The capacitor shows a high dielectric breakdown electric field strength, of the order of 1000 kV mm -1 (i.e., 1 GV m -1 ), which is much larger than the table value of the Al 2 O 3 dielectric strength. The corresponding energy density is 10-100 times larger than the energy density of a common electrolytic capacitor. Moreover, we discover that the amount of charge stored in the dielectric layer can be equal or can even exceed the amount of charge stored on the capacitor plates. The dielectric discharge current follows a power-law time dependence. We suggest a model to explain this behavior.

  11. Method of forming a nanocluster comprising dielectric layer and device comprising such a layer

    NARCIS (Netherlands)

    2009-01-01

    A method of forming a dielectric layer (330) on a further layer (114, 320) of a semiconductor device (300) is disclosed. The method comprises depositing a dielectric precursor compound and a further precursor compound over the further layer (114, 320), the dielectric precursor compound comprising a

  12. High-Mobility 6,13-Bis(triisopropylsilylethynyl) Pentacene Transistors Using Solution-Processed Polysilsesquioxane Gate Dielectric Layers.

    Science.gov (United States)

    Matsuda, Yu; Nakahara, Yoshio; Michiura, Daisuke; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) is a low-temperature curable polymer that is compatible with low-cost plastic substrates. We cured PSQ gate dielectric layers by irradiation with ultraviolet light at ~60 °C, and used them for 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) thin film transistors (TFTs). The fabricated TFTs have shown the maximum and average hole mobility of 1.3 and 0.78 ± 0.3 cm2V-1s-1, which are comparable to those of the previously reported transistors using single-crystalline TIPS-pentacene micro-ribbons for their active layers and thermally oxidized SiO2 for their gate dielectric layers. Itis therefore demonstrated that PSQ is a promising polymer gate dielectric material for low-cost organic TFTs.

  13. Origin of colossal dielectric permittivity of rutile Ti₀.₉In₀.₀₅Nb₀.₀₅O₂: single crystal and polycrystalline.

    Science.gov (United States)

    Song, Yongli; Wang, Xianjie; Sui, Yu; Liu, Ziyi; Zhang, Yu; Zhan, Hongsheng; Song, Bingqian; Liu, Zhiguo; Lv, Zhe; Tao, Lei; Tang, Jinke

    2016-02-12

    In this paper, we investigated the dielectric properties of (In + Nb) co-doped rutile TiO2 single crystal and polycrystalline ceramics. Both of them showed colossal, up to 10(4), dielectric permittivity at room temperature. The single crystal sample showed one dielectric relaxation process with a large dielectric loss. The voltage-dependence of dielectric permittivity and the impedance spectrum suggest that the high dielectric permittivity of single crystal originated from the surface barrier layer capacitor (SBLC). The impedance spectroscopy at different temperature confirmed that the (In + Nb) co-doped rutile TiO2 polycrystalline ceramic had semiconductor grains and insulating grain boundaries, and that the activation energies were calculated to be 0.052 eV and 0.35 eV for grain and grain boundary, respectively. The dielectric behavior and impedance spectrum of the polycrystalline ceramic sample indicated that the internal barrier layer capacitor (IBLC) mode made a major contribution to the high ceramic dielectric permittivity, instead of the electron-pinned defect-dipoles.

  14. Optimization of nitridation conditions for high quality inter-polysilicon dielectric layers

    NARCIS (Netherlands)

    Klootwijk, J.H.; Bergveld, H.J.; van Kranenburg, H.; Woerlee, P.H.; Wallinga, Hans

    1996-01-01

    Nitridation of deposited high temperature oxides (HTO) was studied to form high quality inter-polysilicon dielectric layers for embedded non volatile memories. Good quality dielectric layers were obtained earlier by using an optimized deposition of polysilicon and by performing a post-dielectric

  15. Tailoring the Dielectric Layer Structure for Enhanced Performance of Organic Field-Effect Transistors: The Use of a Sandwiched Polar Dielectric Layer

    Directory of Open Access Journals (Sweden)

    Shijiao Han

    2016-07-01

    Full Text Available To investigate the origins of hydroxyl groups in a polymeric dielectric and its applications in organic field-effect transistors (OFETs, a polar polymer layer was inserted between two polymethyl methacrylate (PMMA dielectric layers, and its effect on the performance as an organic field-effect transistor (OFET was studied. The OFETs with a sandwiched dielectric layer of poly(vinyl alcohol (PVA or poly(4-vinylphenol (PVP containing hydroxyl groups had shown enhanced characteristics compared to those with only PMMA layers. The field-effect mobility had been raised more than 10 times in n-type devices (three times in the p-type one, and the threshold voltage had been lowered almost eight times in p-type devices (two times in the n-type. The on-off ratio of two kinds of devices had been enhanced by almost two orders of magnitude. This was attributed to the orientation of hydroxyl groups from disordered to perpendicular to the substrate under gate-applied voltage bias, and additional charges would be induced by this polarization at the interface between the semiconductor and dielectrics, contributing to the accumulation of charge transfer.

  16. Wave propagation through a dielectric layer containing densely packed fibers

    International Nuclear Information System (INIS)

    Lee, Siu-Chun

    2011-01-01

    This paper presents the theoretical formulation for the propagation of electromagnetic wave through a dielectric layer containing a random dense distribution of fibers. The diameter of the fibers is comparable to the inter-fiber spacing and wavelength of the incident radiation, but is much smaller than the thickness of the layer. Discontinuity of refractive index across the boundaries of the dielectric layer resulted in multiple internal reflection of both the primary source wave and the scattered waves. As a result the incident waves on the fibers consist of the multiply-reflected primary waves, scattered waves from other fibers, and scattered-reflected waves from the boundaries. The effective propagation constant of the dielectric fiber layer was developed by utilizing the Effective field-Quasicrystalline approximation. The influence of the refractive index of the dielectric medium on the radiative properties of a dense fiber layer was examined by means of numerical analyses.

  17. Simulation of dual-gate SOI MOSFET with different dielectric layers

    Science.gov (United States)

    Yadav, Jyoti; Chaudhary, R.; Mukhiya, R.; Sharma, R.; Khanna, V. K.

    2016-04-01

    The paper presents the process design and simulation of silicon-on-insulator (SOI)-based dual-gate metal oxide field-effect transistor (DG-MOSFET) stacked with different dielectric layers on the top of gate oxide. A detailed 2D process simulation of SOI-MOSFETs and its electrical characterization has been done using SILVACO® TCAD tool. A variation in transconductance was observed with different dielectric layers, AlN-gate MOSFET having the highest tranconductance value as compared to other three dielectric layers (SiO2, Si3N4 and Al2O3).

  18. Improvement in photoconductor film properties by changing dielectric layer structures

    International Nuclear Information System (INIS)

    Kim, S; Oh, K; Lee, Y; Jung, J; Cho, G; Jang, G; Cha, B; Nam, S; Park, J

    2011-01-01

    In recent times, digital X-ray detectors have been actively applied to the medical field; for example, digital radiography offers the potential of improved image quality and provides opportunities for advances in medical image management, computer-aided diagnosis and teleradiology. In this study, two candidate materials (HgI 2 and PbI 2 ) have been employed to study the influence of the dielectric structure on the performance of fabricated X-ray photoconducting films. Parylene C with high permittivity was deposited as a dielectric layer using a parylene deposition system (PDS 2060). The structural and morphological properties of the samples were evaluated field emission scanning electron microscopy and X-ray diffraction. Further, to investigate improvements in the electrical characteristics, a dark current in the dark room and sensitivity to X-ray exposure in the energy range of general radiography diagnosis were measured across the range of the operating voltage. The electric signals varied with the dielectric layer structure of the X-ray films. The PbI 2 film with a bottom dielectric layer showed optimized electric properties. On the other hand, in the case of HgI 2 , the film with a top dielectric layer showed superior electric characteristics. Further, although the sensitivity of the film decreased, the total electrical efficiency of the film improved as a result of the decrease in dark current. When a dielectric layer is deposited on a photoconductor, the properties of the photoconductor, such as hole-electron mobility, should be considered to improve the image quality in digital medical imaging application. In this study, we have thus demonstrated that the use of dielectric layer structures improves the performance of photoconductors.

  19. Encapsulation methods and dielectric layers for organic electrical devices

    Science.gov (United States)

    Blum, Yigal D; Chu, William Siu-Keung; MacQueen, David Brent; Shi, Yijan

    2013-07-02

    The disclosure provides methods and materials suitable for use as encapsulation barriers and dielectric layers in electronic devices. In one embodiment, for example, there is provided an electroluminescent device or other electronic device with a dielectric layer comprising alternating layers of a silicon-containing bonding material and a ceramic material. The methods provide, for example, electronic devices with increased stability and shelf-life. The invention is useful, for example, in the field of microelectronic devices.

  20. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  1. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  2. Electrochemistry at the edge of a single graphene layer in a nanopore

    DEFF Research Database (Denmark)

    Banerjee, Sutanuka; Shim, Jeong; Rivera, J.

    2013-01-01

    We study the electrochemistry of single layer graphene edges using a nanopore-based structure consisting of stacked graphene and AlO dielectric layers. Nanopores, with diameters ranging from 5 to 20 nm, are formed by an electron beam sculpting process on the stacked layers. This leads to a unique...

  3. Effect of dielectric layers on device stability of pentacene-based field-effect transistors.

    Science.gov (United States)

    Di, Chong-an; Yu, Gui; Liu, Yunqi; Guo, Yunlong; Sun, Xiangnan; Zheng, Jian; Wen, Yugeng; Wang, Ying; Wu, Weiping; Zhu, Daoben

    2009-09-07

    We report stable organic field-effect transistors (OFETs) based on pentacene. It was found that device stability strongly depends on the dielectric layer. Pentacene thin-film transistors based on the bare or polystyrene-modified SiO(2) gate dielectrics exhibit excellent electrical stabilities. In contrast, the devices with the octadecyltrichlorosilane (OTS)-treated SiO(2) dielectric layer showed the worst stabilities. The effects of the different dielectrics on the device stabilities were investigated. We found that the surface energy of the gate dielectric plays a crucial role in determining the stability of the pentacene thin film, device performance and degradation of electrical properties. Pentacene aggregation, phase transfer and film morphology are also important factors that influence the device stability of pentacene devices. As a result of the surface energy mismatch between the dielectric layer and organic semiconductor, the electronic performance was degraded. Moreover, when pentacene was deposited on the OTS-treated SiO(2) dielectric layer with very low surface energy, pentacene aggregation occurred and resulted in a dramatic decrease of device performance. These results demonstrated that the stable OFETs could be obtained by using pentacene as a semiconductor layer.

  4. HTS microstrip disk resonator with an upper dielectric layer for 4GHz

    International Nuclear Information System (INIS)

    Yamanaka, Kazunori; Kai, Manabu; Akasegawa, Akihiko; Nakanishi, Teru

    2006-01-01

    We propose HTS microstrip disk resonator with an upper dielectric layer as a candidate resonator structure of HTS compact power filter for 4GHz band. The electromagnetic simulations on the upper dielectric layer examined the current distributions of the HTS resonators that had TM 11 mode resonance of about 4 GHz. By the simulations, it is evaluated that of the maximum current density near the end portion of the disk-shape pattern of the resonator with the thick upper-layered structure decreases by roughly 30-50 percent, as compared with that of the resonator without it. Then, we designed and fabricated the resonator samples with and without the upper dielectrics. The RF power measurement results indicated that the upper dielectric layer leads to an increase in handling power

  5. Restoration of s-polarized evanescent waves and subwavelength imaging by a single dielectric slab

    International Nuclear Information System (INIS)

    El Gawhary, Omar; Schilder, Nick J; Costa Assafrao, Alberto da; Pereira, Silvania F; Paul Urbach, H

    2012-01-01

    It was predicted a few years ago that a medium with negative index of refraction would allow for perfect imaging. Although no material has been found so far that behaves as a perfect lens, some experiments confirmed the theoretical predictions in the near-field, or quasi-static, regime where the behaviour of a negative index medium can be mimicked by a thin layer of noble metal, such as silver. These results are normally attributed to the excitation of surface plasmons in the metal, which only leads to the restoration of p-polarized evanescent waves. In this work, we show that the restoration of s-polarized evanescent waves and, correspondingly, sub-wavelength imaging by a single dielectric slab are possible. Specifically, we show that at λ = 632 nm a thin layer of GaAs behaves as a superlens for s-polarized waves. Replacing the single-metal slab by a dielectric is not only convenient from a technical point of view, it being much easier to deposit and control the thickness and flatness of dielectric films than metal ones, but also invites us to re-think the connection between surface plasmon excitation and the theory of negative refraction. (paper)

  6. Thickness-Dependent Dielectric Constant of Few-Layer In 2 Se 3 Nanoflakes

    KAUST Repository

    Wu, Di

    2015-11-17

    © 2015 American Chemical Society. The dielectric constant or relative permittivity (εr) of a dielectric material, which describes how the net electric field in the medium is reduced with respect to the external field, is a parameter of critical importance for charging and screening in electronic devices. Such a fundamental material property is intimately related to not only the polarizability of individual atoms but also the specific atomic arrangement in the crystal lattice. In this Letter, we present both experimental and theoretical investigations on the dielectric constant of few-layer In2Se3 nanoflakes grown on mica substrates by van der Waals epitaxy. A nondestructive microwave impedance microscope is employed to simultaneously quantify the number of layers and local electrical properties. The measured εr increases monotonically as a function of the thickness and saturates to the bulk value at around 6-8 quintuple layers. The same trend of layer-dependent dielectric constant is also revealed by first-principles calculations. Our results of the dielectric response, being ubiquitously applicable to layered 2D semiconductors, are expected to be significant for this vibrant research field.

  7. Single-layer graphene on silicon nitride micromembrane resonators

    DEFF Research Database (Denmark)

    Schmid, Silvan; Bagci, Tolga; Zeuthen, Emil

    2014-01-01

    Due to their low mass, high quality factor, and good optical properties, silicon nitride (SiN) micromembrane resonators are widely used in force and mass sensing applications, particularly in optomechanics. The metallization of such membranes would enable an electronic integration with the prospect...... for exciting new devices, such as optoelectromechanical transducers. Here, we add a single-layer graphene on SiN micromembranes and compare electromechanical coupling and mechanical properties to bare dielectric membranes and to membranes metallized with an aluminium layer. The electrostatic coupling...

  8. Effects of N2O plasma treatment on perhydropolysilazane spin-on-dielectrics for inter-layer-dielectric applications

    International Nuclear Information System (INIS)

    Park, Kyoung-Seok; Ko, Pil-Seok; Kim, Sam-Dong

    2014-01-01

    Effects of the N 2 O plasma treatment (PT) on perhydropolysilazane spin-on-dielectric (PHPS SOD) were examined as potential inter-layer-dielectrics (ILDs) for sub-30 nm Si circuits. The spin-coated PHPS (18.5 wt.%) ILD layers converted at 650 °C were integrated with the 0.18 μm Si front-end-of-the line process. A modified contact pre-cleaning scheme using N 2 O PT produced more uniform and stable contact chain resistances from the SOD ILDs than the case of pre-cleaning only by buffered oxide etcher. Our analysis shows that this enhancement is due to the minimized carbon contamination on the PHPS side-wall surface densified by PT. - Highlights: • Perhydropolysilazane (PHPS) layer is evaluated as a Si interlayer dielectric. • Examine effects of the N 2 O plasma treatment (PT) on PHPS spin-on-dielectrics (SODs) • Significantly improved metal contact resistances are achieved using the N 2 O PT. • Contact resistance enhancement by PT is due to the minimized carbon contamination

  9. Shellac Films as a Natural Dielectric Layer for Enhanced Electron Transport in Polymer Field-Effect Transistors.

    Science.gov (United States)

    Baek, Seung Woon; Ha, Jong-Woon; Yoon, Minho; Hwang, Do-Hoon; Lee, Jiyoul

    2018-06-06

    Shellac, a natural polymer resin obtained from the secretions of lac bugs, was evaluated as a dielectric layer in organic field-effect transistors (OFETs) on the basis of donor (D)-acceptor (A)-type conjugated semiconducting copolymers. The measured dielectric constant and breakdown field of the shellac layer were ∼3.4 and 3.0 MV/cm, respectively, comparable with those of a poly(4-vinylphenol) (PVP) film, a commonly used dielectric material. Bottom-gate/top-contact OFETs were fabricated with shellac or PVP as the dielectric layer and one of three different D-A-type semiconducting copolymers as the active layer: poly(cyclopentadithiophene- alt-benzothiadiazole) with p-type characteristics, poly(naphthalene-bis(dicarboximide)- alt-bithiophene) [P(NDI2OD-T2)] with n-type characteristics, and poly(dithienyl-diketopyrrolopyrrole- alt-thienothiophene) [P(DPP2T-TT)] with ambipolar characteristics. The electrical characteristics of the fabricated OFETs were then measured. For all active layers, OFETs with a shellac film as the dielectric layer exhibited a better mobility than those with PVP. For example, the mobility of the OFET with a shellac dielectric and n-type P(NDI2OD-T2) active layer was approximately 2 orders of magnitude greater than that of the corresponding OFET with a PVP insulating layer. When P(DPP2T-TT) served as the active layer, the OFET with shellac as the dielectric exhibited ambipolar characteristics, whereas the corresponding OFET with the PVP dielectric operated only in hole-accumulation mode. The total density of states was analyzed using technology computer-aided design simulations. The results revealed that compared with the OFETs with PVP as the dielectric, the OFETs with shellac as the dielectric had a lower trap-site density at the polymer semiconductor/dielectric interface and much fewer acceptor-like trap sites acting as electron traps. These results demonstrate that shellac is a suitable dielectric material for D-A-type semiconducting

  10. Control of Evaporation Behavior of an Inkjet-Printed Dielectric Layer Using a Mixed-Solvent System

    Science.gov (United States)

    Yang, Hak Soon; Kang, Byung Ju; Oh, Je Hoon

    2016-01-01

    In this study, the evaporation behavior and the resulting morphology of inkjet-printed dielectric layers were controlled using a mixed-solvent system to fabricate uniform poly-4-vinylphenol (PVP) dielectric layers without any pinholes. The mixed-solvent system consisted of two different organic solvents: 1-hexanol and ethanol. The effects of inkjet-printing variables such as overlap condition, substrate temperature, and different printing sequences (continuous and interlacing printing methods) on the inkjet-printed dielectric layer were also investigated. Increasing volume fraction of ethanol (VFE) is likely to reduce the evaporation rate gradient and the drying time of the inkjet-printed dielectric layer; this diminishes the coffee stain effect and thereby improves the uniformity of the inkjet-printed dielectric layer. However, the coffee stain effect becomes more severe with an increase in the substrate temperature due to the enhanced outward convective flow. The overlap condition has little effect on the evaporation behavior of the printed dielectric layer. In addition, the interlacing printing method results in either a stronger coffee stain effect or wavy structures of the dielectric layers depending on the VFE of the PVP solution. All-inkjet-printed capacitors without electrical short circuiting can be successfully fabricated using the optimized PVP solution (VFE = 0.6); this indicates that the mixed-solvent system is expected to play an important role in the fabrication of high-quality inkjet-printed dielectric layers in various printed electronics applications.

  11. Adjustable threshold-voltage in all-inkjet-printed organic thin film transistor using double-layer dielectric structures

    International Nuclear Information System (INIS)

    Wu, Wen-Jong; Lee, Chang-Hung; Hsu, Chun-Hao; Yang, Shih-Hsien; Lin, Chih-Ting

    2013-01-01

    An all-inkjet-printed organic thin film transistor (OTFT) with a double-layer dielectric structure is proposed and implemented in this study. By using the double-layer structure with different dielectric materials (i.e., polyvinylphenol with poly(vinylidene fluoride-co-hexafluoropropylene)), the threshold-voltage of OTFT can be adjusted. The threshold-voltage shift can be controlled by changing the composition of dielectric layers. That is, an enhancement-mode OTFT can be converted to a depletion-mode OTFT by selectively printing additional dielectric layers to form a high-k/low-k double-layer structure. The printed OTFT has a carrier mobility of 5.0 × 10 −3 cm 2 /V-s. The threshold-voltages of the OTFTs ranged between − 13 V and 10 V. This study demonstrates an additional design parameter for organic electronics manufactured using inkjet printing technology. - Highlights: • A double-layer dielectric organic thin film transistor, OTFT, is implemented. • The threshold voltage of OTFT can be configured by the double dielectric structure. • The composition of the dielectric determines the threshold voltage shift. • The characteristics of OTFTs can be adjusted by double dielectric structures

  12. Contribution of Dielectric Screening to the Total Capacitance of Few-Layer Graphene Electrodes.

    Science.gov (United States)

    Zhan, Cheng; Jiang, De-en

    2016-03-03

    We apply joint density functional theory (JDFT), which treats the electrode/electrolyte interface self-consistently, to an electric double-layer capacitor (EDLC) based on few-layer graphene electrodes. The JDFT approach allows us to quantify a third contribution to the total capacitance beyond quantum capacitance (CQ) and EDL capacitance (CEDL). This contribution arises from the dielectric screening of the electric field by the surface of the few-layer graphene electrode, and we therefore term it the dielectric capacitance (CDielec). We find that CDielec becomes significant in affecting the total capacitance when the number of graphene layers in the electrode is more than three. Our investigation sheds new light on the significance of the electrode dielectric screening on the capacitance of few-layer graphene electrodes.

  13. Dielectric properties of layered FeGaInS{sub 4} single crystals in an alternating electric field

    Energy Technology Data Exchange (ETDEWEB)

    Mammadov, F. M. [Azerbaijan National Academy of Sciences, Nagiyev Institute of Catalysis and Inorganic Chemistry (Azerbaijan); Niftiyev, N. N., E-mail: namiq7@bk.ru [Azerbaijan State Pedagogical University (Azerbaijan)

    2016-09-15

    The results of investigations of the frequency and temperature dependences of dielectric losses and the imaginary part of the dielectric permittivity in FeGaInS{sub 4} single crystals are presented. Their experimental values are determined. It is established that the loss tangent and the imaginary part of the permittivity of FeGaInS{sub 4} single crystals in a field with frequencies of 10{sup 4}–10{sup 6} Hz decrease inversely proportional to the frequency (tanδ ~ 1/ω), and the conductivity is characterized by the band–hopping mechanism. For FeGaInS{sub 4}, the relaxation time is calculated, and it is established that there is a mechanism of electron polarization caused by thermal motion in this crystal.

  14. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.

    2010-11-19

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  15. Nanoscale gadolinium oxide capping layers on compositionally variant gate dielectrics

    KAUST Repository

    Alshareef, Husam N.; Caraveo-Frescas, J. A.; Cha, D. K.

    2010-01-01

    Metal gate work function enhancement using nanoscale (1.0 nm) Gd2O3 interfacial layers has been evaluated as a function of silicon oxide content in the HfxSiyOz gate dielectric and process thermal budget. It is found that the effective work function tuning by the Gd2O3 capping layer varied by nearly 400 mV as the composition of the underlying dielectric changed from 0% to 100% SiO2, and by nearly 300 mV as the maximum process temperature increased from ambient to 1000 °C. A qualitative model is proposed to explain these results, expanding the existing models for the lanthanide capping layer effect.

  16. Phonon-limited mobility in n-type single-layer MoS2 from first principles

    DEFF Research Database (Denmark)

    Kaasbjerg, Kristen; Thygesen, Kristian S.; Jacobsen, Karsten W.

    2012-01-01

    We study the phonon-limited mobility in intrinsic n-type single-layer MoS2 for temperatures T > 100 K. The materials properties including the electron-phonon interaction are calculated from first principles and the deformation potentials and Frohlich interaction in single-layer MoS2 are established...... to recent experimental findings for the mobility in single-layer MoS2 (similar to 200 cm(2)V(-1)s(-1)), our results indicate that mobilities close to the intrinsic phonon-limited mobility can be achieved in two-dimensional materials via dielectric engineering that effectively screens static Coulomb...

  17. High Gain and High Directive of Antenna Arrays Utilizing Dielectric Layer on Bismuth Titanate Ceramics

    Directory of Open Access Journals (Sweden)

    F. H. Wee

    2012-01-01

    Full Text Available A high gain and high directive microstrip patch array antenna formed from dielectric layer stacked on bismuth titanate (BiT ceramics have been investigated, fabricated, and measured. The antennas are designed and constructed with a combination of two-, four-, and six-BiT elements in an array form application on microwave substrate. For gain and directivity enhancement, a layer of dielectric was stacked on the BiT antenna array. We measured the gain and directivity of BiT array antennas with and without the dielectric layer and found that the gain of BiT array antenna with the dielectric layer was enhanced by about 1.4 dBi of directivity and 1.3 dB of gain over the one without the dielectric layer at 2.3 GHz. The impedance bandwidth of the BiT array antenna both with and without the dielectric layer is about 500 MHz and 350 MHz, respectively, which is suitable for the application of the WiMAX 2.3 GHz system. The utilization of BiT ceramics that covers about 90% of antenna led to high radiation efficiency, and small-size antennas were produced. In order to validate the proposed design, theoretical and measured results are provided and discussed.

  18. Hidden symmetries in N-layer dielectric stacks

    Science.gov (United States)

    Liu, Haihao; Shoufie Ukhtary, M.; Saito, Riichiro

    2017-11-01

    The optical properties of a multilayer system with arbitrary N layers of dielectric media are investigated. Each layer is one of two dielectric media, with a thickness one-quarter the wavelength of light in that medium, corresponding to a central frequency f 0. Using the transfer matrix method, the transmittance T is calculated for all possible 2 N sequences for small N. Unexpectedly, it is found that instead of 2 N different values of T at f 0 (T 0), there are only (N/2+1) discrete values of T 0, for even N, and (N + 1) for odd N. We explain this high degeneracy in T 0 values by finding symmetry operations on the sequences that do not change T 0. Analytical formulae were derived for the T 0 values and their degeneracies as functions of N and an integer parameter for each sequence we call ‘charge’. Additionally, the bandwidth at f 0 and filter response of the transmission spectra are investigated, revealing asymptotic behavior at large N.

  19. Microstructure and chemical analysis of Hf-based high-k dielectric layers in metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Thangadurai, P. [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Mikhelashvili, V.; Eisenstein, G. [Department of Electrical Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Kaplan, W.D., E-mail: kaplan@tx.technion.ac.i [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel)

    2010-05-31

    The microstructure and chemistry of the high-k gate dielectric significantly influences the performance of metal-insulator-metal (MIM) and metal-oxide-semiconductor devices. In particular, the local structure, chemistry, and inter-layer mixing are important phenomena to be understood. In the present study, high resolution and analytical transmission electron microscopy are combined to study the local structure, morphology, and chemistry in MIM capacitors containing a Hf-based high-k dielectric. The gate dielectric, bottom and gate electrodes were deposited on p-type Si(100) wafers by electron beam evaporation. Four chemically distinguishable sub-layers were identified within the dielectric stack. One is an unintentionally formed 4.0 nm thick interfacial layer of Ta{sub 2}O{sub 5} at the interface between the Ta electrode and the dielectric. The other three layers are based on HfN{sub x}O{sub y} and HfTiO{sub y}, and intermixing between the nearby sub-layers including deposited SiO{sub 2}. Hf-rich clusters were found in the HfN{sub x}O{sub y} layer adjacent to the Ta{sub 2}O{sub 5} layer.

  20. Method of making dielectric capacitors with increased dielectric breakdown strength

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Liu, Shanshan

    2017-05-09

    The invention is directed to a process for making a dielectric ceramic film capacitor and the ceramic dielectric laminated capacitor formed therefrom, the dielectric ceramic film capacitors having increased dielectric breakdown strength. The invention increases breakdown strength by embedding a conductive oxide layer between electrode layers within the dielectric layer of the capacitors. The conductive oxide layer redistributes and dissipates charge, thus mitigating charge concentration and micro fractures formed within the dielectric by electric fields.

  1. Single-layer graphene-assembled 3D porous carbon composites with PVA and Fe₃O₄ nano-fillers: an interface-mediated superior dielectric and EMI shielding performance.

    Science.gov (United States)

    Rao, B V Bhaskara; Yadav, Prasad; Aepuru, Radhamanohar; Panda, H S; Ogale, Satishchandra; Kale, S N

    2015-07-28

    In this study, a novel composite of Fe3O4 nanofiller-decorated single-layer graphene-assembled porous carbon (SLGAPC) with polyvinyl alcohol (PVA) having flexibility and a density of 0.75 g cm(-3) is explored for its dielectric and electromagnetic interference (EMI) response properties. The composite is prepared by the solution casting method and its constituents are optimized as 15 wt% SLGAPC and 20 wt% Fe3O4 through a novel solvent relaxation nuclear magnetic resonance experiment. The PVA-SLGAPC-Fe3O4 composite shows high dielectric permittivity in the range of 1 Hz-10 MHz, enhanced by a factor of 4 as compared to that of the PVA-SLGAPC composite, with a reduced loss by a factor of 2. The temperature dependent dielectric properties reveal the activation energy behaviour with reference to the glass transition temperature (80 °C) of PVA. The dielectric hysteresis with the temperature cycle reveals a remnant polarization. The enhanced dielectric properties are suggested to be the result of improvement in the localized polarization of the integrated interface system (Maxwell-Wagner-Sillars (MWS) polarization) formed by the uniform adsorption of Fe3O4 on the surface of SLGAPC conjugated with PVA. The EMI shielding property of the composite with a low thickness of 0.3 mm in the X-band (8.2-12.4 GHz) shows a very impressive shielding efficiency of ∼15 dB and a specific shielding effectiveness of 20 dB (g cm(-3))(-1), indicating the promising character of this material for flexible EMI shielding applications.

  2. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud; Nayak, Pradipta K.; Wang, Zhenwei; Alshareef, Husam N.

    2016-01-01

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  3. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud

    2016-08-24

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  4. Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Golnaz Karbasian

    2017-03-01

    Full Text Available Single electron transistors are nanoscale electron devices that require thin, high-quality tunnel barriers to operate and have potential applications in sensing, metrology and beyond-CMOS computing schemes. Given that atomic layer deposition is used to form CMOS gate stacks with low trap densities and excellent thickness control, it is well-suited as a technique to form a variety of tunnel barriers. This work is a review of our recent research on atomic layer deposition and post-fabrication treatments to fabricate metallic single electron transistors with a variety of metals and dielectrics.

  5. Dielectric and baric characteristics of TlS single crystal

    Energy Technology Data Exchange (ETDEWEB)

    Mustafaeva, S.N., E-mail: solmust@gmail.com [Institute of Physics, ANAS, G. Javid prosp. 33, Az 1143 Baku (Azerbaijan); Asadov, M.M. [Institute of Chemical Problems, ANAS, G. Javid prosp. 29, Az 1143 Baku (Azerbaijan); Ismailov, A.A. [Institute of Physics, ANAS, G. Javid prosp. 33, Az 1143 Baku (Azerbaijan)

    2014-11-15

    The investigation of the frequency dependences of the dielectric coefficients and ac-conductivity of the TlS single crystals made it possible to elucidate the nature of dielectric loss and the charge transfer mechanism. Moreover, we evaluated the density and energy spread of localized states near the Fermi level, the average hopping time and the average hopping length. It was shown that the dc-conductivity of the TlS single crystals can be controlled by varying the hydrostatic pressure. This has opened up possibilities for using TlS single crystals as active elements of pressure detectors.

  6. Perhydropolysilazane spin-on dielectrics for inter-layer-dielectric applications of sub-30 nm silicon technology

    International Nuclear Information System (INIS)

    Kim, Sam-Dong; Ko, Pil-Seok; Park, Kyoung-Seok

    2013-01-01

    Various material properties of the perhydropolysilazane spin-on dielectric (PHPS SOD) were examined and analyzed in this study as potential inter-layer dielectrics (ILDs) integrated for Si circuits of 30 nm technology or beyond. The spin-coated PHPS (18.5 wt%) layers converted at 650 °C showed comparable but less perfect thermal conversion to silica than the films converted at 1000 °C, however exhibiting excellent gap filling (15 nm gap opening, aspect ratio (AR) of ∼23) and planarization (degree of planarization (DOP) = ∼73% for 800 nm initial step height, cusp angle = ∼16°) sufficient for the Si integration. PHPS SOD layers cured at 650 °C were integrated ILDs in the 0.18 µm Si front-end-of-the-line process, and the estimated hot-carrier reliability of n-channel metal oxide semiconductor transistors (ten years at a drain voltage of 1.68 V) had no significant difference from that of the transistors integrated with the conventional borophosposilicate glass ILDs. A modified contact pre-cleaning scheme using N 2 O plasma treatment also produced uniform and stable contact chain resistances from the SOD ILDs. (paper)

  7. Electromagnetic Scattering from a PEC Wedge Capped with Cylindrical Layers with Dielectric and Conductive Properties

    Directory of Open Access Journals (Sweden)

    H. Ozturk

    2017-04-01

    Full Text Available Electromagnetic scattering from a layered capped wedge is studied. The wedge is assumed infinite in z-direction (longitudinal and capped with arbitrary layers of dielectric with varying thicknesses and dielectric properties including conductive loss. Scalar Helmholtz equation in two dimensions is formulated for each solution region and a matrix of unknown coefficients are arrived at for electric field representation. Closed form expressions are derived for 2- and 3-layer geometries. Numerical simulations are performed for different wedge shapes and dielectric layer properties and compared to PEC-only case. It has been shown that significant reduction in scattered electric field can be obtained with 2- and 3-layered cap geometries. Total electric field in the far field normalized to incident field is also computed as a precursor to RCS analysis. Analytical results can be useful in radar cross section analysis for aerial vehicles.

  8. Three-dimensional periodic dielectric structures having photonic Dirac points

    Science.gov (United States)

    Bravo-Abad, Jorge; Joannopoulos, John D.; Soljacic, Marin

    2015-06-02

    The dielectric, three-dimensional photonic materials disclosed herein feature Dirac-like dispersion in quasi-two-dimensional systems. Embodiments include a face-centered cubic (fcc) structure formed by alternating layers of dielectric rods and dielectric slabs patterned with holes on respective triangular lattices. This fcc structure also includes a defect layer, which may comprise either dielectric rods or a dielectric slab with patterned with holes. This defect layer introduces Dirac cone dispersion into the fcc structure's photonic band structure. Examples of these fcc structures enable enhancement of the spontaneous emission coupling efficiency (the .beta.-factor) over large areas, contrary to the conventional wisdom that the .beta.-factor degrades as the system's size increases. These results enable large-area, low-threshold lasers; single-photon sources; quantum information processing devices; and energy harvesting systems.

  9. Three-dimensional periodic dielectric structures having photonic Dirac points

    Energy Technology Data Exchange (ETDEWEB)

    Bravo-Abad, Jorge; Joannopoulos, John D.; Soljacic, Marin

    2015-06-02

    The dielectric, three-dimensional photonic materials disclosed herein feature Dirac-like dispersion in quasi-two-dimensional systems. Embodiments include a face-centered cubic (fcc) structure formed by alternating layers of dielectric rods and dielectric slabs patterned with holes on respective triangular lattices. This fcc structure also includes a defect layer, which may comprise either dielectric rods or a dielectric slab with patterned with holes. This defect layer introduces Dirac cone dispersion into the fcc structure's photonic band structure. Examples of these fcc structures enable enhancement of the spontaneous emission coupling efficiency (the .beta.-factor) over large areas, contrary to the conventional wisdom that the .beta.-factor degrades as the system's size increases. These results enable large-area, low-threshold lasers; single-photon sources; quantum information processing devices; and energy harvesting systems.

  10. Vertical dielectric screening of few-layer van der Waals semiconductors.

    Science.gov (United States)

    Koo, Jahyun; Gao, Shiyuan; Lee, Hoonkyung; Yang, Li

    2017-10-05

    Vertical dielectric screening is a fundamental parameter of few-layer van der Waals two-dimensional (2D) semiconductors. However, unlike the widely-accepted wisdom claiming that the vertical dielectric screening is sensitive to the thickness, our first-principles calculation based on the linear response theory (within the weak field limit) reveals that this screening is independent of the thickness and, in fact, it is the same as the corresponding bulk value. This conclusion is verified in a wide range of 2D paraelectric semiconductors, covering narrow-gap ones and wide-gap ones with different crystal symmetries, providing an efficient and reliable way to calculate and predict static dielectric screening of reduced-dimensional materials. Employing this conclusion, we satisfactorily explain the tunable band gap in gated 2D semiconductors. We further propose to engineer the vertical dielectric screening by changing the interlayer distance via vertical pressure or hybrid structures. Our predicted vertical dielectric screening can substantially simplify the understanding of a wide range of measurements and it is crucial for designing 2D functional devices.

  11. Zn(3)(4-OOCC(6)H(4)PO(3))(2): A polar metal phosphonate with pillared layered structure showing SHG-activity and large dielectric anisotropy.

    Science.gov (United States)

    Li, Jin-Tang; Cao, Deng-Ke; Akutagawa, Tomoyuki; Zheng, Li-Min

    2010-10-07

    A new metal phosphonate Zn(3)(4-OOCC(6)H(4)PO(3))(2) (1) is reported which crystallizes in orthorhombic space group Pca2(1). It shows a pillared layered structure in which the {ZnO(4)}, {ZnO(5)} and {PO(3)C} polyhedra are connected through corner- or edge-sharing to form an inorganic layer in the ab plane which contains 4- and 5-member rings. These layers are pillared by the uni-oriented 4-carboxylatephenylphosphonate ligands, thus leading to a polar 3D architecture. The dielectric anisotropy measurements of a single crystal of 1 reveal that dielectric constant along the inter-layer is larger than that along the intra-layer with a ratio of about 2.3. Second harmonic generation (SHG) activity is observed.

  12. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  13. Monochromatic filter with multiple manipulation approaches by the layered all-dielectric patch array

    International Nuclear Information System (INIS)

    Liu, Xiaoshan; Liu, Guiqiang; Fu, Guolan; Liu, Mulin; Liu, Zhengqi

    2016-01-01

    Monochromatic filtering with ultra-narrowband and high spectral contrast is desirable for wide applications in display, image, and other optoelectronics. However, owing to the inherent omhic losses in the metallic materials, a broadband spectrum with a low Q-factor down to 10 inevitably limits the device performance. Herein, we for the first time theoretically propose and demonstrate an ultra-narrowband color-filtering platform based on the layered all-dielectric meta-material (LADM), which consists of a triple-layer high/low/high-index dielectrics cavity structure. Owing to the lossless dielectric materials used, sharp resonances with the bandwidth down to sub-10 nm are observed in the sub-wavelength LADM-based filters. A spectral Q-factor of 361.6 is achieved, which is orders of magnitude larger than that of the plasmonic resonators. Moreover, for the other significant factor for evaluation of filtering performance, the spectral contrast reaches 94.5%. These optical properties are the main results of the excitation of the resonant modes in the LADMs. Furthermore, polarization-manipulated light filtering is realized in this LADM. The classical Malus law is also confirmed in the reflective spectrum by tuning the polarization state. More interestingly and importantly, the filtering phenomenon shows novel features of the wavelength-independent and tunable resonant intensity for the reflective spectrum when the LADM-based filter is illuminated under an oblique state. High scalability of the sharp reflective spectrum is obtained by tuning the structural parameters. A single-wavelength reflective filtering window is also achieved in the visible frequencies. These features hold promise for the LADM-based filter with wide applications in color engineering, displaying, imaging, etc. (paper)

  14. Zinc oxide nanowire-poly(methyl methacrylate) dielectric layers for polymer capacitive pressure sensors.

    Science.gov (United States)

    Chen, Yan-Sheng; Hsieh, Gen-Wen; Chen, Shih-Ping; Tseng, Pin-Yen; Wang, Cheng-Wei

    2015-01-14

    Polymer capacitive pressure sensors based on a dielectric composite layer of zinc oxide nanowire and poly(methyl methacrylate) show pressure sensitivity in the range of 2.63 × 10(-3) to 9.95 × 10(-3) cm(2) gf(-1). This represents an increase of capacitance change by as much as a factor of 23 over pristine polymer devices. An ultralight load of only 10 mg (corresponding to an applied pressure of ∼0.01 gf cm(-2)) can be clearly recognized, demonstrating remarkable characteristics of these nanowire-polymer capacitive pressure sensors. In addition, optical transmittance of the dielectric composite layer is approximately 90% in the visible wavelength region. Their low processing temperature, transparency, and flexible dielectric film makes them a highly promising means for flexible touching and pressure-sensing applications.

  15. Experimental Investigation of an X-Band Tunable Dielectric Accelerating Structure

    CERN Document Server

    Kanareykin, Alex; Karmanenko, Sergei F; Nenasheva, Elisaveta; Power, John G; Schoessow, Paul; Semenov, Alexei

    2005-01-01

    Experimental study of a new scheme to tune the resonant frequency for dielectric based accelerating structure (driven either by the wakefield of a beam or an external rf source) is underway. The structure consists of a single layer of conventional dielectric surrounded by a very thin layer of ferroelectric material situated on the outside. Carefully designed electrodes are attached to a thin layer of ferroelectric material. A DC bias can be applied to the electrodes to change the permittivity of the ferroelectric layer and therefore, the dielectric overall resonant frequency can be tuned. In this paper, we present the test results for an 11.424 GHz rectangular DLA prototype structure that the ferroelectric material's dielectric constant of 500 and show that a frequency tuning range of 2% can be achieved. If successful, this scheme would compensate for structure errors caused by ceramic waveguide machining tolerances and dielectric constant heterogeneity.

  16. Low-dielectric layer increases nanosecond electric discharges in distilled water

    KAUST Repository

    Hamdan, Ahmad; Cha, Min

    2016-01-01

    decreasing of the energy consumption are the main goals of today’s research. Here we present an experimental study of nanosecond discharge in distilled water covered by a layer of dielectric material. We demonstrate through this paper that the discharge

  17. Method for manufacturing a solar cell with a surface-passivating dielectric double layer, and corresponding solar cell

    NARCIS (Netherlands)

    2014-01-01

    We will describe a solar cell with a Dielektrikumdoppelschicht and a method for their preparation. It comprises a first dielectric layer (3) containing aluminum oxide or consisting of alumina, and a second, hydrogen-containing dielectric layer (5) is produced by sequential vapor deposition, whereby

  18. Ultra-thin Metal and Dielectric Layers for Nanophotonic Applications

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Leandro, Lorenzo; Malureanu, Radu

    2015-01-01

    In our talk we first give an overview of the various thin films used in the field of nanophotonics. Then we describe our own activity in fabrication and characterization of ultra-thin films of high quality. We particularly focus on uniform gold layers having thicknesses down to 6 nm fabricated by......-beam deposition on dielectric substrates and Al-oxides/Ti-oxides multilayers prepared by atomic layer deposition in high aspect ratio trenches. In the latter case we show more than 1:20 aspect ratio structures can be achieved....

  19. Studies of low current back-discharge in point-plane geometry with dielectric layer

    International Nuclear Information System (INIS)

    Jaworek, A.; Rajch, E.; Czech, T.; Lackowski, M

    2005-01-01

    The paper presents results of spectroscopic investigations of back-discharge generated in the point-plane electrode geometry in air at atmospheric pressure, with the plane covered with fly ash layer. Four forms of the discharges were studied: onset streamers, glow, breakdown streamers and low-current back-arc discharge. Both polarities of the active discharge electrode, positive and negative, were tested. The back discharge is a type of DC electrical discharge, which take place when the passive plane electrode is covered with a dielectric layer. The layer can be made of solid material or a packed bed of dust or powder of low conductivity. The charge produced due to ionisation processes in the vicinity of the active point electrode is accumulated on the dielectric surface, and generates high electric field through this layer. When critical electric field through the layer is attained an electrical breakdown of the layer take place. The point of breakdown becomes a new source of ions of polarity opposite to those generated by the active electrode. The dielectric layer on the passive electrode causes that gaseous discharges such as breakdown streamers or arc start at lower voltages than they could in the case of normal corona discharge. The visual forms of the discharge were recorded and correlated with the current-voltage characteristics and optical emission spectra. Emission spectra of the discharge were measured in the light wavelength range of 200 to 600 nm to get information about excitation and ionisation processes. The light spectra were analysed by monochromator SPM-2 Karl-Zeiss-Jena with diffraction grating of 1302 grooves/mm and photomultiplier R375 (Hamamatsu) and signal preamplifier unit C7319 (Hamamatsu). The spectral analysis showed that the nitrogen molecular bands were dominant, but the emission of negative ions from the dielectric layer material were also detected. The most noticeable light emission in the range from 280 to 490 nm due to second

  20. Melt front propagation in dielectrics upon femtosecond laser irradiation: Formation dynamics of a heat-affected layer

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Lechuga, Mario, E-mail: mario@io.cfmac.csic.es, E-mail: j.siegel@io.cfmac.csic.es; Solis, Javier; Siegel, Jan, E-mail: mario@io.cfmac.csic.es, E-mail: j.siegel@io.cfmac.csic.es [Laser Processing Group, Instituto de Optica, CSIC, Serrano 121, 28006 Madrid (Spain)

    2016-04-25

    Several studies in dielectrics have reported the presence of a thin heat-affected layer underneath the ablation crater produced by femtosecond laser irradiation. In this work, we present a time-resolved microscopy technique that is capable of monitoring the formation dynamics of this layer and apply it to the study of a phosphate glass exposed to single pulses below the ablation threshold. A few nanoseconds after laser excitation, a melt front interface can be detected, which propagates into the bulk, gradually slowing down its speed. By means of image analysis combined with optical modeling, we are able to determine the temporal evolution of the layer thickness and its refractive index. Initially, a strong transient decrease in the refractive index is observed, which partially recovers afterwards. The layer resolidifies after approximately 1 μs after excitation, featuring a maximum thickness of several hundreds of nanometers.

  1. Melt front propagation in dielectrics upon femtosecond laser irradiation: Formation dynamics of a heat-affected layer

    International Nuclear Information System (INIS)

    Garcia-Lechuga, Mario; Solis, Javier; Siegel, Jan

    2016-01-01

    Several studies in dielectrics have reported the presence of a thin heat-affected layer underneath the ablation crater produced by femtosecond laser irradiation. In this work, we present a time-resolved microscopy technique that is capable of monitoring the formation dynamics of this layer and apply it to the study of a phosphate glass exposed to single pulses below the ablation threshold. A few nanoseconds after laser excitation, a melt front interface can be detected, which propagates into the bulk, gradually slowing down its speed. By means of image analysis combined with optical modeling, we are able to determine the temporal evolution of the layer thickness and its refractive index. Initially, a strong transient decrease in the refractive index is observed, which partially recovers afterwards. The layer resolidifies after approximately 1 μs after excitation, featuring a maximum thickness of several hundreds of nanometers.

  2. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    Science.gov (United States)

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  3. Theoretical Modeling and Analysis of L- and P-band Radar Backscatter Sensitivity to Soil Active Layer Dielectric Variations

    Directory of Open Access Journals (Sweden)

    Jinyang Du

    2015-07-01

    Full Text Available Freeze-thaw (FT and moisture dynamics within the soil active layer are critical elements of boreal, arctic and alpine ecosystems, and environmental change assessments. We evaluated the potential for detecting dielectric changes within different soil layers using combined L- and P-band radar remote sensing as a prerequisite for detecting FT and moisture profile changes within the soil active layer. A two-layer scattering model was developed and validated for simulating radar responses from vertically inhomogeneous soil. The model simulations indicated that inhomogeneity in the soil dielectric profile contributes to both L- and P-band backscatter, but with greater P-band sensitivity at depth. The difference in L- and P-band responses to soil dielectric profile inhomogeneity appears suitable for detecting associated changes in soil active layer conditions. Additional evaluation using collocated airborne radar (AIRSAR observations and in situ soil moisture measurements over alpine tundra indicates that combined L- and P-band SAR observations are sensitive to soil dielectric profile heterogeneity associated with variations in soil moisture and FT conditions.

  4. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  5. Single-layer graphene on silicon nitride micromembrane resonators

    Energy Technology Data Exchange (ETDEWEB)

    Schmid, Silvan; Guillermo Villanueva, Luis; Amato, Bartolo; Boisen, Anja [Department of Micro- and Nanotechnology, Technical University of Denmark, DTU Nanotech, Building 345 East, 2800 Kongens Lyngby (Denmark); Bagci, Tolga; Zeuthen, Emil; Sørensen, Anders S.; Usami, Koji; Polzik, Eugene S. [QUANTOP, Niels Bohr Institute, University of Copenhagen, 2100 Copenhagen (Denmark); Taylor, Jacob M. [Joint Quantum Institute/NIST, College Park, Maryland 20899 (United States); Herring, Patrick K.; Cassidy, Maja C. [School of Engineering and Applied Science, Harvard University, Cambridge, Massachusetts 02138 (United States); Marcus, Charles M. [Center for Quantum Devices, Niels Bohr Institute, University of Copenhagen, 2100 Copenhagen (Denmark); Cheol Shin, Yong; Kong, Jing [Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States)

    2014-02-07

    Due to their low mass, high quality factor, and good optical properties, silicon nitride (SiN) micromembrane resonators are widely used in force and mass sensing applications, particularly in optomechanics. The metallization of such membranes would enable an electronic integration with the prospect for exciting new devices, such as optoelectromechanical transducers. Here, we add a single-layer graphene on SiN micromembranes and compare electromechanical coupling and mechanical properties to bare dielectric membranes and to membranes metallized with an aluminium layer. The electrostatic coupling of graphene covered membranes is found to be equal to a perfectly conductive membrane, without significantly adding mass, decreasing the superior mechanical quality factor or affecting the optical properties of pure SiN micromembranes. The concept of graphene-SiN resonators allows a broad range of new experiments both in applied physics and fundamental basic research, e.g., for the mechanical, electrical, or optical characterization of graphene.

  6. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  7. Study on electrical defects level in single layer two-dimensional Ta2O5

    Science.gov (United States)

    Dahai, Li; Xiongfei, Song; Linfeng, Hu; Ziyi, Wang; Rongjun, Zhang; Liangyao, Chen; David, Wei Zhang; Peng, Zhou

    2016-04-01

    Two-dimensional atomic-layered material is a recent research focus, and single layer Ta2O5 used as gate dielectric in field-effect transistors is obtained via assemblies of Ta2O5 nanosheets. However, the electrical performance is seriously affected by electronic defects existing in Ta2O5. Therefore, spectroscopic ellipsometry is used to calculate the transition energies and corresponding probabilities for two different charged oxygen vacancies, whose existence is revealed by x-ray photoelectron spectroscopy analysis. Spectroscopic ellipsometry fitting also calculates the thickness of single layer Ta2O5, exhibiting good agreement with atomic force microscopy measurement. Nondestructive and noncontact spectroscopic ellipsometry is appropriate for detecting the electrical defects level of single layer Ta2O5. Project supported by the National Natural Science Foundation of China (Grant Nos. 11174058 and 61376093), the Fund from Shanghai Municipal Science and Technology Commission (Grant No. 13QA1400400), the National Science and Technology Major Project, China (Grant No. 2011ZX02707), and the Innovation Program of Shanghai Municipal Education Commission (Grant No. 12ZZ010).

  8. Stop Band Gap in Periodic Layers of Confined Atomic Vapor/Dielectric Medium

    International Nuclear Information System (INIS)

    Li Yuan-Yuan; Li Li; Lu Yi-Xin; Zhang Yan-Peng; Xu Ke-Wei

    2013-01-01

    A stop band gap is predicted in periodic layers of a confined atomic vapor/dielectric medium. Reflection and transmission profile of the layers over the band gap can be dramatically modified by the confined atoms and the number of layer periods. These gap and line features can be ascribed to the enhanced contribution of slow atoms induced by atom-wall collision, transient behavior of atom-light interaction and Fabry—Pérot effects in a thermal confined atomic system

  9. High carrier mobility of CoPc wires based field-effect transistors using bi-layer gate dielectric

    Directory of Open Access Journals (Sweden)

    Murali Gedda

    2013-11-01

    Full Text Available Polyvinyl alcohol (PVA and anodized Al2O3 layers were used as bi-layer gate for the fabrication of cobalt phthalocyanine (CoPc wire base field-effect transistors (OFETs. CoPc wires were grown on SiO2 surfaces by organic vapor phase deposition method. These devices exhibit a field-effect carrier mobility (μEF value of 1.11 cm2/Vs. The high carrier mobility for CoPc molecules is attributed to the better capacitive coupling between the channel of CoPc wires and the gate through organic-inorganic dielectric layer. Our measurements also demonstrated the way to determine the thicknesses of the dielectric layers for a better process condition of OFETs.

  10. Co-firing behavior of ZnTiO3-TiO2 dielectrics/hexagonal ferrite composites for multi-layer LC filters

    International Nuclear Information System (INIS)

    Wang Mao; Zhou Ji; Yue Zhenxing; Li Longtu; Gui Zhilun

    2003-01-01

    The low-temperature co-firing compatibility between ferrite and dielectric materials is the key issue in the production process of multi-layer chip LC filters. This paper presents the co-firing behavior and interfacial diffusion of ZnTiO 3 -TiO 2 dielectric/Co 2 Z hexagonal ferrite multi-layer composites. It has been testified that proper constitutional modification is feasible to diminish co-firing mismatch and enhance co-firing compatibility. Interfacial reactions occur at the interface, which can strengthen combinations between ferrite layers and dielectric layers. Titanium and barium tend to concentrate at the interface; iron and zinc have a wide diffusion range

  11. Electrowetting Performances of Novel Fluorinated Polymer Dielectric Layer Based on Poly(1H,1H,2H,2H-perfluoroctylmethacrylate Nanoemulsion

    Directory of Open Access Journals (Sweden)

    Jiaxin Hou

    2017-06-01

    Full Text Available In electrowetting devices, hydrophobic insulating layer, namely dielectric layer, is capable of reversibly switching surface wettability through applied electric field. It is critically important but limited by material defects in dielectricity, reversibility, film forming, adhesiveness, price and so on. To solve this key problem, we introduced a novel fluorinated polyacrylate—poly(1H,1H,2H,2H-perfluoroctylmethacrylate (PFMA to construct micron/submicron-scale dielectric layer via facile spray coating of nanoemulsion for replacing the most common Teflon AF series. All the results illustrated that, continuous and dense PFMA film with surface relief less than 20 nm was one-step fabricated at 110 °C, and exhibited much higher static water contact angle of 124°, contact angle variation of 42°, dielectric constant of about 2.6, and breakdown voltage of 210 V than Teflon AF 1600. Particularly, soft and highly compatible polyacrylate mainchain assigned five times much better adhesiveness than common adhesive tape, to PFMA layer. As a promising option, PFMA dielectric layer may further facilitate tremendous development of electrowetting performances and applications.

  12. Center for dielectric studies

    Science.gov (United States)

    Cross, L. E.; Newnham, R. E.; Biggers, J. V.

    1984-05-01

    This report focuses upon the parts of the Center program which have drawn most extensively upon Navy funds. In the basic study of polarization processes in high K dielectrics, major progress has been made in understanding the mechanisms in relaxor ferroelectric in the perovskite structure families. A new effort is also being mounted to obtain more precise evaluation of the internal stress effects in fine grained barium titanate. Related to reliability, studies of the effects of induced macro-defects are described, and preparation for the evaluation of space charge by internal potential distribution measurements discussed. To develop new processing methods for very thin dielectric layers, a new type of single barrier layer multilayer is discussed, and work on the thermal evaporation of oriented crystalline antimony sulphur iodide describe.

  13. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  14. Probing the dielectric response of the interfacial buffer layer in epitaxial graphene via optical spectroscopy

    Science.gov (United States)

    Hill, Heather M.; Rigosi, Albert F.; Chowdhury, Sugata; Yang, Yanfei; Nguyen, Nhan V.; Tavazza, Francesca; Elmquist, Randolph E.; Newell, David B.; Hight Walker, Angela R.

    2017-11-01

    Monolayer epitaxial graphene (EG) is a suitable candidate for a variety of electronic applications. One advantage of EG growth on the Si face of SiC is that it develops as a single crystal, as does the layer below, referred to as the interfacial buffer layer (IBL), whose properties include an electronic band gap. Although much research has been conducted to learn about the electrical properties of the IBL, not nearly as much work has been reported on the optical properties of the IBL. In this work, we combine measurements from Mueller matrix ellipsometry, differential reflectance contrast, atomic force microscopy, and Raman spectroscopy, as well as calculations from Kramers-Kronig analyses and density-functional theory, to determine the dielectric function of the IBL within the energy range of 1 eV to 8.5 eV.

  15. Characteristics of dielectric properties and conduction mechanism of TlInS2:Cu single crystals

    Science.gov (United States)

    El-Nahass, M. M.; Ali, H. A. M.; El-Zaidia, E. F. M.

    2013-12-01

    Single crystals of TlInS2:Cu were grown by the modified Bridgman method. The dielectric behavior of TlInS2:Cu was investigated using the impedance spectroscopy technique. The real (ε1), imaginary (ε2) parts of complex dielectric permittivity and ac conductivity were measured in the frequency range (42-2×105) Hz with a variation of temperature in the range from 291 K to 483 K. The impedance data were presented in Nyquist diagrams for different temperatures. The frequency dependence of σtot (ω) follows the Jonscher's universal dynamic law with the relation σtot (ω)=σdc+Aωs, (where s is the frequency exponent). The mechanism of the ac charge transport across the layers of TlInS2:Cu single crystals was referred to the hopping over localized states near the Fermi level. The examined system exhibits temperature dependence of σac (ω), which showed a linear increase with the increase in temperature at different frequencies. Some parameters were calculated as: the density of localized states near the Fermi level, NF, the average time of charge carrier hopping between localized states, τ, and the average hopping distance, R.

  16. Rapid growth of single-layer graphene on the insulating substrates by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Chen, C.Y. [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Dai, D.; Chen, G.X.; Yu, J.H. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Nishimura, K. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Advanced Nano-processing Engineering Lab, Mechanical Systems Engineering, Kogakuin University (Japan); Lin, C.-T. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Jiang, N., E-mail: jiangnan@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhan, Z.L., E-mail: zl_zhan@sohu.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China)

    2015-08-15

    Highlights: • A rapid thermal CVD process has been developed to directly grow graphene on the insulating substrates. • The treating time consumed is ≈25% compared to conventional CVD procedure. • Single-layer and few-layer graphene can be formed on quartz and SiO{sub 2}/Si substrates, respectively. • The formation of thinner graphene at the interface is due to the fast precipitation rate of carbon atoms during cooling. - Abstract: The advance of CVD technique to directly grow graphene on the insulating substrates is particularly significant for further device fabrication. As graphene is catalytically grown on metal foils, the degradation of the sample properties is unavoidable during transfer of graphene on the dielectric layer. Moreover, shortening the treatment time as possible, while achieving single-layer growth of graphene, is worthy to be investigated for promoting the efficiency of mass production. Here we performed a rapid heating/cooling process to grow graphene films directly on the insulating substrates by thermal CVD. The treating time consumed is ≈25% compared to conventional CVD procedure. In addition, we found that high-quality, single-layer graphene can be formed on quartz, but on SiO{sub 2}/Si substrate only few-layer graphene can be obtained. The pronounced substrate effect is attributed to the different dewetting behavior of Ni films on the both substrates at 950 °C.

  17. Influence of the polarity of the applied voltage on the reignition of a discharge below a dielectric layer in air at atmospheric pressure

    International Nuclear Information System (INIS)

    Pechereau, François; Bourdon, Anne

    2014-01-01

    The dynamics of an atmospheric pressure air discharge in a point-to-plane geometry with a dielectric layer obstacle on the discharge path is investigated numerically for different applied voltages. Whatever the polarity of the voltage applied, first, a streamer discharge of the same polarity ignites at the point and propagates towards the dielectric layer. After the impact on the dielectric surface, the streamer discharge spreads along the upper dielectric surface and charges it positively or negatively depending on its polarity. On the bottom surface of the dielectric layer, charges with an opposite polarity are deposited. Surface charges on both faces of the dielectric layer are shown to have a significant influence on the discharge reignition for a negative applied voltage, but not for a positive one. Furthermore, it is shown that the dynamics of the discharge reignition below the dielectric layer depends on the polarity of the applied voltage at the point electrode. For a positive applied voltage, the reignited discharge is a positive ionization wave propagating towards the grounded plane. For a negative applied voltage, a double headed discharge is observed with positive and negative fronts propagating in opposite directions. Finally, the minimal value of the ionization integral to have a discharge reignition below the dielectric obstacle is found to be less for a negative applied voltage than for a positive one. (paper)

  18. Dielectric Losses and Charge Transfer in Antimony-Doped TlGaS2 Single Crystal

    Science.gov (United States)

    Asadov, S. M.; Mustafaeva, S. N.

    2018-03-01

    Effect of semimetallic antimony (0.5 mol % Sb) on the dielectric properties and ac-conductivity of TlGaS2-based single crystals grown by the Bridgman-Stockbarger method has been studied. The experimental results on the frequency dispersion of dielectric coefficients and the conductivity of TlGa0.995Sb0.005S2 single crystals allowed the revealing of the dielectric loss nature, the charge transfer mechanism, and the estimation of the parameters of the states localized in the energy gap. The antimony-doping of the TlGaS2 single crystal leads to an increase in the density of states near the Fermi level and a decrease in the average time and average distance of hopes.

  19. Dielectric properties of single wall carbon nanotubes-based gelatin phantoms

    Science.gov (United States)

    Altarawneh, M. M.; Alharazneh, G. A.; Al-Madanat, O. Y.

    In this work, we report the dielectric properties of Single wall Carbon Nanotubes (SWCNTs)-based phantom that is mainly composed of gelatin and water. The fabricated gelatin-based phantom with desired dielectric properties was fabricated and doped with different concentrations of SWCNTs (e.g., 0%, 0.05%, 0.10%, 0.15%, 0.2%, 0.4% and 0.6%). The dielectric constants (real ɛ‧ and imaginary ɛ‧‧) were measured at different positions for each sample as a function of frequency (0.5-20GHz) and concentrations of SWCNTs and their averages were found. The Cole-Cole plot (ɛ‧ versus ɛ‧‧) was obtained for each concentration of SWCNTs and was used to obtain the static dielectric constant ɛs, the dielectric constant at the high limit of frequency ɛ∞ and the average relaxation time τ. The measurements showed that the fabricated samples are in good homogeneity and the SWCNTs are dispersed well in the samples as an acceptable standard deviation is achieved. The study showed a linear increase in the static dielectric constant ɛs and invariance of the average relaxation time τ and the value of ɛ∞ at room temperature for the investigated concentrations of SWCNTs.

  20. Thin-dielectric-layer engineering for 3D nanostructure integration using an innovative planarization approach

    International Nuclear Information System (INIS)

    Guerfi, Y; Doucet, J B; Larrieu, G

    2015-01-01

    Three-dimensional (3D) nanostructures are emerging as promising building blocks for a large spectrum of applications. One critical issue in integration regards mastering the thin, flat, and chemically stable insulating layer that must be implemented on the nanostructure network in order to build striking nano-architectures. In this letter, we report an innovative method for nanoscale planarization on 3D nanostructures by using hydrogen silesquioxane as a spin-on-glass (SOG) dielectric material. To decouple the thickness of the final layer from the height of the nanostructure, we propose to embed the nanowire network in the insulator layer by exploiting the planarizing properties of the SOG approach. To achieve the desired dielectric thickness, the structure is chemically etched back with a highly diluted solution to control the etch rate precisely. The roughness of the top surface was less than 2 nm. There were no surface defects and the planarity was excellent, even in the vicinity of the nanowires. This newly developed process was used to realize a multilevel stack architecture with sub-deca-nanometer-range layer thickness. (paper)

  1. Formation of a Refracted Electromagnetic Wave at the Output from a Plane-Parallel Dielectric Layer and Interference Nature of Fermat's Principle

    Science.gov (United States)

    Averbukh, B. B.; Averbukh, I. B.

    2015-04-01

    It is shown that a transition layer representing a spatial region in which field propagation is analogous to refraction in an inhomogeneous medium exists after a dielectric layer. In this region located within the near field zone the direction of the wave vector of the transmitted field varies smoothly, and with increasing distance from the layer, approaches to that of the wave incident on the layer. It is shown that such behavior of the field and occurrence of the transition layer are caused by the interference of the incident wave field and the fields of secondary sources excited in the dielectric by the incident wave field. It is shown that the refraction of the field in a homogeneous medium after the dielectric corresponds to Fermat's principle, and the interference nature of Fermat's principle is justified.

  2. Self-organization of single filaments and diffusive plasmas during a single pulse in dielectric-barrier discharges

    International Nuclear Information System (INIS)

    Babaeva, Natalia Yu; Kushner, Mark J

    2014-01-01

    Self-organization of filaments in dielectric-barrier discharges (DBDs) probably has many origins. However, the dominant cause is proposed to be the accumulation of charge on the surfaces of the bounding dielectrics that reinforces successive discharge pulses to occur at the same locations. A secondary cause is the electrostatic repulsion of individual plasma filaments. Self-organization typically develops over many discharge pulses. In this paper, we discuss the results of a computational investigation of plasma filaments in overvoltage DBDs that, under select conditions, display self-organized patterns (SOPs) of plasma density during a single discharge pulse. (Overvoltage refers to the rapid application of a voltage in excess of the quasi-dc breakdown voltage.) The origin of the SOPs is a synergistic relationship between the speed of the surface-ionization waves that propagate along each dielectric and the rate at which avalanche occurs across the gap. For our test conditions, SOPs were not observed at lower voltages and gradually formed at higher voltages. The same conditions that result in SOPs, i.e. the application of an overvoltage, also produce more diffuse discharges. A transition from a single narrow filament to a more diffuse structure was observed as overvoltage was approached. The sensitivity of SOPs to the orientation and permittivity of the bounding dielectrics is discussed. (paper)

  3. Cast dielectric composite linear accelerator

    Science.gov (United States)

    Sanders, David M [Livermore, CA; Sampayan, Stephen [Manteca, CA; Slenes, Kirk [Albuquerque, NM; Stoller, H M [Albuquerque, NM

    2009-11-10

    A linear accelerator having cast dielectric composite layers integrally formed with conductor electrodes in a solventless fabrication process, with the cast dielectric composite preferably having a nanoparticle filler in an organic polymer such as a thermosetting resin. By incorporating this cast dielectric composite the dielectric constant of critical insulating layers of the transmission lines of the accelerator are increased while simultaneously maintaining high dielectric strengths for the accelerator.

  4. Hydraulic Stability of Single-Layer Dolos and Accropode Armour Layers

    DEFF Research Database (Denmark)

    Christensen, M.; Burcharth, H. F.

    1995-01-01

    A new design for Dolos breakwater armour layers is presented: Dolos armour units are placed in a selected geometric pattern in a single layer. A series of model tests have been performed in order to determine the stability of such single-layer Dolos armour layers. The test results are presented...... and compared to the stability formula for the traditional double-layer, randomly placed Dolos armour layer design presented by Burcharth (1992). The results of a series of stability tests performed with Accropode® armour layers is presented and compared to the test results obtained with single-layer Dolos...... armour layers. Run-up and reflection are presented for both single-layer Dolos armour and Accropode armour....

  5. Structural and dielectric properties of four - layer Aurivillius - type Ba0.25Sr0.75Bi4Ti4O15 ceramics

    International Nuclear Information System (INIS)

    Goyal, Parveen K.; Khokhar, Anita; Sreenivas, K.

    2013-01-01

    In the present study, a barium strontium bismuth titanate (Ba 0.25 Sr 0.75 Bi 4 Ti 4 O 15 , BSBT) ceramic composition has been prepared by conventional solid-state reaction. In order to study the structure of as synthesized BSBT ceramics, the X-ray powder diffraction (XRD), Raman and FTIR studies have been carried out on the powdered sample. X-ray diffraction analysis confirms the formation of a single phase four-layer Aurivillius-type ceramics that crystallizes in an orthorhombic structure with A2 1 am space group. The dielectric properties of the ceramics have been studied in the temperature range 30 - 600℃ temperature range at various frequencies (100 Hz to 1 MHz). A sharp dielectric anomaly was observed at ∼ 485℃ at all the frequencies corresponding to the ferroelectric to paraelectric phase transition. The ferroelectric behavior is confirmed from the Curie-Weiss law fitting of the dielectric data. (author)

  6. Dielectric behavior and phase transition in [111]-oriented PIN–PMN–PT single crystals under dc bias

    Directory of Open Access Journals (Sweden)

    Yuhui Wan

    2014-01-01

    Full Text Available Temperature and electric field dependences of the dielectric behavior and phase transition for [111]-oriented 0.23PIN–0.52PMN–0.25PT (PIN-PMN–0.25PT and 0.24PIN–0.43PMN–0.33PT (PIN–PMN–0.33PT single crystals were investigated over a temperature range from -100°C to 250°C using field-heating (FH dielectric measurements. The transition phenomenon from ferroelectric microdomain to macrodomain was found in rhombohedra (R phase region in the single crystals under dc bias. This transition temperature Tf of micro-to-macrodomain is sensitive to dc bias and move quickly to lower temperature with increasing dc bias. The phase transition temperatures in the two single crystals shift toward high temperature and the dielectric permittivities at the phase transition temperature decrease with increasing dc bias. Especially, the phase transition peaks are gradually broad in PIN–PMN–0.33PT single crystal with the increasing dc bias. Effects of dc bias on the dielectric behavior and phase transition in PIN–PMN–PT single crystals are discussed.

  7. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    Science.gov (United States)

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  8. Pulsed electromagnetic field radiation from a narrow slot antenna with a dielectric layer

    NARCIS (Netherlands)

    Štumpf, M.; De Hoop, A.T.; Lager, I.E.

    2010-01-01

    Analytic time domain expressions are derived for the pulsed electromagnetic field radiated by a narrow slot antenna with a dielectric layer in a two?dimensional model configuration. In any finite time window of observation, exact pulse shapes for the propagated, reflected, and refracted wave

  9. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  10. Suppression in the electrical hysteresis by using CaF2 dielectric layer for p-GaN MIS capacitors

    Science.gov (United States)

    Sang, Liwen; Ren, Bing; Liao, Meiyong; Koide, Yasuo; Sumiya, Masatomo

    2018-04-01

    The capacitance-voltage (C-V) hysteresis in the bidirectional measurements of the p-GaN metal-insulator-semiconductor (MIS) capacitor is suppressed by using a CaF2 dielectric layer and a post annealing treatment. The density of trapped charge states at the CaF2/p-GaN interface is dramatically reduced from 1.3 × 1013 cm2 to 1.1 × 1011/cm2 compared to that of the Al2O3/p-GaN interface with a large C-V hysteresis. It is observed that the disordered oxidized interfacial layer can be avoided by using the CaF2 dielectric. The downward band bending of p-GaN is decreased from 1.51 to 0.85 eV as a result of the low-density oxides-related trap states. Our work indicates that the CaF2 can be used as a promising dielectric layer for the p-GaN MIS structures.

  11. Total Ionizing Dose Effects of Si Vertical Diffused MOSFET with SiO2 and Si3N4/SiO2 Gate Dielectrics

    Directory of Open Access Journals (Sweden)

    Jiongjiong Mo

    2017-01-01

    Full Text Available The total ionizing dose irradiation effects are investigated in Si vertical diffused MOSFETs (VDMOSs with different gate dielectrics including single SiO2 layer and double Si3N4/SiO2 layer. Radiation-induced holes trapping is greater for single SiO2 layer than for double Si3N4/SiO2 layer. Dielectric oxidation temperature dependent TID effects are also studied. Holes trapping induced negative threshold voltage shift is smaller for SiO2 at lower oxidation temperature. Gate bias during irradiation leads to different VTH shift for different gate dielectrics. Single SiO2 layer shows the worst negative VTH at VG=0 V, while double Si3N4/SiO2 shows negative VTH shift at VG=-5 V, positive VTH shift at VG=10 V, and negligible VTH shift at VG=0 V.

  12. Polyimide Dielectric Layer on Filaments for Organic Field Effect Transistors: Choice of Solvent, Solution Composition and Dip-Coating Speed

    Directory of Open Access Journals (Sweden)

    Rambausek Lina

    2014-09-01

    Full Text Available In today’s research, smart textiles is an established topic in both electronics and the textile fields. The concept of producing microelectronics directly on a textile substrate is not a mere idea anymore and several research institutes are working on its realisation. Microelectronics like organic field effect transistor (OFET can be manufactured with a layered architecture. The production techniques used for this purpose can also be applied on textile substrates. Besides gate, active and contact layers, the isolating or dielectric layer is of high importance in the OFET architecture. Therefore, generating a high quality dielectric layer that is of low roughness and insulating at the same time is one of the fundamental requirements in building microelectronics on textile surfaces. To evaluate its potential, we have studied polyimide as a dielectric layer, dip-coated onto copper-coated polyester filaments. Accordingly, the copper-coated polyester filament was dip-coated from a polyimide solution with two different solvents, 1-methyl-2-pyrrolidone (NMP and dimethylformaldehyde. A variety of dip-coating speeds, solution concentrations and solvent-solute combinations have been tested. Their effect on the quality of the layer was analysed through microscopy, leak current measurements and atomic force microscopy (AFM. Polyimide dip-coating with polyimide resin dissolved in NMP at a concentration of 15w% in combination with a dip-coating speed of 50 mm/min led to the best results in electrical insulation and roughness. By optimising the dielectric layer’s properties, the way is paved for applying the subsequent semi-conductive layer. In further research, we will be working with the organic semiconductor material TIPS-Pentacene

  13. Dielectric anomaly and relaxation natures in a Zn-Cr pillar−layered metal−organic framework with cages and channels

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chen; Yao, Zhi-Yuan; Liu, Shao-Xian; Luo, Hong-Bin [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); Zou, Yang, E-mail: zouyang@njtech.edu.cn [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); Li, Li [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); Ren, Xiao-Ming, E-mail: xmren@njtech.edu.cn [State Key Laboratory of Materials-Oriented Chemical Engineering and College of Chemistry & Molecular Engineering, Nanjing Tech University, Nanjing 210009 (China); College of Materials Science and Engineering, Nanjing Tech University, Nanjing 210009 (China); State Key Laboratory of Coordination Chemistry, Nanjing University, Nanjing 210093 (China)

    2017-06-15

    A bimetallic metal–organic framework (MOF) with the formula [Zn{sub 3}btc{sub 2}(Cr{sub 3}O(isonic){sub 6}(H{sub 2}O){sub 2}(OH))]·(DMF){sub 15.5}(H{sub 2}O){sub 8} (H{sub 3}btc=1,3,5-benzenetricarboxylic acid; isonic=isonicotinicate) shows a pillar-layered structure. The monolayer consists of hexagon-like rings formed by the [Zn(isonic){sub 2}(btc){sub 2}] tetrahedral and the consecutive monolayers are pillared by trigonal–prismatic clusters of [Cr{sub 3}O(isonic){sub 6}(H{sub 2}O){sub 2}(OH)]through the remaining binding sites of the Zn{sup 2+} ions. DMF and water molecules are confined in the cages and channels. TGA indicates that the lattice DMF and water molecules begin to be released at temperatures above 363 K. Dielectric measurements were carried out in the range of 173–363 K and 1–10{sup 7} Hz for three successive thermal cycles. The dielectric spectroscopy obtained in the first thermal cycle was different from that observed in the next two thermal cycles, while the dielectric spectra in the last two thermal cycles were almost identical. The dielectric nature of this MOF is discussed in detail for each thermal cycle. Since MOFs are unique host–guest systems in which the structure of the host framework is designable and the guests are exchangeable, it is no doubt those MOFs are materials with a variety of dielectric natures. This study gives a fresh impetus to achieve MOFs–based dielectric materials. - Graphical abstract: The bimetallic MOF [Zn{sub 3}btc{sub 2}(Cr{sub 3}O(isonic){sub 6}(H{sub 2}O){sub 2}(OH))]·(DMF){sub 15.5}(H{sub 2}O){sub 8}1, shows a pillar-layered open-framework structure. The dielectric spectra of 1 are almost identical in the last two thermal cycles, whereas significantly different from that observed in the first thermal cycle. The novel dielectric anomaly associated with a stacked structure transformation of the disordered guests. - Highlights: • A bimetallic metal-organic framework shows a pillar-layered structure.

  14. Pulsed EM Field Response of a Thin, High-Contrast, Finely Layered Structure With Dielectric and Conductive Properties

    NARCIS (Netherlands)

    De Hoop, A.T.; Jiang, L.

    2009-01-01

    The response of a thin, high-contrast, finely layered structure with dielectric and conductive properties to an incident, pulsed, electromagnetic field is investigated theoretically. The fine layering causes the standard spatial discretization techniques to solve Maxwell's equations numerically to

  15. All-optically tunable EIT-like dielectric metasurfaces hybridized with thin phase change material layers

    Science.gov (United States)

    Petronijevic, Emilija; Sibilia, Concita

    2017-05-01

    Electromagnetically induced transparency (EIT), a pump-induced narrow transparency window within the absorption region of a probe, had offered new perspectives in slow-light control in atomic physics. For applications in nanophotonics, the implementation on chip-scaled devices has later been obtained by mimicking this effect by metallic metamaterials. High losses in visible and near infrared range of metal-based metamaterialls have recently opened a new field of all-dielectric metamaterials; a proper configuration of high refractive index dielectric nanoresonators can mimick this effect without losses to get high Q, slow-light response. The next step would be the ability to tune their optical response, and in this work we investigate thin layers of phase change materials (PCM) for all-optical control of EIT-like all-dielectric metamaterials. PCM can be nonvolatively and reversibly switched between two stable phases that differ in optical properties by applying a visible laser pulse. The device is based on Si nanoresonators covered by a thin layer of PCM GeTe; optical and transient thermal simulations have been done to find and optimize the fabrication parameters and switching parameters such as the intensity and duration of the pulse. We have found that the EIT-like response can be switched on and off by applying the 532nm laser pulse to change the phase of the upper GeTe layer. We strongly believe that such approach could open new perspectives in all-optically controlled slow-light metamaterials.

  16. Studies on the structural, optical and dielectric properties of samarium coordinated with salicylic acid single crystal

    Science.gov (United States)

    Singh, Harjinder; Slathia, Goldy; Gupta, Rashmi; Bamzai, K. K.

    2018-04-01

    Samarium coordinated with salicylic acid was successfully grown as a single crystal by low temperature solution technique using mixed solvent of methanol and water in equal ratio. Structural characterization was carried out by single crystal X-ray diffraction analysis and it crystallizes in centrosymmetric space group P121/c1. FTIR and UV-Vis-NIR spectroscopy confirmed the compound formation and help to determine the mode of binding of the ligand to the rare earth-metal ion. Dielectric constant and dielectric loss have been measured over the frequency range 100 Hz - 30MHz. The decrease in dielectric constant with increases in frequency is due to the transition from interfacial polarization to dipolar polarization. The small value of dielectric constant at higher frequency ensures that the crystal is good candidate for NLO devices. Dielectric loss represents the resistive nature of the material.

  17. Design and Development of an Array of Dielectric Suspended Membranes for Microhotplate Applications

    Directory of Open Access Journals (Sweden)

    Mahanth Prasad

    2014-05-01

    Full Text Available The paper presents the design, fabrication and characterization of an array of suspended dielectric suspended membranes for microhotplate applications. A single cell membrane (100 µm ´ 100 µm made of two different dielectric layers: SiO2 and Si3N4 separately, was designed and simulated using ANSYS 10.0. The simulation of stress generated in different dielectric membranes as a function of temperature is reported. The thickness of both layers was taken as 0.3 µm. The membranes of both SiO2 and Si3N4 dielectrics were fabricated on silicon substrate by bulk micromachining technique using TMAH solution. The buckling of the beam and breakage of membranes made of high-stress Si3N4 film are reported. The simulated results were verified by experiments. The membrane made of SiO2 layer was found to be more suitable in comparison to high-stress Si3N4 layer for microhotplate applications. The present approach provides high yield at low cost for fabrication of microhotplates for gas sensing applications.

  18. Gastroesophageal anastomosis: single-layer versus double-layer technique

    International Nuclear Information System (INIS)

    Aslam, V.A.; Bilal, A.; Khan, A.; Ahmed, M.

    2008-01-01

    Considerable controversy exists regarding the optimum technique for gastroesophageal anastomosis. Double layer technique has long been considered important for safe healing but there is evidence that single layer technique is also safe and can be performed in much shorter time. The purpose of this study was to compare the outcome of single layer and double layer techniques for gastroesophageal anastomosis. A prospective randomized study was conducted in cardiothoracic unit, Lady Reading Hospital from Jan 2006 to Jan 2008. Fifty patients with oesophageal carcinoma undergoing subtotal oesophagectomy were randomized to have the anastomosis by single layer continuous or double layer continuous technique (group A (n=24) and B (n=26) respectively). The demographic data, operative and anastomosis time, postoperative complications and hospital mortality were recorded on a proforma and analyzed on SPSS 10. There was no significant difference between group A and B in terms of age, gender, postoperative complications and duration of hospital stay. Anastomotic leak occurred in 4.2% patients in group A and 7.7% in group B (p=NS). Mean anastomosis time was 10.04 minutes in group A and 19.2 minutes in group B (p=0.0001). Mean operative time was 163.83 minutes and 170.96 minutes in group A and B respectively. Overall hospital mortality was 2%; no deaths occurred due to anastomotic leak. Single layer continuous technique is equally safe and can be performed in shorter time and at a lower cost than the double layer technique. (author)

  19. Boron nitride as two dimensional dielectric: Reliability and dielectric breakdown

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Yanfeng; Pan, Chengbin; Hui, Fei; Shi, Yuanyuan; Lanza, Mario, E-mail: mlanza@suda.edu.cn [Institute of Functional Nano and Soft Materials, Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, 199 Ren-Ai Road, Suzhou 215123 (China); Zhang, Meiyun; Long, Shibing [Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029 (China); Lian, Xiaojuan; Miao, Feng [National Laboratory of Solid State Microstructures, School of Physics, Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing 210093 (China); Larcher, Luca [DISMI, Università di Modena e Reggio Emilia, 42122 Reggio Emilia (Italy); Wu, Ernest [IBM Research Division, Essex Junction, Vermont 05452 (United States)

    2016-01-04

    Boron Nitride (BN) is a two dimensional insulator with excellent chemical, thermal, mechanical, and optical properties, which make it especially attractive for logic device applications. Nevertheless, its insulating properties and reliability as a dielectric material have never been analyzed in-depth. Here, we present the first thorough characterization of BN as dielectric film using nanoscale and device level experiments complementing with theoretical study. Our results reveal that BN is extremely stable against voltage stress, and it does not show the reliability problems related to conventional dielectrics like HfO{sub 2}, such as charge trapping and detrapping, stress induced leakage current, and untimely dielectric breakdown. Moreover, we observe a unique layer-by-layer dielectric breakdown, both at the nanoscale and device level. These findings may be of interest for many materials scientists and could open a new pathway towards two dimensional logic device applications.

  20. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  1. THz - ToF Optical Layer Analysis (OLA) to determine optical properties of dielectric materials

    Science.gov (United States)

    Spranger, Holger; Beckmann, Jörg

    2017-02-01

    Electromagnetic waves with frequencies between 0.1 and 10 THz are described as THz-radiation (T-ray). The ability to penetrate dielectric materials makes T-rays attractive to reveal discontinuities in polymer and ceramic materials. THz-Time Domain Spectroscopy Systems (THz-TDS) are available on the market today which operates with THz-pulses transmitted and received by optically pumped semiconductor antennas. In THz-TDS the travelling time (ToF) and shape of the pulse is changed if it interacts with the dielectric material and its inherent discontinuities. A tomogram of the object under the test can be reconstructed from time of flight diffraction (ToFD) scans if a synthetic focusing aperture (SAFT) algorithm is applied. The knowledge of the base materials shape and optical properties is essential for a proper reconstruction result. To obtain these properties a model is assumed which describes the device under the test as multilayer structure composed of thin layers with different dielectric characteristics. The Optical Layer Analysis (OLA) is able to fulfill these requirements. A short description why the optical properties are crucial for meaningful SAFT reconstruction results will be given first. Afterwards the OLA will be derived and applied on representative samples to discuss and evaluate its benefits and limits.

  2. Effects of Complex Structured Anodic Oxide Dielectric Layer Grown in Pore Matrix for Aluminum Capacitor.

    Science.gov (United States)

    Shin, Jin-Ha; Yun, Sook Young; Lee, Chang Hyoung; Park, Hwa-Sun; Suh, Su-Jeong

    2015-11-01

    Anodization of aluminum is generally divided up into two types of anodic aluminum oxide structures depending on electrolyte type. In this study, an anodization process was carried out in two steps to obtain high dielectric strength and break down voltage. In the first step, evaporated high purity Al on Si wafer was anodized in oxalic acidic aqueous solution at various times at a constant temperature of 5 degrees C. In the second step, citric acidic aqueous solution was used to obtain a thickly grown sub-barrier layer. During the second anodization process, the anodizing potential of various ranges was applied at room temperature. An increased thickness of the sub-barrier layer in the porous matrix was obtained according to the increment of the applied anodizing potential. The microstructures and the growth of the sub-barrier layer were then observed with an increasing anodizing potential of 40 to 300 V by using a scanning electron microscope (SEM). An impedance analyzer was used to observe the change of electrical properties, including the capacitance, dissipation factor, impedance, and equivalent series resistance (ESR) depending on the thickness increase of the sub-barrier layer. In addition, the breakdown voltage was measured. The results revealed that dielectric strength was improved with the increase of sub-barrier layer thickness.

  3. An Iterative Method for Solving of Coupled Equations for Conductive-Radiative Heat Transfer in Dielectric Layers

    Directory of Open Access Journals (Sweden)

    Vasyl Chekurin

    2017-01-01

    Full Text Available The mathematical model for describing combined conductive-radiative heat transfer in a dielectric layer, which emits, absorbs, and scatters IR radiation both in its volume and on the boundary, has been considered. A nonlinear stationary boundary-value problem for coupled heat and radiation transfer equations for the layer, which exchanges by energy with external medium by convection and radiation, has been formulated. In the case of optically thick layer, when its thickness is much more of photon-free path, the problem becomes a singularly perturbed one. In the inverse case of optically thin layer, the problem is regularly perturbed, and it becomes a regular (unperturbed one, when the layer’s thickness is of order of several photon-free paths. An iterative method for solving of the unperturbed problem has been developed and its convergence has been tested numerically. With the use of the method, the temperature field and radiation fluxes have been studied. The model and method can be used for development of noncontact methods for temperature testing in dielectrics and for nondestructive determination of its radiation properties on the base of the data obtained by remote measuring of IR radiation emitted by the layer.

  4. Investigation of Vacuum Insulator Surface Dielectric Strength with Nanosecond Pulses

    International Nuclear Information System (INIS)

    Nunnally, W.C.; Krogh, M.; Williams, C.; Trimble, D.; Sampayan, S.; Caporaso, G.

    2003-01-01

    The maximum vacuum insulator surface dielectric strength determines the acceleration electric field gradient possible in a short pulse accelerator. Previous work has indicated that higher electric field strengths along the insulator-vacuum interface might be obtained as the pulse duration is decreased. In this work, a 250 kV, single ns wide impulse source was applied to small diameter, segmented insulators samples in a vacuum to evaluate the multi-layer surface dielectric strength of the sample construction. Resonances in the low inductance test geometry were used to obtain unipolar, pulsed electric fields in excess of 100 MV/m on the insulator surface. The sample construction, experimental arrangement and experimental results are presented for the initial data in this work. Modeling of the multi-layer structure is discussed and methods of improving insulator surface dielectric strength in a vacuum are proposed

  5. Dielectric relaxation dependent memory elements in pentacene/[6,6]-phenyl-C61-butyric acid methyl ester bi-layer field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Park, Byoungnam

    2015-03-02

    We fabricate a pentacene/[6,6]-phenyl-C{sub 61}-butyric acid methyl ester (PCBM) bi-layer field effect transistor (FET) featuring large hysteresis that can be used as memory elements. Intentional introduction of excess electron traps in a PCBM layer by exposure to air caused large hysteresis in the FET. The memory window, characterized by the threshold voltage difference, increased upon exposure to air and this is attributed to an increase in the number of electron trapping centers and (or) an increase in the dielectric relaxation time in the underlying PCBM layer. Decrease in the electron conduction in the PCBM close to the SiO{sub 2} gate dielectric upon exposure to air is consistent with the increase in the dielectric relaxation time, ensuring that the presence of large hysteresis in the FET originates from electron trapping at the PCBM not at the pentacene. - Highlights: • Charge trapping-induced memory effect was clarified using transistors. • The memory window can be enhanced by controlling charge trapping mechanism. • Memory transistors can be optimized by controlling dielectric relaxation time.

  6. Light scattering in plane dielectric layers: Modeling in the 2d reciprocal space

    International Nuclear Information System (INIS)

    Shcherbakov, Alexey A.; Tishchenko, Alexandre V.

    2012-01-01

    The generalized source method previously developed for the light diffraction calculation on periodic dielectric structures is applied for the light scattering calculation in non-periodic planar media. This significantly enlarges the domain of applicability of Fourier-methods in light scattering modeling since the generalized source method is of much less numerical complexity than other rigorous methods used. -- Highlights: ► Method for light scattering simulation in planar layers. ► The approach is fairly independent of scattering particles’ shape. ► The method is based on the rigorous solution of Maxwell's equations. ► Each calculation stage allows the accuracy control by the convergence monitoring. ► Possibility to consider any practically possible dielectric materials.

  7. Investigation of optical pump on dielectric tunability in PZT/PT thin film by THz spectroscopy.

    Science.gov (United States)

    Ji, Jie; Luo, Chunya; Rao, Yunkun; Ling, Furi; Yao, Jianquan

    2016-07-11

    The dielectric spectra of single-layer PbTiO3 (PT), single-layer PbZrxTi1-xO3 (PZT) and multilayer PZT/PT thin films under an external optical field were investigated at room temperature by time-domain terahertz (THz) spectroscopy. Results showed that the real part of permittivity increased upon application of an external optical field, which could be interpreted as hardening of the soft mode and increasing of the damping coefficient and oscillator strength. Furthermore, the central mode was observed in the three films. Among the dielectric property of the three thin films studied, the tunability of the PZT/PT superlattice was the largest.

  8. Study of dielectric relaxation and AC conductivity of InP:S single crystal

    Science.gov (United States)

    El-Nahass, M. M.; Ali, H. A. M.; El-Shazly, E. A.

    2012-07-01

    The dielectric relaxation and AC conductivity of InP:S single crystal were studied in the frequency range from 100 to 5.25 × 105 Hz and in the temperature range from 296 to 455 K. The dependence of the dielectric constant (ɛ1) and the dielectric loss (ɛ2) on both frequency and temperature was investigated. Since no peak was observed on the dielectric loss, we used a method based on the electric modulus to evaluate the activation energy of the dielectric relaxation. Scaling of the electric modulus spectra showed that the charge transport dynamics is independent of temperature. The AC conductivity (σAC) was found to obey the power law: Aωs. Analysis of the AC conductivity data and the frequency exponent showed that the correlated barrier hopping (CBH) model is the dominant mechanism for the AC conduction. The variation of AC conductivity with temperature at different frequencies showed that σAC is a thermally activated process.

  9. PLZT capacitor and method to increase the dielectric constant

    Science.gov (United States)

    Taylor, Ralph S.; Fairchild, Manuel Ray; Balachjandran, Uthamalingam; Lee, Tae H.

    2017-12-12

    A ceramic-capacitor includes a first electrically-conductive-layer, a second electrically-conductive-layer arranged proximate to the first electrically-conductive-layer, and a dielectric-layer interposed between the first electrically-conductive-layer and the second electrically-conductive-layer. The dielectric-layer is formed of a lead-lanthanum-zirconium-titanate material (PLZT), wherein the PLZT is characterized by a dielectric-constant greater than 125, when measured at 25 degrees Celsius and zero Volts bias, and an excitation frequency of ten-thousand Hertz (10 kHz). A method for increasing a dielectric constant of the lead-lanthanum-zirconium-titanate material (PLZT) includes the steps of depositing PLZT to form a dielectric-layer of a ceramic-capacitor, and heating the ceramic-capacitor to a temperature not greater than 300.degree. C.

  10. Influence of dielectric protective layer on laser damage resistance of gold coated gratings

    Science.gov (United States)

    Wu, Kepeng; Ma, Ping; Pu, Yunti; Xia, Zhilin

    2016-03-01

    Aiming at the problem that the damage threshold of gold coated grating is relatively low, a dielectric film is considered on the gold coated gratings as a protective layer. The thickness range of the protective layer is determined under the prerequisite that the diffraction efficiency of the gold coated grating is reduced to an acceptable degree. In this paper, the electromagnetic field, the temperature field and the stress field distribution in the grating are calculated when the silica and hafnium oxide are used as protective layers, under the preconditions of the electromagnetic field distribution of the gratings known. The results show that the addition of the protective layer changes the distribution of the electromagnetic field, temperature field and stress field in the grating, and the protective layer with an appropriate thickness can improve the laser damage resistance of the grating.

  11. Low-dielectric layer increases nanosecond electric discharges in distilled water

    KAUST Repository

    Hamdan, Ahmad

    2016-10-24

    Electric discharge in liquids is an emerging field of research, and is involved into various environmental applications (water purification, fuel reforming, nanomaterial synthesis, etc.). Increasing the treatment efficiency with simultaneous decreasing of the energy consumption are the main goals of today’s research. Here we present an experimental study of nanosecond discharge in distilled water covered by a layer of dielectric material. We demonstrate through this paper that the discharge efficiency can be improved by changing the interface position regarding the anode tip. The efficiency increase is due to the increase of the discharge probability as well as the plasma volume. The understanding of the experimental results is brought and strengthened by simulating the electric field distribution, using Comsol Multiphysics software. Because the dielectric permittivity (ε) is discontinuous at the interface, the electric field is enhanced by a factor that depends on the relative value of ε of the two liquids. The present result is very promising in future: opportunities for potential applications as well as fundamental studies for discharges in liquid.

  12. Oblique surface waves at an interface between a metal-dielectric superlattice and an isotropic dielectric

    International Nuclear Information System (INIS)

    Vuković, Slobodan M; Miret, Juan J; Zapata-Rodriguez, Carlos J; Jakšić, Zoran

    2012-01-01

    We investigate the existence and dispersion characteristics of surface waves that propagate at an interface between a metal-dielectric superlattice and an isotropic dielectric. Within the long-wavelength limit, when the effective-medium (EM) approximation is valid, the superlattice behaves like a uniaxial plasmonic crystal with the main optical axes perpendicular to the metal-dielectric interfaces. We demonstrate that if such a semi-infinite plasmonic crystal is cut normally to the layer interfaces and brought into contact with a semi-infinite dielectric, a new type of surface mode can appear. Such modes can propagate obliquely to the optical axes if favorable conditions regarding the thickness of the layers and the dielectric permittivities of the constituent materials are met. We show that losses within the metallic layers can be substantially reduced by making the layers sufficiently thin. At the same time, a dramatic enlargement of the range of angles for oblique propagation of the new surface modes is observed. This can lead, however, to field non-locality and consequently to failure of the EM approximation.

  13. Multi-layered dielectric cladding plasmonic microdisk resonator filter and coupler

    International Nuclear Information System (INIS)

    Han Cheng, Bo; Lan, Yung-Chiang

    2013-01-01

    This work develops the plasmonic microdisk filter/coupler, whose effectiveness is evaluated by finite-difference time-domain simulation and theoretical analyses. Multi-layer dielectric cladding is used to prevent the scattering of surface plasmons (SPs) from a silver microdisk. This method allows devices that efficiently perform filter/coupler functions to be developed. The resonant conditions and the effective refractive index of bounded SP modes on the microdisk are determined herein. The waveguide-to-microdisk distance barely influences the resonant wavelength but it is inversely related to the bandwidth. These findings are consistent with predictions made using the typical ring resonator model.

  14. High thermal conductivity lossy dielectric using co-densified multilayer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-06-17

    Systems and methods are described for loss dielectrics. A method of manufacturing a lossy dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer and then densifying together. The systems and methods provide advantages because the lossy dielectrics are less costly and more environmentally friendly than the available alternatives.

  15. Nanostructure multilayer dielectric materials for capacitors and insulators

    Science.gov (United States)

    Barbee, Jr., Troy W.; Johnson, Gary W.

    1998-04-21

    A capacitor is formed of at least two metal conductors having a multilayer dielectric and opposite dielectric-conductor interface layers in between. The multilayer dielectric includes many alternating layers of amorphous zirconium oxide (ZrO.sub.2) and alumina (Al.sub.2 O.sub.3). The dielectric-conductor interface layers are engineered for increased voltage breakdown and extended service life. The local interfacial work function is increased to reduce charge injection and thus increase breakdown voltage. Proper material choices can prevent electrochemical reactions and diffusion between the conductor and dielectric. Physical vapor deposition is used to deposit the zirconium oxide (ZrO.sub.2) and alumina (Al.sub.2 O.sub.3) in alternating layers to form a nano-laminate.

  16. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    Science.gov (United States)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  17. Electrical conductivity and dielectric properties of TlInS2 single crystals

    Science.gov (United States)

    El-Nahass, M. M.; Youssef, S. B.; Ali, H. A. M.; Hassan, A.

    2011-07-01

    TlInS2 single crystals were grown by using Bridgman-Stockbauer technique. Measurements of DC conductivity were carried out in parallel (σ//) and perpendicular (σ⊥) directions to the c-axis over a temperature range from 303 to 463 K. The anisotropic behaviour of the electrical conductivity was also detected. AC conductivity and dielectric measurements were studied as a function of both frequency (102-106 Hz) and temperature (297-375 K). The frequency dependence of the AC conductivity revealed that σac(ω) obeys the universal law: σac(ω) = Aωs. The mechanism of the ac charge transport across the layers of TlInS2 single crystals was referred to the hopping over localized states near the Fermi level in the frequency range >3.5 × 103 Hz. The temperature dependence of σac(ω) for TlInS2 showed that σac is thermally activated process. Both of ɛ1 and ɛ2 decrease by increasing frequency and increase by increasing temperature. Some parameters were calculated as: the density of localized states near the Fermi level NF = 1.5 × 1020 eV-1 cm-3, the average time of charge carrier hoping between localized states τ = 3.79 μs and the average hopping distance R = 6.07 nm.

  18. Polymer/metal oxide hybrid dielectrics for low voltage field-effect transistors with solution-processed, high-mobility semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Held, Martin; Schießl, Stefan P.; Gannott, Florentina [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany); Miehler, Dominik [Department of Materials Science and Engineering, Friedrich-Alexander-Universität Erlangen-Nürnberg, Erlangen D-91058 (Germany); Zaumseil, Jana, E-mail: zaumseil@uni-heidelberg.de [Institute for Physical Chemistry, Universität Heidelberg, Heidelberg D-69120 (Germany)

    2015-08-24

    Transistors for future flexible organic light-emitting diode (OLED) display backplanes should operate at low voltages and be able to sustain high currents over long times without degradation. Hence, high capacitance dielectrics with low surface trap densities are required that are compatible with solution-processable high-mobility semiconductors. Here, we combine poly(methyl methacrylate) (PMMA) and atomic layer deposition hafnium oxide (HfO{sub x}) into a bilayer hybrid dielectric for field-effect transistors with a donor-acceptor polymer (DPPT-TT) or single-walled carbon nanotubes (SWNTs) as the semiconductor and demonstrate substantially improved device performances for both. The ultra-thin PMMA layer ensures a low density of trap states at the semiconductor-dielectric interface while the metal oxide layer provides high capacitance, low gate leakage and superior barrier properties. Transistors with these thin (≤70 nm), high capacitance (100–300 nF/cm{sup 2}) hybrid dielectrics enable low operating voltages (<5 V), balanced charge carrier mobilities and low threshold voltages. Moreover, the hybrid layers substantially improve the bias stress stability of the transistors compared to those with pure PMMA and HfO{sub x} dielectrics.

  19. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  20. Sub-Micrometer Zeolite Films on Gold-Coated Silicon Wafers with Single-Crystal-Like Dielectric Constant and Elastic Modulus

    Energy Technology Data Exchange (ETDEWEB)

    Tiriolo, Raffaele [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Rangnekar, Neel [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Zhang, Han [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Shete, Meera [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Bai, Peng [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Nelson, John [Characterization Facility, University of Minnesota, 12 Shepherd Labs, 100 Union St. S.E. Minneapolis MN 55455 USA; Karapetrova, Evguenia [Surface Scattering and Microdiffraction, X-ray Science Division, Argonne National Laboratory, 9700 S. Cass Ave, Building 438-D002 Argonne IL 60439 USA; Macosko, Christopher W. [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Siepmann, Joern Ilja [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Lamanna, Ernesto [Department of Health Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Lavano, Angelo [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Tsapatsis, Michael [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA

    2017-05-08

    A low-temperature synthesis coupled with mild activation produces zeolite films exhibiting low dielectric constant (low-k) matching the theoretically predicted and experimentally measured values for single crystals. This synthesis and activation method allows for the fabrication of a device consisting of a b-oriented film of the pure-silica zeolite MFI (silicalite-1) supported on a gold-coated silicon wafer. The zeolite seeds are assembled by a manual assembly process and subjected to optimized secondary growth conditions that do not cause corrosion of the gold underlayer, while strongly promoting in-plane growth. The traditional calcination process is replaced with a non-thermal photochemical activation to ensure preservation of an intact gold layer. The dielectric constant (k), obtained through measurement of electrical capacitance in a metal-insulator-metal configuration, highlights the ultralow k approximate to 1.7 of the synthetized films, which is among the lowest values reported for an MFI film. There is large improvement in elastic modulus of the film (E approximate to 54 GPa) over previous reports, potentially allowing for integration into silicon wafer processing technology.

  1. Effect of amaranth on dielectric, thermal and optical properties of KDP single crystal

    Energy Technology Data Exchange (ETDEWEB)

    Chandran, Senthilkumar; Paulraj, Rajesh, E-mail: rajeshp@ssn.edu.in; Ramasamy, P.

    2017-01-15

    Bulk single crystals of pure and amaranth doped KDP were grown using point seed technique. Effect of amaranth doping on KDP crystals was analyzed using powder XRD, thermal analysis (TG/DTA), dielectric, photoconductivity and etching studies. The phase purity and crystallinity of pure and dye doped crystals were confirmed by powder X-ray diffraction analysis. It is observed from TG-DTA analysis that the decomposition point decreased while doping with amaranth. Dielectric constant and loss increases with increasing temperatures. The photoconductivity decreases with the increase of amaranth concentration. - Highlights: • Pure and amaranth doped KDP crystals grown from point seed technique. • The addition of amaranth changes the decomposition points of dye doped KDP crystals. • Dielectric constant is increased. • It shows positive photoconductivity.

  2. Enhanced transmission through arrays of subwavelength holes in gold films coated by a finite dielectric layer

    DEFF Research Database (Denmark)

    Xiao, Sanshui; Mortensen, Niels Asger; Qiu, M.

    2007-01-01

    resonances attributing to the enhanced transmission: the localized waveguide resonance and periodic surface plasmon resonances. For the film coated with dielectric layers, calculated results show that in the wavelength region of interest the localized waveguide resonant mode attributes to sensing rather than...

  3. Optimal Super Dielectric Material

    Science.gov (United States)

    2015-09-01

    plate capacitor will reduce the net field to an unprecedented extent. This family of materials can form materials with dielectric values orders of... Capacitor -Increase Area (A)............8 b. Multi-layer Ceramic Capacitor -Decrease Thickness (d) .......10 c. Super Dielectric Material-Increase...circuit modeling, from [44], and B) SDM capacitor charge and discharge ...................................................22 Figure 15. Dielectric

  4. Experience with single-layer rectal anastomosis.

    OpenAIRE

    Khubchandani, M; Upson, J

    1981-01-01

    Anastomotic dehiscence following resection of the large intestine is a serious complication. Satisfactory results of single-layer anastomosis depend upon meticulous technique and a scrupulously clean colon. Out of 65 single-layer anastomoses involving the rectum, significant leakage occurred in 4 patients. The results are reported in order to draw attention to the safety and efficacy of one-layer anastomosis.

  5. On the possibility of superluminal energy propagation in a hyperbolic metamaterial of metal-dielectric layers

    Directory of Open Access Journals (Sweden)

    Pi-Gang Luan

    2018-01-01

    Full Text Available The energy propagation of electromagnetic fields in the effective medium of a one-dimensional photonic crystal consisting of dielectric and metallic layers is investigated. We show that the medium behaves like Drude and Lorentz medium, respectively, when the electric field is parallel and perpendicular to the layers. For arbitrary time-varying electromagnetic fields in this medium, the energy density formula is derived. We prove rigorously that the group velocity of any propagating mode obeying the hyperbolic dispersion must be slower than the speed of light in vacuum, taking into account the frequency dependence of the permittivity tensor. That is, it is not possible to have superluminal propagation in this dispersive hyperbolic medium consisting of real dielectric and metallic material layers. The propagation velocity of a wave packet is also studied numerically. This packet velocity is very close to the velocity of the propagating mode having the central frequency and central wave vector of the wave packet. When the frequency spread of the wave packet is not narrow enough, small discrepancy between these two velocities manifests, which is caused by the non-penetration effect of the evanescent modes. This work reveals that no superluminal phenomenon can happen in a dispersive anisotropic metamaterial medium made of real materials.

  6. Electromagnetic Pulse Generated by a Horizontal Electric Dipole over a Perfect Conductor Covered with a Dielectric Layer

    Directory of Open Access Journals (Sweden)

    Zheng Juan

    2018-01-01

    Full Text Available In this paper, the electromagnetic pulse due to a delta-function current excitation has been derived on the planar surface of a perfect conductor coated by a dielectric layer. The approximate expression of wave component is obtained when both the transmitting source and the receiving antennas are located on the surface of the dielectric. When the thickness of the intermediate layer is subjected to the condition of k1l<<0.6, this physical model is applied to the microstrip circuit. Analysis and computations of the wave components are carried out on the microstrip circuit, including the surface trapped wave vector which had been ignored in former studies. It is shown that the trapped-surface-wave terms should have been taken into consideration as the main contribution in total transient field in the far-field radiations.

  7. Artificial dispersion via high-order homogenization: magnetoelectric coupling and magnetism from dielectric layers

    Science.gov (United States)

    Liu, Yan; Guenneau, Sébastien; Gralak, Boris

    2013-01-01

    We investigate a high-order homogenization (HOH) algorithm for periodic multi-layered stacks. The mathematical tool of choice is a transfer matrix method. Expressions for effective permeability, permittivity and magnetoelectric coupling are explored by frequency power expansions. On the physical side, this HOH uncovers a magnetoelectric coupling effect (odd-order approximation) and artificial magnetism (even-order approximation) in moderate contrast photonic crystals. Comparing the effective parameters' expressions of a stack with three layers against that of a stack with two layers, we note that the magnetoelectric coupling effect vanishes while the artificial magnetism can still be achieved in a centre-symmetric periodic structure. Furthermore, we numerically check the effective parameters through the dispersion law and transmission property of a stack with two dielectric layers against that of an effective bianisotropic medium: they are in good agreement throughout the low-frequency (acoustic) band until the first stop band, where the analyticity of the logarithm function of the transfer matrix () breaks down. PMID:24101891

  8. Heat transfer enhancement induced by electrically generated convection in a plane layer of dielectric liquid

    International Nuclear Information System (INIS)

    Traoré, P; Wu, J; Romat, H; Louste, C; Perez, A; Koulova, D

    2012-01-01

    The electro-thermo-convective motion in a plane horizontal dielectric liquid layer subjected to simultaneous action of electric field and thermal gradient is numerically investigated. We consider the case of a strong unipolar charge injection C = 10 from above or below. Therefore in this context, we only take into account the Coulomb force, disregarding the dielectric one. The effect of the electric field on the heat transfer is analyzed through the characterization of the time history of the Nusselt number as well as its evolution according to the characteristic dimensionless electric parameter T. It is demonstrated that the electric effects dominate the buoyancy ones resulting in an electrically induced convection which significantly enhance the heat transfer.

  9. Automatic settlement analysis of single-layer armour layers

    NARCIS (Netherlands)

    Hofland, B.; van gent, Marcel

    2016-01-01

    A method to quantify, analyse, and present the settlement of single-layer concrete armour layers of coastal structures is presented. The use of the image processing technique for settlement analysis is discussed based on various modelling
    studies performed over the years. The accuracy of the

  10. Dielectric behaviour of strontium tartrate single crystals

    Indian Academy of Sciences (India)

    Unknown

    dielectric loss (tan δ) as functions of frequency and temperature. Ion core type ... Since the data on dielectric properties of strontium tartrate trihydrate (STT) do not ... through 'AE' make 15-amp dimmerstat, the rate of heating was maintained ...

  11. Single Layered Versus Double Layered Intestinal Anastomosis: A Randomized Controlled Trial

    Science.gov (United States)

    Mohapatra, Vandana; Singh, Surendra; Rath, Pratap Kumar; Behera, Tapas Ranjan

    2017-01-01

    Introduction Gastrointestinal anastomosis is one of the most common procedures being performed in oesophagogastric, hepatobiliary, bariatric, small bowel and colorectal surgery; however, the safety and efficacy of single layer or double layer anastomotic technique is still unclear. Aim To assess and compare the efficacy, safety and cost effectiveness of single layered versus double layered intestinal anastomosis. Materials and Methods This prospective, double-blind, randomized controlled comparative study comprised of patients who underwent intestinal resection and anastomosis. They were randomly assigned to undergo either single layered extra-mucosal anastomosis (Group-A) or double layered intestinal anastomosis (Group-B). Primary outcome measures included average time taken for anastomosis, postoperative complications, mean duration of hospital stay and cost of suture material used; secondary outcome measures assessed the postoperative return of bowel function. Statistical analysis was done by Chi-square test and student t-test. Results A total of 97 participants were randomized. Fifty patients were allocated to single layered extramucosal continuous anastomosis (Group-A) and 47 patients to double layered anastomosis (Group-B). The patients in each group were well matched for age, sex and diagnosis. The mean time taken for anastomosis (15.12±2.27 minutes in Group-A versus 24.38±2.26 minutes in Group-B) and the length of hospital stay (5.90±1.43 days in Group-A versus 7.29±1.89 days in Group-B) was significantly shorter in Group-A {p-value anastomosis. However, there was no significant difference in the complication rates between the two groups. Conclusion It can be concluded that single layered extramucosal continuous intestinal anastomosis is equally safe and perhaps more cost effective than the conventional double layered method and may represent the optimal choice for routine surgical practice. PMID:28764239

  12. Single-layer and double-layer microwave absorbers based on Co{sub 67}Ni{sub 33} microspheres and Ni{sub 0.6}Zn{sub 0.4}Fe{sub 2}O{sub 4} nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Min [Engineering Technology Research Center of Magnetic Materials of Anhui Province, School of Physics & Materials Science, Anhui University, Hefei 230601 (China); Wang, Zhongzhu, E-mail: wangzz@ahu.edu.cn [Engineering Technology Research Center of Magnetic Materials of Anhui Province, School of Physics & Materials Science, Anhui University, Hefei 230601 (China); Wang, Peihong; Liao, Yanlin [Engineering Technology Research Center of Magnetic Materials of Anhui Province, School of Physics & Materials Science, Anhui University, Hefei 230601 (China); Bi, Hong [School of Chemistry and Chemical Engineering, Anhui University, Hefei 230601 (China)

    2017-03-01

    Co{sub 67}Ni{sub 33} microspheres and Ni{sub 0.6}Zn{sub 0.4}Fe{sub 2}O{sub 4} nanocrystals were synthesized by hydrothermal method. The complex permeability and complex permittivity of the as-prepared powders dispersing in wax (60 wt% powder) were measured using a vector network analyzer in 2–18 GHz frequency range. The calculated microwave absorption of single-layer and double-layer absorbers based on Co{sub 67}Ni{sub 33} microspheres and Ni{sub 0.6}Zn{sub 0.4}Fe{sub 2}O{sub 4} nanocrystals were analyzed in 2–18 GHz frequency range. The results show that the Ni{sub 0.6}Zn{sub 0.4}Fe{sub 2}O{sub 4}nanocrystals with the relatively low permittivity and Co{sub 67}Ni{sub 33} microspheres with the relatively high dielectric loss and magnetic loss can be used as proper matching layer and excellent absorption layer, respectively. The double-layer absorber with a coating thickness of 2.1 mm exhibits a maximum reflection loss of −43.8 dB as well as a bandwidth (reflection loss less than −10 dB) of 5 GHz. Moreover, their absorption peak and the absorption intensity can be adjusted easily through changing the stacking order and each layer thickness. - Highlights: • Ni-Zn ferrite nanocrystals can use as matching layer in double-layer absorbers. • Co{sub 67}Ni{sub 33} microspheres with high dielectric loss can use as absorption layer. • Double-layer absorbers exhibits an excellent microwave absorption in 2–18 GHz.

  13. Exploring single-layered SnSe honeycomb polymorphs for optoelectronic and photovoltaic applications

    Science.gov (United States)

    Ul Haq, Bakhtiar; AlFaify, S.; Ahmed, R.; Butt, Faheem K.; Laref, A.; Shkir, Mohd.

    2018-02-01

    Single-layered tin selenide that shares the same structure with phosphorene and possesses intriguing optoelectronic properties has received great interest as a two-dimensional material beyond graphene and phosphorene. Herein, we explore the optoelectronic response of the newly discovered stable honeycomb derivatives (such as α , β , γ , δ , and ɛ ) of single-layered SnSe in the framework of density functional theory. The α , β , γ , and δ derivatives of a SnSe monolayer have been found to exhibit an indirect band gap, however, the dispersion of their band-gap edges demonstrates multiple direct band gaps at a relatively high energy. The ɛ -SnSe, however, features an intrinsic direct band gap at the high-symmetry Γ point. Their energy band gaps (0.53, 2.32, 1.52, 1.56, and 1.76 eV for α -, β -, γ -, δ -, and ɛ -SnSe, respectively), calculated at the level of the Tran-Blaha modified Becke-Johnson approach, mostly fall right in the visible range of the electromagnetic spectrum and are in good agreement with the available literature. The optical spectra of these two-dimensional (2D) SnSe polymorphs (besides β -SnSe) are highly anisotropic and possess strictly different optical band gaps along independent diagonal components. They show high absorption in the visible and UV ranges. Similarly, the reflectivity, refraction, and optical conductivities inherit strong anisotropy from the dielectric functions as well and are highly visible-UV polarized along the cartesian coordinates, showing them to be suitable for optical filters, polarizers, and shields against UV radiation. Our investigations suggest these single-layered SnSe allotropes as a promising 2D material for next-generation nanoscale optoelectronic and photovoltaic applications beyond graphene and phosphorene.

  14. Compensation of propagation loss of surface plasmon polaritons with a finite-thickness dielectric gain layer

    International Nuclear Information System (INIS)

    Zhang, Xin; Liu, Haitao; Zhong, Ying

    2012-01-01

    We theoretically study the compensation of propagation loss of surface plasmon polaritons (SPPs) with the use of a finite-thickness dielectric layer with optical gain. The impacts of the gain coefficient, the gain-layer thickness and the wavelength on the loss compensation and the field distribution of the SPP mode are systematically explored with a fully vectorial method. Abnormal behaviors for the loss compensation as the gain-layer thickness increases are found and explained. Critical values of the gain coefficient and of the corresponding gain-layer thickness for just compensating the propagation loss are provided. Our results show that as the SPP propagation loss is fully compensated with a gain coefficient at a reasonably low level, the gain layer is still thin enough to ensure a large exterior SPP field at the gain-layer/air interface, which is important for achieving a strong light–matter interaction for applications such as bio-chemical sensing. (paper)

  15. Two-dimensional optical simulation on a visible ray passing through inter-metal dielectric layers of CMOS image sensor device

    International Nuclear Information System (INIS)

    Lee, Wan-Gyu; Kim, Jun-Seok; Kim, Hee-Jeen; Kim, Sang-Young; Hwang, Sung-Bo; Lee, Jeong-Gun

    2005-01-01

    Two-dimensional optical simulation has been performed for investigating light propagation through a micro lens and inter-metal dielectric (IMD) layers in an Al and Cu back-end of line (BEOL) onto a Si photodiode, and its effects on the wave power, as well as optical carriers generated by a visible ray in the silicon substrate area, i.e. photodiode of a CMOS image sensor pixel. The number of optically generated carriers in an Al-BEOL has been compared to a Cu-BEOL. It is shown that more optical carriers are generated in the Cu-BEOL for the red color because a higher permittivity dielectric material like SiC is used in the Cu-BEOL to prevent Cu from diffusing into the dielectric material, resulting in higher optical loss in the higher- permittivity dielectric layers. Thus, the optical power density arriving in the silicon substrate is higher in the Al-BEOL than in the Cu-BEOL when the wavelength is blue (470 nm) or green (550 nm) in the visible ray spectrum. In conclusion, the structure of a Cu-BEOL in a CMOS image sensor has to be optimized for generating more optical carriers through lower-permittivity IMD materials or by reducing the permittivity difference between SiC (or SiN) and IMD materials, without deteriorating the capability as a barrier to Cu diffusion.

  16. A Study of Dip-Coatable, High-Capacitance Ion Gel Dielectrics for 3D EWOD Device Fabrication

    Directory of Open Access Journals (Sweden)

    Carlos E. Clement

    2017-01-01

    Full Text Available We present a dip-coatable, high-capacitance ion gel dielectric for scalable fabrication of three-dimensional (3D electrowetting-on-dielectric (EWOD devices such as an n × n liquid prism array. Due to the formation of a nanometer-thick electric double layer (EDL capacitor, an ion gel dielectric offers two to three orders higher specific capacitance (c ≈ 10 μF/cm2 than that of conventional dielectrics such as SiO2. However, the previous spin-coating method used for gel layer deposition poses several issues for 3D EWOD device fabrication, particularly when assembling multiple modules. Not only does the spin-coating process require multiple repetitions per module, but the ion gel layer also comes in risks of damage or contamination due to handling errors caused during assembly. In addition, it was observed that the chemical formulation previously used for the spin-coating method causes the surface defects on the dip-coated gel layers and thus leads to poor EWOD performance. In this paper, we alternatively propose a dip-coating method with modified gel solutions to obtain defect-free, functional ion gel layers without the issues arising from the spin-coating method for 3D device fabrication. A dip-coating approach offers a single-step coating solution with the benefits of simplicity, scalability, and high throughput for deposition of high-capacitance gel layers on non-planar EWOD devices. An ion gel solution was prepared by combining the [EMIM][TFSI] ionic liquid and the [P(VDF-HFP] copolymer at various wt % ratios in acetone solvent. Experimental studies were conducted to fully understand the effects of chemical composition ratios in the gel solution and how varying thicknesses of ion gel and Teflon layers affects EWOD performance. The effectiveness and potentiality of dip-coatable gel layers for 3D EWOD devices have been demonstrated through fabricating 5 × 1 arrayed liquid prisms using a single-step dip-coating method. Each prism module has

  17. Processes for multi-layer devices utilizing layer transfer

    Science.gov (United States)

    Nielson, Gregory N; Sanchez, Carlos Anthony; Tauke-Pedretti, Anna; Kim, Bongsang; Cederberg, Jeffrey; Okandan, Murat; Cruz-Campa, Jose Luis; Resnick, Paul J

    2015-02-03

    A method includes forming a release layer over a donor substrate. A plurality of devices made of a first semiconductor material are formed over the release layer. A first dielectric layer is formed over the plurality of devices such that all exposed surfaces of the plurality of devices are covered by the first dielectric layer. The plurality of devices are chemically attached to a receiving device made of a second semiconductor material different than the first semiconductor material, the receiving device having a receiving substrate attached to a surface of the receiving device opposite the plurality of devices. The release layer is etched to release the donor substrate from the plurality of devices. A second dielectric layer is applied over the plurality of devices and the receiving device to mechanically attach the plurality of devices to the receiving device.

  18. Insight into the electrical properties and chain conformation of spherical polyelectrolyte brushes by dielectric spectroscopy

    Science.gov (United States)

    Guo, Xiaoxia; Zhao, Kongshuang

    2017-02-01

    We report here a dielectric study on three kinds of anionic spherical polyelectrolyte brush (SPBs, consisting of a polystyrene (PS) core and three different poly (acrylic acid) chains grafted onto the core) suspensions over a frequency ranging from 40 Hz to 110 MHz. The relaxation behavior of the SPB suspensions shows significant changes in the brush-layer properties when the mass fraction of SPBs and the pH of the suspensions change. Two definite relaxations related to the interfacial polarization are observed around 100 kHz and 10 MHz. A single-layer spherical-shell model is applied to describe the SPB suspensions wherein the suspended SPB is modeled as a spherical-shell composite particle in which an insulated PS sphere is surrounded by a conducting ion-permeable shell (the polyelectrolyte chain layer). We developed the curve-fitting procedure to analyze the dielectric spectrum in order to obtain the dielectric properties of the components of the SPBs, especially the properties of the polyelectrolyte brush. Based on this method and model, the permittivity and conductivity of the brush layer, ζ potential, etc are calculated. The ordered orientation of the water molecules in the layer leads to an additional electrical dipole moment; increasing pH causes the brush layer to swell. In addition, the repulsive force between the SPB particles are evaluated using the brush-layer thickness, which is obtained by fitting dielectric spectra, combined with relative theoretical formulas. Increasing PH values or SPB concentration would improve the stability of the SPBs dispersion.

  19. The impact of porosity on the formation of manganese based copper diffusion barrier layers on low-κ dielectric materials

    International Nuclear Information System (INIS)

    McCoy, A P; Bogan, J; Walsh, L; Byrne, C; O’Connor, R; Hughes, G; Woicik, J C

    2015-01-01

    This work investigates the impact of porosity in low-κ dielectric materials on the chemical and structural properties of deposited Mn thin films for copper diffusion barrier layer applications. X-ray photoelectron spectrscopy (XPS) results highlight the difficulty in distinguishing between the various Mn oxidation states which form at the interlayer dielectric (ILD)/Mn interface. The presence of MnSiO 3 and MnO were identified using x-ray absorption spectroscopy (XAS) measurements on both porous and non-porous dielectric materials with evidence of Mn 2 O 3 and Mn 3 O 4 in the deposited film on the latter surface. It is shown that a higher proportion of deposited Mn converts to Mn silicate on an ILD film which has 50% porosity compared with the same dielectric material with no porosity, which is attributed to an enhanced chemical interaction with the effective larger surface area of porous dielectric materials. Transmission electron microscopy (TEM) and energy-dispersive x-ray spectroscopy (EDX) data shows that the Mn overlayer remains predominately surface localised on both porous and non-porous materials. (paper)

  20. A small biomimetic quadruped robot driven by multistacked dielectric elastomer actuators

    Science.gov (United States)

    Nguyen, Canh Toan; Phung, Hoa; Dat Nguyen, Tien; Lee, Choonghan; Kim, Uikyum; Lee, Donghyouk; Moon, Hyungpil; Koo, Jachoon; Nam, Jae-do; Ryeol Choi, Hyouk

    2014-06-01

    A kind of dielectric elastomer (DE) material, called ‘synthetic elastomer’, has been developed based on acrylonitrile butadiene rubber (NBR) to be used as a dielectric elastomer actuator (DEA). By stacking single layers of synthetic elastomer, a linear actuator, called a multistacked actuator, is produced, and used by mechatronic and robotic systems to generate linear motion. In this paper, we demonstrate the application of the multistacked dielectric elastomer actuator in a biomimetic legged robot. A miniature robot driven by a biomimetic actuation system with four 2-DOF (two-degree-of-freedom) legged mechanisms is realized. Based on the experimental results, we evaluate the performance of the proposed robot and validate the feasibility of the multistacked actuator in a locomotion system as a replacement for conventional actuators.

  1. A small biomimetic quadruped robot driven by multistacked dielectric elastomer actuators

    International Nuclear Information System (INIS)

    Nguyen, Canh Toan; Phung, Hoa; Nguyen, Tien Dat; Lee, Choonghan; Kim, Uikyum; Lee, Donghyouk; Moon, Hyungpil; Koo, Jachoon; Choi, Hyouk Ryeol; Nam, Jae-do

    2014-01-01

    A kind of dielectric elastomer (DE) material, called ‘synthetic elastomer’, has been developed based on acrylonitrile butadiene rubber (NBR) to be used as a dielectric elastomer actuator (DEA). By stacking single layers of synthetic elastomer, a linear actuator, called a multistacked actuator, is produced, and used by mechatronic and robotic systems to generate linear motion. In this paper, we demonstrate the application of the multistacked dielectric elastomer actuator in a biomimetic legged robot. A miniature robot driven by a biomimetic actuation system with four 2-DOF (two-degree-of-freedom) legged mechanisms is realized. Based on the experimental results, we evaluate the performance of the proposed robot and validate the feasibility of the multistacked actuator in a locomotion system as a replacement for conventional actuators. (paper)

  2. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.; Wang, H.; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2012-01-01

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  3. Experimental and theoretical investigation of the effect of SiO2 content in gate dielectrics on work function shift induced by nanoscale capping layers

    KAUST Repository

    Caraveo-Frescas, J. A.

    2012-09-10

    The impact of SiO2 content in ultrathin gate dielectrics on the magnitude of the effective work function (EWF) shift induced by nanoscale capping layers has been investigated experimentally and theoretically. The magnitude of the effective work function shift for four different capping layers (AlN, Al2O3, La2O3, and Gd2O3) is measured as a function of SiO2 content in the gate dielectric. A nearly linear increase of this shift with SiO2 content is observed for all capping layers. The origin of this dependence is explained using density functional theory simulations.

  4. Al2O3 dielectric layers on H-terminated diamond: Controlling surface conductivity

    Science.gov (United States)

    Yang, Yu; Koeck, Franz A.; Dutta, Maitreya; Wang, Xingye; Chowdhury, Srabanti; Nemanich, Robert J.

    2017-10-01

    This study investigates how the surface conductivity of H-terminated diamond can be preserved and stabilized by using a dielectric layer with an in situ post-deposition treatment. Thin layers of Al2O3 were grown by plasma enhanced atomic layer deposition (PEALD) on H-terminated undoped diamond (100) surfaces. The changes of the hole accumulation layer were monitored by correlating the binding energy of the diamond C 1s core level with electrical measurements. The initial PEALD of 1 nm Al2O3 resulted in an increase of the C 1s core level binding energy consistent with a reduction of the surface hole accumulation and a reduction of the surface conductivity. A hydrogen plasma step restored the C 1s binding energy to the value of the conductive surface, and the resistance of the diamond surface was found to be within the range for surface transfer doping. Further, the PEALD growth did not appear to degrade the surface conductive layer according to the position of the C 1s core level and electrical measurements. This work provides insight into the approaches to establish and control the two-dimensional hole-accumulation layer of the H-terminated diamond and improve the stability and performance of H-terminated diamond electronic devices.

  5. Significance of the double-layer capacitor effect in polar rubbery dielectrics and exceptionally stable low-voltage high transconductance organic transistors.

    Science.gov (United States)

    Wang, Chao; Lee, Wen-Ya; Kong, Desheng; Pfattner, Raphael; Schweicher, Guillaume; Nakajima, Reina; Lu, Chien; Mei, Jianguo; Lee, Tae Hoon; Wu, Hung-Chin; Lopez, Jeffery; Diao, Ying; Gu, Xiaodan; Himmelberger, Scott; Niu, Weijun; Matthews, James R; He, Mingqian; Salleo, Alberto; Nishi, Yoshio; Bao, Zhenan

    2015-12-14

    Both high gain and transconductance at low operating voltages are essential for practical applications of organic field-effect transistors (OFETs). Here, we describe the significance of the double-layer capacitance effect in polar rubbery dielectrics, even when present in a very low ion concentration and conductivity. We observed that this effect can greatly enhance the OFET transconductance when driven at low voltages. Specifically, when the polar elastomer poly(vinylidene fluoride-co-hexafluoropropylene) (e-PVDF-HFP) was used as the dielectric layer, despite a thickness of several micrometers, we obtained a transconductance per channel width 30 times higher than that measured for the same organic semiconductors fabricated on a semicrystalline PVDF-HFP with a similar thickness. After a series of detailed experimental investigations, we attribute the above observation to the double-layer capacitance effect, even though the ionic conductivity is as low as 10(-10) S/cm. Different from previously reported OFETs with double-layer capacitance effects, our devices showed unprecedented high bias-stress stability in air and even in water.

  6. Significance of the double-layer capacitor effect in polar rubbery dielectrics and exceptionally stable low-voltage high transconductance organic transistors

    Science.gov (United States)

    Wang, Chao; Lee, Wen-Ya; Kong, Desheng; Pfattner, Raphael; Schweicher, Guillaume; Nakajima, Reina; Lu, Chien; Mei, Jianguo; Lee, Tae Hoon; Wu, Hung-Chin; Lopez, Jeffery; Diao, Ying; Gu, Xiaodan; Himmelberger, Scott; Niu, Weijun; Matthews, James R.; He, Mingqian; Salleo, Alberto; Nishi, Yoshio; Bao, Zhenan

    2015-01-01

    Both high gain and transconductance at low operating voltages are essential for practical applications of organic field-effect transistors (OFETs). Here, we describe the significance of the double-layer capacitance effect in polar rubbery dielectrics, even when present in a very low ion concentration and conductivity. We observed that this effect can greatly enhance the OFET transconductance when driven at low voltages. Specifically, when the polar elastomer poly(vinylidene fluoride-co-hexafluoropropylene) (e-PVDF-HFP) was used as the dielectric layer, despite a thickness of several micrometers, we obtained a transconductance per channel width 30 times higher than that measured for the same organic semiconductors fabricated on a semicrystalline PVDF-HFP with a similar thickness. After a series of detailed experimental investigations, we attribute the above observation to the double-layer capacitance effect, even though the ionic conductivity is as low as 10–10 S/cm. Different from previously reported OFETs with double-layer capacitance effects, our devices showed unprecedented high bias-stress stability in air and even in water. PMID:26658331

  7. Surface plasmons based terahertz modulator consisting of silicon-air-metal-dielectric-metal layers

    Science.gov (United States)

    Wang, Wei; Yang, Dongxiao; Qian, Zhenhai

    2018-05-01

    An optically controlled modulator of the terahertz wave, which is composed of a metal-dielectric-metal structure etched with circular loop arrays on both the metal layers and a photoexcited silicon wafer separated by an air layer, is proposed. Simulation results based on experimentally measured complex permittivities predict that modification of complex permittivity of the silicon wafer through excitation laser leads to a significant tuning of transmission characteristics of the modulator, forming the modulation depths of 59.62% and 96.64% based on localized surface plasmon peak and propagating surface plasmon peak, respectively. The influences of the complex permittivity of the silicon wafer and the thicknesses of both the air layer and the silicon wafer are numerically studied for better understanding the modulation mechanism. This study proposes a feasible methodology to design an optically controlled terahertz modulator with large modulation depth, high speed and suitable insertion loss, which is useful for terahertz applications in the future.

  8. Electronic transport and dielectric properties of low-dimensional structures of layered transition metal dichalcogenides

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Ashok, E-mail: ashok.1777@yahoo.com; Ahluwalia, P.K., E-mail: pk_ahluwalia7@yahoo.com

    2014-02-25

    Graphical abstract: We present electronic transport and dielectric response of layered transition metal dichalcogenides nanowires and nanoribbons. Illustration 1: Conductance (G) and corresponding local density of states(LDOS) for LTMDs wires at applied bias. I–V characterstics are shown in lowermost panels. Highlights: • The studied configurations show metallic/semiconducting nature. • States around the Fermi energy are mainly contributed by the d orbitals of metal atoms. • The studied configurations show non-linear current–voltage (I–V) characteristics. • Additional plasmonic features at low energy have been observed for both wires and ribbons. • Dielectric functions for both wires and ribbons are anisotropic (isotropic) at low (high) energy range. -- Abstract: We present first principle study of the electronic transport and dielectric properties of nanowires and nanoribbons of layered transition metal dichalcogenides (LTMDs), MX{sub 2} (M = Mo, W; X = S, Se, Te). The studied configuration shows metallic/semiconducting nature and the states around the Fermi energy are mainly contributed by the d orbitals of metal atoms. Zero-bias transmission show 1G{sub 0} conductance for the ribbons of MoS{sub 2} and WS{sub 2}; 2G{sub 0} conductance for MoS{sub 2}, WS{sub 2}, WSe{sub 2} wires, and ribbons of MoTe{sub 2} and WTe{sub 2}; and 3G{sub 0} conductance for WSe{sub 2} ribbon. The studied configurations show non-linear current–voltage (I–V) characteristics. Negative differential conductance (NDC) has also been observed for the nanoribbons of the selenides and tellurides of both Mo and W. Furthermore, additional plasmonic features below 5 eV energy have been observed for both wires and ribbons as compared to the corresponding monolayers, which is found to be red-shifted on going from nanowires to nanoribbons.

  9. Effect of interfacial layers on dielectric properties in very thin SrBi2Ta2O9 capacitors

    International Nuclear Information System (INIS)

    Moon, Bum-Ki; Isobe, Chiharu; Hironaka, Katsuyuki; Hishikawa, Shinichi

    2001-01-01

    The effect of interfacial layers on the dielectric properties in very thin SrBi 2 Ta 2 O 9 (SBT) capacitors has been investigated using static measurements. Total permittivity (ε t ) decreased as the film thickness was reduced in both Pt/SBT/Pt and Ir/SBT/Pt capacitors. The contribution of the interfacial capacitance (C int ) and bulk capacitance to the total capacitance indicates that C int of the Ir/SBT/Pt structure was lower than that of the Pt/SBT/Pt structure, while the bulk permittivity (ε b ) was essentially the same. The dispersion of all capacitors followed the power law, while the Ir/SBT/Pt capacitor showed a larger dispersion of C int . These results suggest that the Pt/SBT/Pt capacitor is preferred for obtaining the high performance with less effect of the interfacial layers on the dielectric properties. [copyright] 2001 American Institute of Physics

  10. Dielectric function of semiconductor superlattice

    International Nuclear Information System (INIS)

    Qin Guoyi.

    1990-08-01

    We present a calculation of the dielectric function for semiconductor GaAs/Ga 1-x Al x As superlattice taking account of the extension of the electron envelope function and the difference of both the dielectric constant and width between GaAs and Ga 1-x Al x As layers. In the appropriate limits, our results exactly reduce to the well-known results of the quasi two-dimensional electron gas obtained by Lee and Spector and of the period array of two-dimensional electron layers obtained by Das Sarma and Quinn. By means of the dielectric function of the superlattice, the dispersion relation of the collective excitation and the screening property of semiconductor superlattice are discussed and compared with the results of the quasi two-dimensional system and with the results of the periodic array of the two-dimensional electron layers. (author). 4 refs, 3 figs

  11. Method for fabrication of crack-free ceramic dielectric films

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Beihai; Narayanan, Manoj; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan

    2017-12-05

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprises the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. The process provides a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  12. Method for fabrication of crack-free ceramic dielectric films

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan; Narayanan, Manoj

    2014-02-11

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprise the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. Also provided was a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  13. Resonant cavity light-emitting diodes based on dielectric passive cavity structures

    Science.gov (United States)

    Ledentsov, N.; Shchukin, V. A.; Kropp, J.-R.; Zschiedrich, L.; Schmidt, F.; Ledentsov, N. N.

    2017-02-01

    A novel design for high brightness planar technology light-emitting diodes (LEDs) and LED on-wafer arrays on absorbing substrates is proposed. The design integrates features of passive dielectric cavity deposited on top of an oxide- semiconductor distributed Bragg reflector (DBR), the p-n junction with a light emitting region is introduced into the top semiconductor λ/4 DBR period. A multilayer dielectric structure containing a cavity layer and dielectric DBRs is further processed by etching into a micrometer-scale pattern. An oxide-confined aperture is further amended for current and light confinement. We study the impact of the placement of the active region into the maximum or minimum of the optical field intensity and study an impact of the active region positioning on light extraction efficiency. We also study an etching profile composed of symmetric rings in the etched passive cavity over the light emitting area. The bottom semiconductor is an AlGaAs-AlAs multilayer DBR selectively oxidized with the conversion of the AlAs layers into AlOx to increase the stopband width preventing the light from entering the semiconductor substrate. The approach allows to achieve very high light extraction efficiency in a narrow vertical angle keeping the reasonable thermal and current conductivity properties. As an example, a micro-LED structure has been modeled with AlGaAs-AlAs or AlGaAs-AlOx DBRs and an active region based on InGaAlP quantum well(s) emitting in the orange spectral range at 610 nm. A passive dielectric SiO2 cavity is confined by dielectric Ta2O5/SiO2 and AlGaAs-AlOx DBRs. Cylindrically-symmetric structures with multiple ring patterns are modeled. It is demonstrated that the extraction coefficient of light to the air can be increased from 1.3% up to above 90% in a narrow vertical angle (full width at half maximum (FWHM) below 20°). For very small oxide-confined apertures 100nm the narrowing of the FWHM for light extraction can be reduced down to 5

  14. Light transmission coefficients by subwavelength aluminum gratings with dielectric layers

    Energy Technology Data Exchange (ETDEWEB)

    Blinov, L. M., E-mail: lev39blinov@gmail.com; Lazarev, V. V.; Yudin, S. G.; Artemov, V. V.; Palto, S. P.; Gorkunov, M. V. [Russian Academy of Sciences, Shubnikov Institute of Crystallography (Crystallography and Photonics Federal Research Center) (Russian Federation)

    2016-11-15

    Spectral positions of plasmon resonances related to boundaries between a thin aluminum layer and dielectrics (air, glass, VDF–TrFE 65/35 ferroelectric copolymer, and indium tin oxide (ITO)) have been determined in the transmission spectra of aluminum gratings of three types with 30 × 30 μm{sup 2} dimensions and 350-, 400-, and 450-nm line periods. Experimental results agree well with spectral positions of plasmon resonances calculated for the normal incidence of TM-polarized light. In addition, maximum values of transmission coefficients in the region of λ ≈ 900–950 nm have been determined for glass–Al–copolymer and glass–ITO–Al–copolymer structures. These values are close to 100%, which shows that the effective optical aperture is two times greater than the geometric areas of slits.

  15. Stronger multilayer acrylic dielectric elastomer actuators with silicone gel coatings

    Science.gov (United States)

    Lau, Gih-Keong; La, Thanh-Giang; Sheng-Wei Foong, Ervin; Shrestha, Milan

    2016-12-01

    Multilayer dielectric elastomer actuators (DEA) perform worst off than single-layer DEAs due to higher susceptibility to electro-thermal breakdown. This paper presents a hot-spot model to predict the electro-thermal breakdown field of DEAs and its dependence on thermal insulation. To inhibit the electrothermal breakdown, silicone gel coating was applied as barrier coating to multilayer acrylic DEA. The gel coating helps suppress the electro-thermally induced puncturing of DEA membrane at the hot spot. As a result, the gel-coated DEAs, in either a single layer or a multilayer stack, can produce 30% more isometric stress change as compared to those none-coated. These gel-coated acrylic DEAs show great potential to make stronger artificial muscles.

  16. Optical properties of single-layer, double-layer, and bulk MoS2

    Energy Technology Data Exchange (ETDEWEB)

    Molina-Sanchez, Alejandro; Wirtz, Ludger [University of Luxembourg (Luxembourg); Hummer, Kerstin [University of Vienna, Vienna (Austria)

    2013-07-01

    The rise of graphene has brought attention also to other layered materials that can complement graphene or that can be an alternative in applications as transistors. Single-layer MoS{sub 2} has shown interesting electronic and optical properties such as as high electron mobility at room temperature and an optical bandgap of 1.8 eV. This makes the material suitable for transistors or optoelectronic devices. We present a theoretical study of the optical absorption and photoluminescence spectra of single-layer, double-layer and bulk MoS{sub 2}. The excitonic states have been calculated in the framework of the Bethe-Salpeter equation, taking into account the electron-hole interaction via the screened Coulomb potential. In addition to the step-function like behaviour that is typical for the joint-density of states of 2D materials with parabolic band dispersion, we find a bound excitonic peak that is dominating the luminescence spectra. The peak is split due to spin-orbit coupling for the single-layer and split due to layer-layer interaction for few-layer and bulk MoS{sub 2}. We discuss the changes of the optical bandgap and of the exciton binding energy with the number of layers, comparing our results with the reported experimental data.

  17. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  18. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  19. Photoacoustic and dielectric spectroscopic studies of 4-dimethylamino-n-methyl-4-stilbazolium tosylate single crystal: An efficient terahertz emitter

    Science.gov (United States)

    Manivannan, M.; Martin Britto Dhas, S. A.; Jose, M.

    2016-12-01

    Bulk terahertz emitting single crystal of 4-dimethylamino-N-methyl-4-stilbazolium tosylate (DAST) was synthesized by condensation method and grown by slow solvent evaporation technique from methanol. The structure and cell parameters of the grown crystals were derived from single crystal and powder X-ray diffraction analyses and the optical properties of the crystal were analyzed by UV-Vis Spectrophotometer. The presence of functional groups was identified by FTIR and FT-Raman spectroscopic studies. We demonstrated that in DAST crystal, the thermal transport properties such as thermal conductivity, thermal diffusivity and thermal effusivity are better than several well recognized standard materials using photoacoustic spectrophotometer. The dielectric measurement was made as a function of frequency (1 Hz-35 MHz) at different temperatures (30-200 °C). The dielectric constant and dielectric loss were found to be strongly dependent on temperature and frequency of the applied electric field. The semicircle in the cole-cole plot showed the presence of dielectric relaxation in the crystal with its diameter representing the resistance of the crystal. The resistivity and ac conductivity were calculated from the measured dielectric data.

  20. Ultimate Scaling of High-κ Gate Dielectrics: Higher-κ or Interfacial Layer Scavenging?

    Directory of Open Access Journals (Sweden)

    Takashi Ando

    2012-03-01

    Full Text Available Current status and challenges of aggressive equivalent-oxide-thickness (EOT scaling of high-κ gate dielectrics via higher-κ ( > 20 materials and interfacial layer (IL scavenging techniques are reviewed. La-based higher-κ materials show aggressive EOT scaling (0.5–0.8 nm, but with effective workfunction (EWF values suitable only for n-type field-effect-transistor (FET. Further exploration for p-type FET-compatible higher-κ materials is needed. Meanwhile, IL scavenging is a promising approach to extend Hf-based high-κ dielectrics to future nodes. Remote IL scavenging techniques enable EOT scaling below 0.5 nm. Mobility-EOT trends in the literature suggest that short-channel performance improvement is attainable with aggressive EOT scaling via IL scavenging or La-silicate formation. However, extreme IL scaling (e.g., zero-IL is accompanied by loss of EWF control and with severe penalty in reliability. Therefore, highly precise IL thickness control in an ultra-thin IL regime ( < 0.5 nm will be the key technology to satisfy both performance and reliability requirements for future CMOS devices.

  1. Deterministic fabrication of dielectric loaded waveguides coupled to single nitrogen vacancy centers in nanodiamonds

    DEFF Research Database (Denmark)

    Siampour, Hamidreza; Kumar, Shailesh; Bozhevolnyi, Sergey I.

    We report on the fabrication of dielectric-loaded-waveguides which are excited by single-nitrogen-vacancy (NV) centers in nanodiamonds. The waveguides are deterministically written onto the pre-characterized nanodiamonds by using electron beam lithography of hydrogen silsesquioxane (HSQ) resist...... on silver-coated silicon substrate. Change in lifetime for NV-centers is observed after fabrication of waveguides and an antibunching in correlation measurement confirms that nanodiamonds contain single NV-centers....

  2. Breakwater stability with damaged single layer armour units

    OpenAIRE

    De Rover, R.; Verhagen, H.J.; Van den Berge, A.; Reedijk, B.

    2008-01-01

    The effect of single layer interlocking armour unit breakage on the hydraulic armour layer stability and potential damage progression is addressed in this paper. A 2-dimensional scale model of a rubble mound breakwater with an armour layer consisting of Xbloc armour units was tested. The residual armour layer stability with broken units was determined. The armour unit displacement and damage progression was assessed. According to the test series breakage of the single layer armour units has a...

  3. Theory of differential and integral scattering of laser radiation by a dielectric surface taking a defect layer into account

    NARCIS (Netherlands)

    Azarova, VV; Dmitriev, VG; Lokhov, YN; Malitskii, KN

    The differential and integral light scattering by dielectric surfaces is studied theoretically taking a thin nearsurface defect layer into account. The expressions for the intensities of differential and total integral scattering are found by the Green function method. Conditions are found under

  4. Fabrication of Nonvolatile Memory Effects in High-k Dielectric Thin Films Using Electron Irradiation

    International Nuclear Information System (INIS)

    Park, Chanrock; Cho, Daehee; Kim, Jeongeun; Hwang, Jinha

    2010-01-01

    Electron Irradiation can be applied towards nano-floating gate memories which are recognized as one of the next-generation nonvolatile memory semiconductors. NFGMs can overcome the preexisting limitations encountered in Dynamic Random Access Memories and Flash memories with the excellent advantages, i. e. high-density information storage, high response speed, high compactness, etc. The traditional nano-floating gate memories are fabricated through multi-layered nano structures of the dissimilar materials where the charge-trapping portions are sandwiched into the high-k dielectrics. However, this work reports the unique nonvolatile responses in single-layered high-k dielectric thin films if irradiated with highly accelerated electron beams. The implications of the electron irradiation will be discussed towards high-performance nano-floating gate memories

  5. Pentacene thin-film transistors and inverters with plasma-enhanced atomic-layer-deposited Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Koo, Jae Bon; Lim, Jung Wook; Kim, Seong Hyun; Yun, Sun Jin; Ku, Chan Hoe; Lim, Sang Chul; Lee, Jung Hun

    2007-01-01

    The performances of pentacene thin-film transistor with plasma-enhanced atomic-layer-deposited (PEALD) 150 nm thick Al 2 O 3 dielectric are reported. Saturation mobility of 0.38 cm 2 /V s, threshold voltage of 1 V, subthreshold swing of 0.6 V/decade, and on/off current ratio of about 10 8 have been obtained. Both depletion and enhancement mode inverter have been realized with the change of treatment method of hexamethyldisilazane on PEALD Al 2 O 3 gate dielectric. Full swing depletion mode inverter has been demonstrated at input voltages ranging from 5 V to - 5 V at supply voltage of - 5 V

  6. Growth and Dielectric Properties of Ta-Doped La2Ti2O7 Single Crystals

    Directory of Open Access Journals (Sweden)

    Hui Wang

    2018-02-01

    Full Text Available High-quality Ta-doped La2Ti2O7 (Ta-LTO single crystal of about 40 mm in length and 5 mm in diameter was successfully prepared by the optical floating zone method. An X-ray rocking curve reveals that the crystal of LTO has excellent crystalline quality. As-grown crystals were transparent after annealing in air and the transmittance is up to 76% in the visible and near-infrared region. X-ray diffraction showed that this compound possessed a monoclinic structure with P21 space group. The dielectric properties were investigated as functions of temperature (0~300 °C and frequency (102 Hz~105 Hz. Dielectric spectra indicated an increase in the room-temperature dielectric constant accompanied by a drop in the loss tangent as a result of the Ta doping. One relaxation was observed in the spectra of electric modulus, which was ascribed to be related to the oxygen vacancy. The dielectric relaxation with activation energy of 1.16 eV is found to be the polaron hopping caused by the oxygen vacancies.

  7. Enhanced optical-to-THz conversion efficiency of photoconductive antenna using dielectric nano-layer encapsulation

    Science.gov (United States)

    Gupta, Abhishek; Rana, Goutam; Bhattacharya, Arkabrata; Singh, Abhishek; Jain, Ravikumar; Bapat, Rudheer D.; Duttagupta, S. P.; Prabhu, S. S.

    2018-05-01

    Photoconductive antennas (PCAs) are among the most conventional devices used for emission as well as detection of terahertz (THz) radiation. However, due to their low optical-to-THz conversion efficiencies, applications of these devices in out-of-laboratory conditions are limited. In this paper, we report several factors of enhancement in THz emission efficiency from conventional PCAs by coating a nano-layer of dielectric (TiO2) on the active area between the electrodes of a semi-insulating GaAs-based device. Extensive experiments were done to show the effect of thicknesses of the TiO2 layer on the THz power enhancement with different applied optical power and bias voltages. Multiphysics simulations were performed to elucidate the underlying physics behind the enhancement of efficiency of the PCA. Additionally, this layer increases the robustness of the electrode gaps of the PCAs with high electrical insulation as well as protect it from external dust particles.

  8. Metallic dielectric photonic crystals and methods of fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Jeffrey Brian; Kim, Sang-Gook

    2016-12-20

    A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.

  9. Metallic dielectric photonic crystals and methods of fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Jeffrey Brian; Kim, Sang-Gook

    2017-12-05

    A metallic-dielectric photonic crystal is formed with a periodic structure defining a plurality of resonant cavities to selectively absorb incident radiation. A metal layer is deposited on the inner surfaces of the resonant cavities and a dielectric material fills inside the resonant cavities. This photonic crystal can be used to selectively absorb broadband solar radiation and then reemit absorbed radiation in a wavelength band that matches the absorption band of a photovoltaic cell. The photonic crystal can be fabricated by patterning a sacrificial layer with a plurality of holes, into which is deposited a supporting material. Removing the rest of the sacrificial layer creates a supporting structure, on which a layer of metal is deposited to define resonant cavities. A dielectric material then fills the cavities to form the photonic crystal.

  10. Dielectric breakdown and healing of anodic oxide films on aluminium under single pulse anodizing

    International Nuclear Information System (INIS)

    Sah, Santosh Prasad; Tatsuno, Yasuhiro; Aoki, Yoshitaka; Habazaki, Hiroki

    2011-01-01

    Research highlights: → We examined dielectric breakdown of anodic alumina by single pulse anodizing. → Current transients and morphology of discharge channels are dependent upon electrolyte and voltage. → There is a good correlation between current transient and morphology of discharge channel. → Healing of open discharge pores occurs in alkaline silicate, but not in pentaborate electrolyte. - Abstract: Single pulse anodizing of aluminium micro-electrode has been employed to study the behaviour of dielectric breakdown and subsequent oxide formation on aluminium in alkaline silicate and pentaborate electrolytes. Current transients during applying pulse voltage have been measured, and surface has been observed by scanning electron microscopy. Two types of current transients are observed, depending on the electrolyte and applied voltage. There is a good correlation between the current transient behaviour and the shape of discharge channels. In alkaline silicate electrolyte, circular open pores are healed by increasing the pulse width, but such healing is not obvious in pentaborate electrolyte.

  11. Inverse scattering of a layered and dispersionless dielectric half-space - 1. reflection data from plane waves at normal incidence

    International Nuclear Information System (INIS)

    Coen, S.

    1981-01-01

    The theory given by Moses and deRidder is modified so that the derivative of the solution of the Gelfand-Levitan integral equation is not required. Based on this modification, a numerical procedure is developed which approximately constructs the dielectric profile of the layered half-space from the impulse response. Moreover, an inverse scattering theory is developed for a Goupillaud-type dielectric medium, and a fast numerical procedure based on the Berryman and Greene algorithm is presented. The performance of the numerical algorithms is examined by applying them to pecise and imprecise artificial impulse response data. 11 refs

  12. On interaction of P-waves with one-dimensional photonic crystal consisting of weak conducting matter and transparent dielectric layers

    Science.gov (United States)

    Yushkanov, A. A.; Zverev, N. V.

    2018-03-01

    An influence of quantum and spatial dispersion properties of the non-degenerate electron plasma on the interaction of electromagnetic P-waves with one-dimensional photonic crystal consisting of conductor with low carrier electron density and transparent dielectric matter, is studied numerically. It is shown that at the frequencies of order of the plasma frequency and at small widths of the conducting and dielectric layers of the photonic crystal, optical coefficients in the quantum non-degenerate plasma approach differ from the coefficients in the classical electron gas approach. And also, at these frequencies one observes a temperature dependence of the optical coefficients.

  13. Synthesis of single-crystalline Al layers in sapphire

    International Nuclear Information System (INIS)

    Schlosser, W.; Lindner, J.K.N.; Zeitler, M.; Stritzker, B.

    1999-01-01

    Single-crystalline, buried aluminium layers were synthesized by 180 keV high-dose Al + ion implantation into sapphire at 500 deg. C. The approximately 70 nm thick Al layers exhibit in XTEM investigations locally abrupt interfaces to the single-crystalline Al 2 O 3 top layer and bulk, while thickness and depth position are subjected to variations. The layers grow by a ripening process of oriented Al precipitates, which at low doses exist at two different orientations. With increasing dose, precipitates with one out of the two orientations are observed to exist preferentially, finally leading to the formation of a single-crystalline layer. Al outdiffusion to the surface and the formation of spherical Al clusters at the surface are found to be competing processes to buried layer formation. The formation of Al layers is described by Rutherford Backscattering Spectroscopy (RBS), Cross-section transmission electron microscopy (XTEM) and Scanning electron microscopy (SEM) studies as a function of dose, temperature and substrate orientation

  14. Breakdown of coupling dielectrics for Si microstrip detectors

    International Nuclear Information System (INIS)

    Candelori, A.; Paccagnella, A.; Padova Univ.; Saglimbeni, G.

    1999-01-01

    Double-layer coupling dielectrics for AC-coupled Si microstrip detectors have been electrically characterized in order to determine their performance in a radiation-harsh environment, with a focus on the dielectric breakdown. Two different dielectric technologies have been investigated: SiO 2 /TEOS and SiO 2 /Si 3 N 4 . Dielectrics have been tested by using a negative gate voltage ramp of 0.2 MV/(cm·s). The metal/insulator/Si I-V characteristics show different behaviours depending on the technology. The extrapolated values of the breakdown field for unirradiated devices are significantly higher for SiO 2 /Si 3 N 4 dielectrics, but the data dispersion is lower for SiO 2 /TEOS devices. No significant variation of the breakdown field has been measured after a 10 Mrad (Si) γ irradiation for SiO 2 /Si 3 N 4 dielectrics. Finally, the SiO 2 /Si 3 N 4 DC conduction is enhanced if a positive gate voltage ramp is applied with respect to the negative one, due to the asymmetric conduction of the double-layer dielectric

  15. Raman study of supported molybdenum disulfide single layers

    Science.gov (United States)

    Durrer, William; Manciu, Felicia; Afanasiev, Pavel; Berhault, Gilles; Chianelli, Russell

    2008-10-01

    Owing to the increasing demand for clean transportation fuels, highly dispersed single layer transition metal sulfides such as MoS2-based catalysts play an important role in catalytic processes for upgrading and removing sulfur from heavy petroleum feed. In its crystalline bulk form, MoS2 is chemically rather inactive due to a strong tendency to form highly stacked layers, but, when dispersed as single-layer nanoclusters on a support, the MoS2 becomes catalytically active in the hydrogenolysis of sulphur and nitrogen from organic compounds (hydrotreating catalysis). In the present studies alumina-supported MoS2 samples were analyzed by confocal Raman spectroscopy. Evidence of peaks at 152 cm-1, 234 cm-1, and 336 cm-1, normally not seen in the Raman spectrum of the standard bulk crystal, confirms the formation of single layers of MoS2. Furthermore, the presence of the 383 cm-1 Raman line suggests the trigonal prismatic coordination of the formed MoS2 single layers. Depending on the sample preparation method, a restacking of MoS2 layers is also observed, mainly for ex-thiomolybdate samples sulfided at 550 C.

  16. In situ atomic layer nitridation on the top and down regions of the amorphous and crystalline high-K gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Meng-Chen [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Lee, Min-Hung [Institute of Electro-Optical Science and Technology, National Taiwan Normal University, Taipei 11677, Taiwan (China); Kuo, Chin-Lung; Lin, Hsin-Chih [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Chen, Miin-Jang, E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 10617, Taiwan (China)

    2016-11-30

    Highlights: • The structural and electrical characteristics of the ZrO{sub 2} high-K dielectrics, treated with the in situ atomic layer doping of nitrogen into the top and down regions (top and down nitridation, TN and DN, respectively), were investigated. • The amorphous DN sample has a lower leakage current density (J{sub g}) than the amorphous TN sample, attributed to the formation of SiO{sub x}N{sub y} in the interfacial layer (IL). • The crystalline TN sample exhibited a lower CET and a similar J{sub g} as compared with the crystalline DN sample, which can be ascribed to the suppression of IL regrowth. • The crystalline ZrO{sub 2} with in situ atomic layer doping of nitrogen into the top region exhibited superior scaling limit, electrical characteristics, and reliability. - Abstract: Amorphous and crystalline ZrO{sub 2} gate dielectrics treated with in situ atomic layer nitridation on the top and down regions (top and down nitridation, abbreviated as TN and DN) were investigated. In a comparison between the as-deposited amorphous DN and TN samples, the DN sample has a lower leakage current density (J{sub g}) of ∼7 × 10{sup −4} A/cm{sup 2} with a similar capacitance equivalent thickness (CET) of ∼1.53 nm, attributed to the formation of SiO{sub x}N{sub y} in the interfacial layer (IL). The post-metallization annealing (PMA) leads to the transformation of ZrO{sub 2} from the amorphous to the crystalline tetragonal/cubic phase, resulting in an increment of the dielectric constant. The PMA-treated TN sample exhibits a lower CET of 1.22 nm along with a similar J{sub g} of ∼1.4 × 10{sup −5} A/cm{sup 2} as compared with the PMA-treated DN sample, which can be ascribed to the suppression of IL regrowth. The result reveals that the nitrogen engineering in the top and down regions has a significant impact on the electrical characteristics of amorphous and crystalline ZrO{sub 2} gate dielectrics, and the nitrogen incorporation at the top of crystalline

  17. Plane-wave diffraction by periodic structures with artificial anisotropic dielectrics

    International Nuclear Information System (INIS)

    Kazerooni, Azadeh Semsar; Shahabadi, Mahmoud

    2010-01-01

    Periodic structures with artificial anisotropic dielectrics are studied. The artificial anisotropic dielectric material in this work is made of two alternating isotropic dielectric layers. By a proper choice of the dielectric constant of the layers, we can realize a uniaxial anisotropic medium with controllable anisotropy. The artificial anisotropic dielectric is then used in periodic structures. For these structures, the optical axis of the artificial dielectric is assumed to be parallel or perpendicular to the period of the structure. Diffraction of plane waves by these structures is analyzed by a fully vectorial rigorous matrix method based on a generalized transmission line (TL) formulation. The propagation constants and field distributions are computed and diffraction properties of such structures are studied to show that, by a proper choice of structural parameters, these periodic structures with artificial anisotropic dielectrics can be used as polarizers or polarizing mirrors

  18. Arsenic sulfide layers for dielectric reflection mirrors prepared from solution

    Science.gov (United States)

    Matějec, Vlastimil; Pedlikova, Jitka; BartoÅ, Ivo; Podrazký, Ondřej

    2017-12-01

    Chalcogenide materials due to high refractive indices, transparency in the mid-IR spectral region, nonlinear refractive indices, etc, have been employed as fibers and films in different photonic devices such as light amplifiers, optical regenerators, broadband radiation sources. Chalcogenide films can be prepared by physical methods as well as by solution-based techniques in which solutions of chalcogenides in amines are used. This paper presents results on the solution-based fabrication and optical characterization of single arsenic sulfide layers and multilayer stacks containing As2S3 layers together with porous silica layers coated on planar and fiber-optic substrates. Input As2S3 solutions for the layer fabrications were prepared by dissolving As2S3 powder in n-propylamine in a concentration of 0.50 mol/l. These solutions were applied on glass slides by dip-coating method and obtained layers were thermally treated in vacuum at temperatures up to 180 °C. Similar procedure was used for As2S3 layers in multilayer stacks. Such stacks were fabricated by repeating the application of one porous silica layer prepared by the sol-gel method and one As2S3 layer onto glass slides or silica fibers (a diameter of 0.3 mm) by using the dip-coating method. It has been found that the curing process of the applied layers has to be carefully controlled in order to obtain stacks with three pairs of such layers. Single arsenic and porous silica layers were characterized by optical microscopy, and by measuring their transmission spectra in a range of 200-2500 nm. Thicknesses and refractive indices were estimated from the spectra. Transmission spectra of planar multilayer stacks were measured, too. Interference bands have been determined from optical measurements on the multilayer stacks with a minimum transmittance of about 50% which indicates the possibility of using such stacks as reflecting mirrors.

  19. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  20. Atomic layer deposition of crystalline SrHfO3 directly on Ge (001) for high-k dielectric applications

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G.; Hu, Chengqing; Jiang, Aiting; Yu, Edward T.; Lu, Sirong; Smith, David J.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO 3 (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10 −5 A/cm 2 at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D it ) is estimated to be as low as ∼2 × 10 12  cm −2  eV −1 under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D it value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications

  1. Note: On the dielectric constant of nanoconfined water

    OpenAIRE

    Zhang, Chao

    2018-01-01

    Investigations of dielectric properties of water in nanoconfinement are highly relevant for various applications. Here, using a simple capacitor model, we show that the low dielectric constant of nanoconfined water found in molecular dynamics simulations can be largely explained by the so-called dielectric dead-layer effect known for ferroelectric nanocapacitors.

  2. Electrode/Dielectric Strip For High-Energy-Density Capacitor

    Science.gov (United States)

    Yen, Shiao-Ping S.

    1994-01-01

    Improved unitary electrode/dielectric strip serves as winding in high-energy-density capacitor in pulsed power supply. Offers combination of qualities essential for high energy density: high permittivity of dielectric layers, thinness, and high resistance to breakdown of dielectric at high electric fields. Capacitors with strip material not impregnated with liquid.

  3. Experimental research on the stability of armour and secondary layer in a single layered Tetrapod breakwater

    NARCIS (Netherlands)

    De Jong, W.; Verhagen, H.J.; Olthof, J.

    2004-01-01

    Physical model tests were done on an armour of Tetrapods, placed in a single layer. The objective of the investigations was to study the stability of the secondary layer, and to see if the material of this secondary layer could be washed out through the single layer of Tetrapods. It was concluded

  4. Radiation Characteristics Enhancement of Dielectric Resonator Antenna Using Solid/Discrete Dielectric Lenses

    Directory of Open Access Journals (Sweden)

    H. A. E. Malhat

    2015-02-01

    Full Text Available The radiation characteristics of the dielectric resonator antennas (DRA is enhanced using different types of solid and discrete dielectric lenses. One of these approaches is by loading the DRA with planar superstrate, spherical lens, or by discrete lens (transmitarray. The dimensions and dielectric constant of each lens are optimized to maximize the gain of the DRA. A comparison between the radiations characteristics of the DRA loaded with different lenses are introduced. The design of the dielectric transmitarray depends on optimizing the heights of the dielectric material of the unit cell. The optimized transmitarray achieves 7 dBi extra gain over the single DRA with preserving the circular polarization. The proposed antenna is suitable for various applications that need high gain and focused antenna beam.

  5. Controllable Curved Mirrors Made from Single-Layer EAP Films

    Science.gov (United States)

    Bao, Xiaoqi; Bar-Cohen, Yoseph; Sherrit, Stewart

    2004-01-01

    A document proposes that lightweight, deployable, large-aperture, controllable curved mirrors made of reflectively coated thin electroactive-polymer (EAP) films be developed for use in spaceborne microwave and optical systems. In these mirrors, the EAP films would serve as both structures and actuators. EAPs that are potentially suitable for such use include piezoelectric, electrostrictive, ferroelectric, and dielectric polymers. These materials exhibit strains proportional to the squares of applied electric fields. Utilizing this phenomenon, a curved mirror according to the proposal could be made from a flat film, upon which a nonuniform electrostatic potential (decreasing from the center toward the edge) would be imposed to obtain a required curvature. The effect would be analogous to that of an old-fashioned metalworking practice in which a flat metal sheet is made into a bowl by hammering it repeatedly, the frequency of hammer blows decreasing with distance from the center. In operation, the nonuniform electrostatic potential could be imposed by use of an electron gun. Calculations have shown that by use of a single- layer film made of a currently available EAP, it would be possible to control the focal length of a 2-m-diameter mirror from infinity to 1.25 m.

  6. From surface to volume plasmons in hyperbolic metamaterials: General existence conditions for bulk high-k waves in metal-dielectric and graphene-dielectric multilayers

    DEFF Research Database (Denmark)

    Zhukovsky, Sergei; Andryieuski, Andrei; Sipe, John E.

    2014-01-01

    -dielectric and recently introduced graphene-dielectric stacks. We confirm that short-range surface plasmons in thin metal layers can give rise to hyperbolic metamaterial properties and demonstrate that long-range surface plasmons cannot. We also show that graphene-dielectric multilayers tend to support high- k waves...

  7. Compressibility effects on the non-linear receptivity of boundary layers to dielectric barrier discharges

    Science.gov (United States)

    Denison, Marie F. C.

    The reduction of drag and aerodynamic heating caused by boundary layer transition is of central interest for the development of hypersonic vehicles. Receptivity to flow perturbation in the form of Tollmien-Schlichting (TS) wave growth often determines the first stage of the transition process, which can be delayed by depositing specific excitations into the boundary layer. Weakly ionized Dielectric Barrier Discharge (DBD) actuators are being investigated as possible sources of such excitations, but little is known today about their interaction with high-speed flows. In this framework, the first part of the thesis is dedicated to a receptivity study of laminar compressible boundary layers over a flat plate by linear stability analysis following an adjoint operator formulation, under DBD representative excitations assumed independent of flow conditions. The second part of the work concentrates on the development of a coupled plasma-Navier and Stokes solver targeted at the study of supersonic flow and compressibility effects on DBD forcing and non-parallel receptivity. The linear receptivity study of quasi-parallel compressible flows reveals several interesting features such as a significant shift of the region of maximum receptivity deeper into the flow at high Mach number and strong wave amplitude reduction compared to incompressible flows. The response to DBD relevant excitation distributions and to variations of the base flow conditions and system length scales follows these trends. Observed absolute amplitude changes and relative sensitivity modifications between source types are related to the evolution of the offset between forcing peak profile and relevant adjoint mode maximum. The analysis highlights the crucial importance of designing and placing the actuator in a way that matches its force field to the position of maximum boundary layer receptivity for the specific flow conditions of interest. In order to address the broad time and length scale spectrum

  8. Single and multiple electromagnetic scattering by dielectric obstacles from a resonance perspective

    International Nuclear Information System (INIS)

    Riley, D.J.

    1987-03-01

    A new application of the singularity expansion method (SEM) is explored. This application combines the classical theory of wave propagation through a multiple-scattering environment and the SEM. Because the SEM is generally considered to be a theory for describing surface currents on conducting scatters, extensions are made which permit, under certain conditions, a singularity expansion representation for the electromagnetic field scattered by a dielectric scatterer. Application of this expansion is then made to the multiple-scattering case using both single and multiple interactions. A resonance scattering tensor form is used for the SEM description which leds to an associated tensor form for the solution to the multiple-scattering problem with each SEM pole effect appearing explicitly. The coherent field is determined for both spatial and SEM parameter random variations. A numerical example for the case of an ensemble of dielectric spheres which possess frequency-dependent loss is also made. Accurate resonance expansions for the single-scattering problem are derived, and resonance trajectories based on the Debye relaxation model for the refractive index are introduced. Application of these resonance expansions is then made to the multiple-scattering results for a slab containing a distribution of spheres with varying radii. Conditions are discussed which describe when the hybrid theory is appropriate. 53 refs., 21 figs., 9 tabs

  9. Atomic layer deposition of crystalline SrHfO{sub 3} directly on Ge (001) for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Jiang, Aiting; Yu, Edward T. [Microelectronics Research Center, The University of Texas at Austin, Austin, Texas 78758 (United States); Lu, Sirong; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-02-07

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO{sub 3} (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10{sup −5} A/cm{sup 2} at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D{sub it}) is estimated to be as low as ∼2 × 10{sup 12 }cm{sup −2 }eV{sup −1} under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D{sub it} value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications.

  10. Toward the Physical Basis of Complex Systems: Dielectric Analysis of Porous Silicon Nanochannels in the Electrical Double Layer Length Range

    Directory of Open Access Journals (Sweden)

    Radu Mircea Ciuceanu

    2011-01-01

    Full Text Available Dielectric analysis (DEA shows changes in the properties of
    a materials as a response to the application on it of a time dependent electric field. Dielectric measurements are extremely sensitive to small changes in materials properties, that molecular relaxation, dipole changes, local motions that involve the reorientation of dipoles, and so can be observed by DEA. Electrical double layer (EDL, consists in a shielding layer that is naturally created within the liquid near a charged surface. The thickness of the EDL is given by the characteristic Debye length what grows less with the ionic strength defined by half summ products of concentration with square of charge for all solvent
    ions (co-ions, counterions, charged molecules. The typical length scale for the Debye length is on the order of 1 nm, depending on the ionic contents in the solvent; thus, the EDL becomes significant for nano-capillaries that nanochannels. The electrokinetic e®ects in the nanochannels depend essentialy on the distribution of charged species in EDL, described by the Poisson-Boltzmann equation those solutions require the solvent dielectric permittivity. In this work we propose a model for solvent low-frequency permittivity and a DEA profile taking into account both the porous silicon electrode and aqueous solvent properties in the Debye length range.

  11. Dielectric functions, chemical and atomic compositions of the near surface layers of implanted GaAs by In+ ions

    Science.gov (United States)

    Kulik, M.; Kołodyńska, D.; Bayramov, A.; Drozdziel, A.; Olejniczak, A.; Żuk, J.

    2018-06-01

    The surfaces of (100) GaAs were irradiated with In+ ions. The implanted samples were isobaric annealed at 800 °C and then of dielectric function, the surface atomic concentrations of atoms and also the chemical composition of the near surface layers in these implanted semiconductor samples were obtained. The following investigation methods were used: spectroscopic ellipsometry (SE), Rutherford backscattering spectrometry analyses (RBSA) and X-ray photoelectron spectroscopy (XPS) in the study of the above mentioned quantities, respectively. The change of the shape spectra of the dielectric functions at about 3.0 eV phonon energy, diffusion of In+ ions as well as chemical composition changes were observed after ion implantation and the thermal treatment. Due to displacement of Ga ions from GaAs by the In+ ions the new chemical compound InAs was formed. The relative amounts Ga2O3 and As2O3 ratio increase in the native oxide layers with the fluences increase after the thermal treatment of the samples. Additionally, it was noticed that the quantities of InO2 increase with the increasing values of the irradiated ions before thermal treatment.

  12. Optical properties of uniformly sized silicon nanocrystals within a single silicon oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    En Naciri, A., E-mail: aotmane.en-naciri@univ-lorraine.fr [Universite de Lorraine, LCP-A2MC, Institut Jean Barriol (France); Miska, P. [Universite de Lorraine, Institut Jean Lamour CNRS UMR 7198 (France); Keita, A.-S. [Max Planck Institute for Intelligent Systems (Germany); Battie, Y. [Universite de Lorraine, LCP-A2MC, Institut Jean Barriol (France); Rinnert, H.; Vergnat, M. [Universite de Lorraine, Institut Jean Lamour CNRS UMR 7198 (France)

    2013-04-15

    Silicon nanocrystals (Si-NC) with different sizes (2-6 nm) are synthesized by evaporation. The system is composed of a single Si-NC layer that is well controlled in size. The numerical modeling of such system, without a large size distribution, is suitable to perform easily the optical calculations. The nanocrystal size and confinement effects on the optical properties are determined by photoluminescence (PL) measurements, absorption in the UV visible range, and spectroscopic ellipsometry (SE). The optical constants and the bandgap energies are then extracted and analyzed. The dependence of the optical responses with the decrease of the size of the Si-NC occurs not only with a drastic reduction of the amplitudes of dielectric function but also by a significant expansion of the optical gap. This study supports the idea of a presence of a critical size of Si-NC for which the confinement effect becomes weak. The evolution of those bandgap energies are discussed in comparison with values reported in literature.

  13. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  14. Full Polymer Dielectric Elastomeric Actuators (DEA Functionalised with Carbon Nanotubes and High-K Ceramics

    Directory of Open Access Journals (Sweden)

    Tilo Köckritz

    2016-09-01

    Full Text Available Dielectric elastomer actuators (DEA are special devices which have a simple working and construction principle and outstanding actuation properties. The DEAs consist of a combination of different materials for the dielectric and electrode layers. The combination of these layers causes incompatibilities in their interconnections. Dramatic differences in the mechanical properties and bad adhesion of the layers are the principal causes for the reduction of the actuation displacement and strong reduction of lifetime. Common DEAs achieve actuation displacements of 2% and a durability of some million cycles. The following investigations represent a new approach to solving the problems of common systems. The investigated DEA consists of only one basic raw polymer, which was modified according to the required demands of each layer. The basic raw polymer was modified with single-walled carbon nanotubes or high-k ceramics, for example, lead magnesium niobate-lead titanate. The development of the full polymer DEA comprised the development of materials and technologies to realise a reproducible layer composition. It was proven that the full polymer actuator worked according to the theoretical rules. The investigated system achieved actuation displacements above 20% regarding thickness, outstanding interconnections at each layer without any failures, and durability above 3 million cycles without any indication of an impending malfunction.

  15. High dielectric constant and energy density induced by the tunable TiO2 interfacial buffer layer in PVDF nanocomposite contained with core-shell structured TiO2@BaTiO3 nanoparticles

    Science.gov (United States)

    Hu, Penghao; Jia, Zhuye; Shen, Zhonghui; Wang, Peng; Liu, Xiaoru

    2018-05-01

    To realize application in high-capacity capacitors and portable electric devices, large energy density is eagerly desired for polymer-based nanocomposite. The core-shell structured nanofillers with inorganic buffer layer are recently supposed to be promising in improving the dielectric property of polymer nanocomposite. In this work, core-shell structured TO@BT nanoparticles with crystalline TiO2 buffer layer coated on BaTiO3 nanoparticle were fabricated via solution method and heat treatment. The thickness of the TO buffer layer can be tailored by modulating the additive amount of the titanate coupling agent in preparation process, and the apparent dielectric properties of nanocomposite are much related to the thickness of the TO layer. The relatively thin TO layer prefer to generate high polarization to increase dielectric constant while the relatively thick TO layer would rather to homogenize field to maintain breakdown strength. Simulation of electric field distribution in the interfacial region reveals the improving effect of the TO buffer layer on the dielectric properties of nanocomposite which accords with the experimental results well. The optimized nanoparticle TO@BT-2 with a mean thickness of 3-5 nm buffer layer of TO is effective in increasing both the ε and Eb in the PVDF composite film. The maximal discharged energy density of 8.78 J/cm3 with high energy efficiency above 0.6 is obtained in TO@BT-2/PVDF nanocomposite with 2.5 vol% loading close to the breakdown strength of 380 kV/mm. The present study demonstrates the approach to optimize the structure of core-shell nanoparticles by modulating buffer layer and provides a new way to further enlarge energy density in polymer nanocomposite.

  16. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers.

    Science.gov (United States)

    Koslowski, Sebastian; Rosenblatt, Daniel; Kabakchiev, Alexander; Kuhnke, Klaus; Kern, Klaus; Schlickum, Uta

    2017-01-01

    With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111) and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111) results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111), as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal-molecule interaction, which decreases the HOMO-LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS) and their shapes can be resolved by spectroscopic mapping.

  17. Vibrational analysis of single-layered graphene sheets

    Energy Technology Data Exchange (ETDEWEB)

    Sakhaee-Pour, A; Ahmadian, M T [Center of Excellence in Design, Robotics and Automation (CEDRA), Department of Mechanical Engineering, Sharif University of Technology, Tehran (Iran, Islamic Republic of); Naghdabadi, R [Department of Mechanical Engineering and Institute for Nano Science and Technology, Sharif University of Technology, Tehran (Iran, Islamic Republic of)], E-mail: sakhaee@alum.sharif.edu, E-mail: naghdabd@sharif.edu

    2008-02-27

    A molecular structural mechanics method has been implemented to investigate the vibrational behavior of single-layered graphene sheets. By adopting this approach, mode shapes and natural frequencies are obtained. Vibrational analysis is performed with different chirality and boundary conditions. Numerical results from the atomistic modeling are employed to develop predictive equations via a statistical nonlinear regression model. With the proposed equations, fundamental frequencies of single-layered graphene sheets with considered boundary conditions can be predicted within 3% difference with respect to the atomistic simulation.

  18. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    Science.gov (United States)

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  19. Enhancement of Faraday effect in one-dimensional magneto-optical photonic crystal including a magnetic layer with wavelength dependent off-diagonal elements of dielectric constant tensor

    International Nuclear Information System (INIS)

    Inui, Chie; Ozaki, Shinsuke; Kura, Hiroaki; Sato, Tetsuya

    2011-01-01

    Optical and magneto-optical properties of one-dimensional magneto-optical photonic crystal (1-D MPC) prepared by the sol-gel dip-coating method, including a magnetic defect layer composed of mixture of CoFe 2 O 4 and SiO 2 , are investigated from both the experimental and theoretical standpoints. The resonant transmission of light was observed around 570 nm in the photonic band gap. The Faraday rotation angle θ F showed two maxima at 490 and 640 nm, and the wavelength dependence of θ F above 760 nm was similar to that of the CoFe 2 O 4 +SiO 2 single-layer film. The two maxima of θ F are attributed to the enhanced Faraday rotation of nonmagnetic TiO 2 layers in the cavity structure and that in magnetic CoFe 2 O 4 +SiO 2 layer through the light localization in MPC. The maximum value of θ F due to the magnetic CoFe 2 O 4 +SiO 2 layer in the MPC was 22-times larger than that in the single-layer film. The simulation study of MPC with CoFe 2 O 4 +SiO 2 magnetic defect layer, based on the matrix approach method, showed that the resonant light transmission was accompanied by the localization of electric field, and large enhancement of θ F appeared at different wavelengths so as to agree with the experimental features. This can be explained in terms of the wavelength dependent off-diagonal components of the dielectric constant tensor in addition to the large extinction coefficient in the CoFe 2 O 4 +SiO 2 magnetic defect layer. - Highlights: → 1-D magnetic photonic crystal (MPC) prepared by sol-gel method. → Enhancement of Faraday rotation due to the magnetic defect layer of CoFe 2 O 4 . → Shift of wavelength of Faraday rotation maximum from resonant light transmission.

  20. Breakwater stability with damaged single layer armour units

    NARCIS (Netherlands)

    De Rover, R.; Verhagen, H.J.; Van den Berge, A.; Reedijk, B.

    2008-01-01

    The effect of single layer interlocking armour unit breakage on the hydraulic armour layer stability and potential damage progression is addressed in this paper. A 2-dimensional scale model of a rubble mound breakwater with an armour layer consisting of Xbloc armour units was tested. The residual

  1. Controlling Chain Conformations of High-k Fluoropolymer Dielectrics to Enhance Charge Mobilities in Rubrene Single-Crystal Field-Effect Transistors.

    Science.gov (United States)

    Adhikari, Jwala M; Gadinski, Matthew R; Li, Qi; Sun, Kaige G; Reyes-Martinez, Marcos A; Iagodkine, Elissei; Briseno, Alejandro L; Jackson, Thomas N; Wang, Qing; Gomez, Enrique D

    2016-12-01

    A novel photopatternable high-k fluoropolymer, poly(vinylidene fluoride-bromotrifluoroethylene) P(VDF-BTFE), with a dielectric constant (k) between 8 and 11 is demonstrated in thin-film transistors. Crosslinking P(VDF-BTFE) reduces energetic disorder at the dielectric-semiconductor interface by controlling the chain conformations of P(VDF-BTFE), thereby leading to approximately a threefold enhancement in the charge mobility of rubrene single-crystal field-effect transistors. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Comparative studies of AlGaN/GaN MOS-HEMTs with stacked gate dielectrics by the mixed thin film growth method

    International Nuclear Information System (INIS)

    Chou, Bo-Yi; Hsu, Wei-Chou; Liu, Han-Yin; Ho, Chiu-Sheng; Lee, Ching-Sung

    2013-01-01

    This paper reports Al 0.27 Ga 0.73 N/GaN metal–oxide–semiconductor high electron mobility transistors (MOS-HEMTs) with stacked Al 2 O 3 /HfO 2 gate dielectrics by using hydrogen peroxideoxidation/sputtering techniques. The Al 2 O 3 employed as a gate dielectric and surface passivation layer effectively suppresses the gate leakage current, improves RF drain current collapse and exhibits good thermal stability. Moreover, by stacking the good insulating high-k HfO 2 dielectric further suppresses the gate leakage, enhances the dielectric breakdown field and power-added efficiency, and decreases the equivalent oxide thickness. The present MOS-HEMT design has demonstrated superior improvements of 10.1% (16.4%) in the maximum drain–source current (I DS,max ), 11.4% (22.5%) in the gate voltage swing and 12.5%/14.4% (21.9%/22.3%) in the two-terminal gate–drain breakdown/turn-on voltages (BV GD /V ON ), and the present design also demonstrates the lowest gate leakage current and best thermal stability characteristics as compared to two reference MOS-HEMTs with a single Al 2 O 3 /(HfO 2 ) dielectric layer of the same physical thickness. (invited paper)

  3. Quenching Mo optical losses in CIGS solar cells by a point contacted dual-layer dielectric spacer: a 3-D optical study.

    Science.gov (United States)

    Rezaei, Nasim; Isabella, Olindo; Vroon, Zeger; Zeman, Miro

    2018-01-22

    A 3-D optical modelling was calibrated to calculate the light absorption and the total reflection of fabricated CIGS solar cells. Absorption losses at molybdenum (Mo) / CIGS interface were explained in terms of plasmonic waves. To quench these losses, we assumed the insertion of a lossless dielectric spacer between Mo and CIGS, whose optical properties were varied. We show that such a spacer with low refractive index and proper thickness can significantly reduce absorption in Mo in the long wavelength regime and improve the device's rear reflectance, thus leading to enhanced light absorption in the CIGS layer. Therefore, we optimized a realistic two-layer MgF 2 / Al 2 O 3 dielectric spacer to exploit (i) the passivation properties of ultra-thin Al 2 O 3 on the CIGS side for potential high open-circuit voltage and (ii) the low refractive index of MgF 2 on the Mo side to reduce its optical losses. Combining our realistic spacer with optically-optimized point contacts increases the implied photocurrent density of a 750 nm-thick CIGS layer by 10% for the wavelengths between 700 and 1150 nm with respect to the reference cell. The elimination of plasmonic resonances in the new structure leads to a higher electric field magnitude at the bottom of CIGS layer and justifies the improved optical performance.

  4. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers

    Directory of Open Access Journals (Sweden)

    Sebastian Koslowski

    2017-07-01

    Full Text Available With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111 and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111 results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111, as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal–molecule interaction, which decreases the HOMO–LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS and their shapes can be resolved by spectroscopic mapping.

  5. Significantly Elevated Dielectric and Energy Storage Traits in Boron Nitride Filled Polymer Nano-composites with Topological Structure

    Science.gov (United States)

    Feng, Yefeng; Zhang, Jianxiong; Hu, Jianbing; Li, Shichun; Peng, Cheng

    2018-03-01

    Interface induced polarization has a prominent influence on dielectric properties of 0-3 type polymer based composites containing Si-based semi-conductors. The disadvantages of composites were higher dielectric loss, lower breakdown strength and energy storage density, although higher permittivity was achieved. In this work, dielectric, conductive, breakdown and energy storage properties of four nano-composites have been researched. Based on the cooperation of fluoropolymer/alpha-SiC layer and fluoropolymer/hexagonal-BN layer, it was confirmed constructing the heterogeneous layer-by-layer composite structure rather than homogeneous mono-layer structure could significantly reduce dielectric loss, promote breakdown strength and increase energy storage density. The former worked for a larger dielectric response and the latter layer acted as a robust barrier of charge carrier transfer. The best nano-composite could possess a permittivity of 43@100 Hz ( 3.3 times of polymer), loss of 0.07@100 Hz ( 37% of polymer), discharged energy density of 2.23 J/cm3@249 kV/cm ( 10 times of polymer) and discharged energy efficiency of 54%@249 kV/cm ( 5 times of polymer). This work might enlighten a facile route to achieve the promising high energy storage composite dielectrics by constructing the layer-by-layer topological structure.

  6. Experimental Characterization of Dielectric Properties in Fluid Saturated Artificial Shales

    OpenAIRE

    Beloborodov, Roman; Pervukhina, Marina; Han, Tongcheng; Josh, Matthew

    2017-01-01

    High dielectric contrast between water and hydrocarbons provides a useful method for distinguishing between producible layers of reservoir rocks and surrounding media. Dielectric response at high frequencies is related to the moisture content of rocks. Correlations between the dielectric permittivity and specific surface area can be used for the estimation of elastic and geomechanical properties of rocks. Knowledge of dielectric loss-factor and relaxation frequency in shales is critical for t...

  7. Improvements of deposited interpolysilicon dielectric characteristics with RTP N/sub 2/O-anneal

    NARCIS (Netherlands)

    Klootwijk, J.H.; Weusthof, Marcel H.H.; van Kranenburg, H.; Woerlee, P.H.; Wallinga, Hans

    1996-01-01

    Nitridation of deposited instead of thermally grown oxides was studied to form high-quality inter-polysilicon dielectric layers for nonvolatile memories. It was found that by optimizing the texture and morphology of the polysilicon layers, and by optimizing the post-dielectric deposition-anneal,

  8. Accurate characterization and understanding of interface trap density trends between atomic layer deposited dielectrics and AlGaN/GaN with bonding constraint theory

    Energy Technology Data Exchange (ETDEWEB)

    Ramanan, Narayanan; Lee, Bongmook; Misra, Veena, E-mail: vmisra@ncsu.edu [Department of Electrical and Computer Engineering, North Carolina State University, 2410 Campus Shore Drive, Raleigh, North Carolina 27695 (United States)

    2015-06-15

    Many dielectrics have been proposed for the gate stack or passivation of AlGaN/GaN based metal oxide semiconductor heterojunction field effect transistors, to reduce gate leakage and current collapse, both for power and RF applications. Atomic Layer Deposition (ALD) is preferred for dielectric deposition as it provides uniform, conformal, and high quality films with precise monolayer control of film thickness. Identification of the optimum ALD dielectric for the gate stack or passivation requires a critical investigation of traps created at the dielectric/AlGaN interface. In this work, a pulsed-IV traps characterization method has been used for accurate characterization of interface traps with a variety of ALD dielectrics. High-k dielectrics (HfO{sub 2}, HfAlO, and Al{sub 2}O{sub 3}) are found to host a high density of interface traps with AlGaN. In contrast, ALD SiO{sub 2} shows the lowest interface trap density (<2 × 10{sup 12 }cm{sup −2}) after annealing above 600 °C in N{sub 2} for 60 s. The trend in observed trap densities is subsequently explained with bonding constraint theory, which predicts a high density of interface traps due to a higher coordination state and bond strain in high-k dielectrics.

  9. Growth, characterization and dielectric property studies of gel grown ...

    Indian Academy of Sciences (India)

    Administrator

    chemical reaction method. Plate-like single ... Barium succinate; gel growth; single crystals; dielectric constant; dielectric loss. 1. .... The chemical reaction involved in the birth of a new .... due to the displacement of electrons and ions, respec-.

  10. Plasmon resonance in single- and double-layer CVD graphene nanoribbons

    DEFF Research Database (Denmark)

    Wang, Di; Emani, Naresh K.; Chung, Ting Fung

    2015-01-01

    Dynamic tunability of the plasmonic resonance in graphene nanoribbons is desirable in the near-infrared. We demonstrated a constant blue shift of plasmonic resonances in double-layer graphene nanoribbons with respect to single-layer graphene nanoribbons. © OSA 2015.......Dynamic tunability of the plasmonic resonance in graphene nanoribbons is desirable in the near-infrared. We demonstrated a constant blue shift of plasmonic resonances in double-layer graphene nanoribbons with respect to single-layer graphene nanoribbons. © OSA 2015....

  11. Biosensor properties of SOI nanowire transistors with a PEALD Al{sub 2}O{sub 3} dielectric protective layer

    Energy Technology Data Exchange (ETDEWEB)

    Popov, V. P., E-mail: popov@isp.nsc.ru; Ilnitskii, M. A.; Zhanaev, E. D. [Russian Academy of Sciences, Rzhanov Institute of Semiconductor Physics, Siberian Branch (Russian Federation); Myakon’kich, A. V.; Rudenko, K. V. [Russian Academy of Sciences, Physical Technological Institute (Russian Federation); Glukhov, A. V. [Novosibirsk Semiconductor Device Plant and Design Bureau (Russian Federation)

    2016-05-15

    The properties of protective dielectric layers of aluminum oxide Al{sub 2}O{sub 3} applied to prefabricated silicon-nanowire transistor biochips by the plasma enhanced atomic layer deposition (PEALD) method before being housed are studied depending on the deposition and annealing modes. Coating the natural silicon oxide with a nanometer Al{sub 2}O{sub 3} layer insignificantly decreases the femtomole sensitivity of biosensors, but provides their stability in bioliquids. In deionized water, transistors with annealed aluminum oxide are closed due to the trapping of negative charges of <(1–10) × 10{sup 11} cm{sup −2} at surface states. The application of a positive potential to the substrate (V{sub sub} > 25 V) makes it possible to eliminate the negative charge and to perform multiple measurements in liquid at least for half a year.

  12. Plasmon analysis and homogenization in plane layered photonic crystals and hyperbolic metamaterials

    Energy Technology Data Exchange (ETDEWEB)

    Davidovich, M. V., E-mail: davidovichmv@info.sgu.ru [Saratov State University (Russian Federation)

    2016-12-15

    Dispersion equations are obtained and analysis and homogenization are carried out in periodic and quasiperiodic plane layered structures consisting of alternating dielectric layers, metal and dielectric layers, as well as graphene sheets and dielectric (SiO{sub 2}) layers. Situations are considered when these structures acquire the properties of hyperbolic metamaterials (HMMs), i.e., materials the real parts of whose effective permittivity tensor have opposite signs. It is shown that the application of solely dielectric layers is more promising in the context of reducing losses.

  13. An Approach for Measuring the Dielectric Strength of OLED Materials

    Directory of Open Access Journals (Sweden)

    Sujith Sudheendran Swayamprabha

    2018-06-01

    Full Text Available Surface roughness of electrodes plays a key role in the dielectric breakdown of thin-film organic devices. The rate of breakdown will increase when there are stochastic sharp spikes on the surface of electrodes. Additionally, surface having spiking morphology makes the determination of dielectric strength very challenging, specifically when the layer is relatively thin. We demonstrate here a new approach to investigate the dielectric strength of organic thin films for organic light-emitting diodes (OLEDs. The thin films were deposited on a substrate using physical vapor deposition (PVD under high vacuum. The device architectures used were glass substrate/indium tin oxide (ITO/organic material/aluminum (Al and glass substrate/Al/organic material/Al. The dielectric strength of the OLED materials was evaluated from the measured breakdown voltage and layer thickness.

  14. White-light-emitting diode based on a single-layer polymer

    Science.gov (United States)

    Wang, B. Z.; Zhang, X. P.; Liu, H. M.

    2013-05-01

    A broad-band light-emitting diode was achieved in a single-layer device based on pure poly(9,9'-dioctylfluorene-co-bis-N,N'-(4-butylphenyl)-bis-N,N'-phenyl-1,4-phenylenediamine) (PFB). Electromer emission was observed in the red with a center wavelength of about 620 nm in electroluminescence (EL) spectrum. This kind of emission exhibits strong dependence on the thickness of the PFB layer, so that the shape of the EL spectrum may be adjusted through changing the thickness of the active polymer layer to balance between the intrinsic PFB emission in the blue and the electromer emission in the red. Thus, white light emission may be achieved from such a single-layer single-material diode.

  15. Bandgap tunability at single-layer molybdenum disulphide grain boundaries

    KAUST Repository

    Huang, Yu Li

    2015-02-17

    Two-dimensional transition metal dichalcogenides have emerged as a new class of semiconductor materials with novel electronic and optical properties of interest to future nanoelectronics technology. Single-layer molybdenum disulphide, which represents a prototype two-dimensional transition metal dichalcogenide, has an electronic bandgap that increases with decreasing layer thickness. Using high-resolution scanning tunnelling microscopy and spectroscopy, we measure the apparent quasiparticle energy gap to be 2.40±0.05 eV for single-layer, 2.10±0.05 eV for bilayer and 1.75±0.05 eV for trilayer molybdenum disulphide, which were directly grown on a graphite substrate by chemical vapour deposition method. More interestingly, we report an unexpected bandgap tunability (as large as 0.85±0.05 eV) with distance from the grain boundary in single-layer molybdenum disulphide, which also depends on the grain misorientation angle. This work opens up new possibilities for flexible electronic and optoelectronic devices with tunable bandgaps that utilize both the control of two-dimensional layer thickness and the grain boundary engineering.

  16. Solution-processed high-LUMO-level polymers in n-type organic field-effect transistors: a comparative study as a semiconducting layer, dielectric layer, or charge injection layer

    International Nuclear Information System (INIS)

    Liu, Chuan; Xu, Yong; Liu, Xuying; Minari, Takeo; Sirringhaus, Henning; Noh, Yong-Young

    2015-01-01

    In solution-processed organic field-effect transistors (OFETs), the polymers with high level of lowest unoccupied molecular orbitals (LUMOs, > −3.5 eV) are especially susceptible to electron-trapping that causes low electron mobility and strong instability in successive operation. However, the role of high-LUMO-level polymers could be different depending on their locations relative to the semiconductor/insulator interface, or could even possibly benefit the device in some cases. We constructed unconventional polymer heterojunction n-type OFETs to control the location of the same polymer with a high LUMO level, to be in, under, or above the accumulation channel. We found that although the devices with the polymer in the channel suffer from dramatic instability, the same polymer causes much less instability when it acts as a dielectric modification layer or charge injection layer. Especially, it may even improve the device performance in the latter case. This result helps to improve our understanding of the electron-trapping and explore the value of these polymers in OFETs. (invited article)

  17. Flexible FETs using ultrathin Si microwires embedded in solution processed dielectric and metal layers

    Science.gov (United States)

    Khan, S.; Yogeswaran, N.; Taube, W.; Lorenzelli, L.; Dahiya, R.

    2015-12-01

    This work presents a novel manufacturing route for obtaining high performance bendable field effect transistors (FET) by embedding silicon (Si) microwires (2.5 μm thick) in layers of solution-processed dielectric and metallic layers. The objective of this study is to explore heterogeneous integration of Si with polymers and to exploit the benefits of both microelectronics and printing technologies. Arrays of Si microwires are developed on silicon on insulator (SOI) wafers and transfer printed to polyimide (PI) substrate through a polydimethylsiloxane (PDMS) carrier stamp. Following the transfer printing of Si microwires, two different processing steps were developed to obtain top gate top contact and back gate top contact FETs. Electrical characterizations indicate devices having mobility as high as 117.5 cm2 V-1 s-1. The fabricated devices were also modeled using SILVACO Atlas. Simulation results show a trend in the electrical response similar to that of experimental results. In addition, a cyclic test was performed to demonstrate the reliability and mechanical robustness of the Si μ-wires on flexible substrates.

  18. Flexible FETs using ultrathin Si microwires embedded in solution processed dielectric and metal layers

    International Nuclear Information System (INIS)

    Khan, S; Yogeswaran, N; Lorenzelli, L; Taube, W; Dahiya, R

    2015-01-01

    This work presents a novel manufacturing route for obtaining high performance bendable field effect transistors (FET) by embedding silicon (Si) microwires (2.5 μm thick) in layers of solution-processed dielectric and metallic layers. The objective of this study is to explore heterogeneous integration of Si with polymers and to exploit the benefits of both microelectronics and printing technologies. Arrays of Si microwires are developed on silicon on insulator (SOI) wafers and transfer printed to polyimide (PI) substrate through a polydimethylsiloxane (PDMS) carrier stamp. Following the transfer printing of Si microwires, two different processing steps were developed to obtain top gate top contact and back gate top contact FETs. Electrical characterizations indicate devices having mobility as high as 117.5 cm 2 V −1 s −1 . The fabricated devices were also modeled using SILVACO Atlas. Simulation results show a trend in the electrical response similar to that of experimental results. In addition, a cyclic test was performed to demonstrate the reliability and mechanical robustness of the Si μ-wires on flexible substrates. (paper)

  19. Effect of paramagnetic manganese ions doping on frequency and high temperature dependence dielectric response of layered Na1.9Li0.1Ti3O7 ceramics

    International Nuclear Information System (INIS)

    Pal, Dharmendra; Pandey, J.L.

    2010-01-01

    The manganese doped layered ceramic samples (Na 1.9 Li 0.1 )Ti 3 O 7 : XMn (0.01 ≤ X ≤ 0.1) have been prepared using high temperature solid state reaction. The room temperature electron paramagnetic resonance (EPR) investigations exhibit that at lower percentage of doping the substitution of manganese ions occur as Mn 3+ at Ti 4+ sites, whereas for higher percentage of doping Mn 2+ ions occupy the two different interlayer sodium/lithium sites. In both cases, the charge compensation mechanism should operate to maintain the overall charge neutrality of the lattice. The manganese doped derivatives of layered Na 1.9 Li 0. 1Ti 3 O 7 (SLT) ceramics have been investigated through frequency dependence dielectric spectroscopy in this work. The results indicate that the dielectric losses in these ceramics are the collective contribution of electric conduction, dipole orientation and space charge polarization. Smeared peaks in temperature dependence of permittivity plots suggest diffuse nature of high temperature ferroelectric phase transition. The light manganese doping in SLT enhances the dielectric constant. However, manganese doping decreases dielectric loss due to inhibition of domain wall motion, enhances electron-hopping conduction, and impedes the interlayer ionic conduction as well. Manganese doping also gives rise to contraction of interlayer space. (author)

  20. Infrared and THz spectroscopy of nanostructured dielectrics

    Directory of Open Access Journals (Sweden)

    Jan Petzelt

    2009-09-01

    Full Text Available Results achieved using the infrared/THz spectroscopy of various inhomogeneous dielectrics in the Department of Dielectrics, Institute of Physics, Prague, during the last decade are briefly reviewed. The discussion concerns high-permittivity ceramics with inevitable low-permittivity dead layers along the grain boundaries, relaxor ferroelectrics with highly anisotropic polar nano-regions, classical matrix-type composites, core-shell composites, filled nanoporous glasses, polycrystalline and epitaxial thin films, heterostructures and superlattices on dielectric substrates. The analysis using models based on the effective medium approach is discussed. The importance of depolarizing field and of the percolation of components on the effective ac dielectric response and the excitations contributing to it are emphasized.

  1. SiO2/AlON stacked gate dielectrics for AlGaN/GaN MOS heterojunction field-effect transistors

    Science.gov (United States)

    Watanabe, Kenta; Terashima, Daiki; Nozaki, Mikito; Yamada, Takahiro; Nakazawa, Satoshi; Ishida, Masahiro; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    Stacked gate dielectrics consisting of wide bandgap SiO2 insulators and thin aluminum oxynitride (AlON) interlayers were systematically investigated in order to improve the performance and reliability of AlGaN/GaN metal–oxide–semiconductor (MOS) devices. A significantly reduced gate leakage current compared with that in a single AlON layer was achieved with these structures, while maintaining the superior thermal stability and electrical properties of the oxynitride/AlGaN interface. Consequently, distinct advantages in terms of the reliability of the gate dielectrics, such as an improved immunity against electron injection and an increased dielectric breakdown field, were demonstrated for AlGaN/GaN MOS capacitors with optimized stacked structures having a 3.3-nm-thick AlON interlayer.

  2. Low dielectric constant-based organic field-effect transistors and metal-insulator-semiconductor capacitors

    Science.gov (United States)

    Ukah, Ndubuisi Benjamin

    This thesis describes a study of PFB and pentacene-based organic field-effect transistors (OFET) and metal-insulator-semiconductor (MIS) capacitors with low dielectric constant (k) poly(methyl methacrylate) (PMMA), poly(4-vinyl phenol) (PVP) and cross-linked PVP (c-PVP) gate dielectrics. A physical method -- matrix assisted pulsed laser evaporation (MAPLE) -- of fabricating all-polymer field-effect transistors and MIS capacitors that circumvents inherent polymer dissolution and solvent-selectivity problems, is demonstrated. Pentacene-based OFETs incorporating PMMA and PVP gate dielectrics usually have high operating voltages related to the thickness of the dielectric layer. Reduced PMMA layer thickness (≤ 70 nm) was obtained by dissolving the PMMA in propylene carbonate (PC). The resulting pentacene-based transistors exhibited very low operating voltage (below -3 V), minimal hysteresis in their transfer characteristics, and decent electrical performance. Also low voltage (within -2 V) operation using thin (≤ 80 nm) low-k and hydrophilic PVP and c-PVP dielectric layers obtained via dissolution in high dipole moment and high-k solvents -- PC and dimethyl sulfoxide (DMSO), is demonstrated to be a robust means of achieving improved electrical characteristics and high operational stability in OFETs incorporating PVP and c-PVP dielectrics.

  3. Optical and Electrical Characteristics of Graphene Double Layer Formed by a Double Transfer of Graphene Single Layers.

    Science.gov (United States)

    Kim, Young Jun; Bae, Gi Yoon; Chun, Sungwoo; Park, Wanjun

    2016-03-01

    We demonstrate formation of double layer graphene by means of a double transfer using two single graphene layers grown by a chemical vapor deposition method. It is observed that shiftiness and broadness in the double-resonance of Raman scattering are much weaker than those of bilayer graphene formed naturally. Transport characteristics examined from transmission line measurements and field effect transistors show the similar behavior with those of single layer graphene. It indicates that interlayer separation, in electrical view, is large enough to avoid correlation between layers for the double layer structure. It is also observed from a transistor with the double layer graphene that molecules adsorpted on two inner graphene surfaces in the double layered structure are isolated and conserved from ambient environment.

  4. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene-graphene composite layers for flexible thin film transistors with a polymer gate dielectric.

    Science.gov (United States)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-02-28

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene-graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene-graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm(2) V(-1) s(-1) and a threshold voltage of -0.7 V at V(gs) = -40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm(2) V(-1) s(-1) and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies.

  5. Dynamics of dielectric barrier discharges in coplanar arrangements

    International Nuclear Information System (INIS)

    Gibalov, Valentin I; Pietsch, Gerhard J

    2004-01-01

    The development of a discharge channel in coplanar dielectric barrier arrangements is investigated numerically. Its behaviour in oxygen, like the spatial and temporal distributions of the field strength, charged and neutral particles and energy density, is described in detail. It is found that the streamer development is mainly determined by photoemission. A cathode layer appears near the position where the cathode directed streamer touches the dielectric surface. Secondary electron emission by ion collisions becomes significant and the parameters of the cathode layer are near those of a normal glow discharge. The charge transfer and energy release happen in the conductive channel of the discharge, which appears on the dielectric surface as a result of the cathode streamer development. The field strength in the conductive channel is nearly constant and about 70-100 Td in oxygen and air

  6. Low-power logic computing realized in a single electric-double-layer MoS2 transistor gated with polymer electrolyte

    Science.gov (United States)

    Guo, Junjie; Xie, Dingdong; Yang, Bingchu; Jiang, Jie

    2018-06-01

    Due to its mechanical flexibility, large bandgap and carrier mobility, atomically thin molybdenum disulphide (MoS2) has attracted widespread attention. However, it still lacks a facile route to fabricate a low-power high-performance logic gates/circuits before it gets the real application. Herein, we reported a facile and environment-friendly method to establish the low-power logic function in a single MoS2 field-effect transistor (FET) configuration gated with a polymer electrolyte. Such low-power and high-performance MoS2 FET can be implemented by using water-soluble polyvinyl alcohol (PVA) polymer as proton-conducting electric-double-layer (EDL) dielectric layer. It exhibited an ultra-low voltage (1.5 V) and a good performance with a high current on/off ratio (Ion/off) of 1 × 105, a large electron mobility (μ) of 47.5 cm2/V s, and a small subthreshold swing (S) of 0.26 V/dec, respectively. The inverter can be realized by using such a single MoS2 EDL FET with a gain of ∼4 at the operation voltage of only ∼1 V. Most importantly, the neuronal AND logic computing can be also demonstrated by using such a double-lateral-gate single MoS2 EDL transistor. These results show an effective step for future applications of 2D MoS2 FETs for integrated electronic engineering and low-energy environment-friendly green electronics.

  7. [Single-layer colonic anastomoses using polyglyconate (Maxon) vs. two-layer anastomoses using chromic catgut and silk. Experimental study].

    Science.gov (United States)

    García-Osogobio, Sandra Minerva; Takahashi-Monroy, Takeshi; Velasco, Liliana; Gaxiola, Miguel; Sotres-Vega, Avelina; Santillán-Doherty, Patricio

    2006-01-01

    The safety of an intestinal anastomosis is usually measured by its complication rate, especially the incidence of anastomotic leakage. A wide variety of methods have been described to reestablish intestinal continuity including single-layer continuous or two-layer interrupted anastomosis. To evaluate if the single-layer continuous anastomosis using polygluconate is safer and reliable than two-layer interrupted anastomosis with chromic catgut and silk. A prospective, experimental, randomized and comparative analysis was conducted in 20 dogs. They were divided in two groups; group 1 underwent two-layer interrupted anastomosis and group 2 underwent sigle-layer continuous technique. Anastomoses were timed. Both groups were under observation. Anastomotic leakage, and other complications were evaluated. The animals were sacrified and the anastomosis was taken out together with 10 cm of colon on both sides of the anastomosis. Breaking strength, histologic evaluation and hydroxyproline determination were performed. Ten two-layer anastomosis and ten single-layer anastomosis were performed. A median of 25 minutes (range: 20-30 minutes) was required to construct the anastomoses in group 1 versus 20 minutes (range: 12-25 minutes) in group 2. All animals survived and no leakage was observed. Wound infection ocurred in four dogs (20%). Median breaking strength was 230 mm Hg in group 1 and 210 mm Hg in group 2. Hydroxyproline concentration was 8.94 mg/g in group 1 (range: 5.33-16.71) and 9.94 mg/g in group 2 (range: 2.96-21.87). There was no difference among groups about the inflammatory response evaluated by pathology. There was no statistical significance in any variable evaluated. CONCLUIONS: This study demonstrates that a single-layer continuous is similar in terms of safety to the two-layer technique, but because of its facility to perform, the single-layer technique could be superior.

  8. The Effect of Single Pyramidal Neuron Firing Within Layer 2/3 and Layer 4 in Mouse V1.

    Science.gov (United States)

    Meyer, Jochen F; Golshani, Peyman; Smirnakis, Stelios M

    2018-01-01

    The influence of cortical cell spiking activity on nearby cells has been studied extensively in vitro . Less is known, however, about the impact of single cell firing on local cortical networks in vivo . In a pioneering study, Kwan and Dan (Kwan and Dan, 2012) reported that in mouse layer 2/3 (L2/3), under anesthesia , stimulating a single pyramidal cell recruits ~2.1% of neighboring units. Here we employ two-photon calcium imaging in layer 2/3 of mouse V1, in conjunction with single-cell patch clamp stimulation in layer 2/3 or layer 4, to probe, in both the awake and lightly anesthetized states , how (i) activating single L2/3 pyramidal neurons recruits neighboring units within L2/3 and from layer 4 (L4) to L2/3, and whether (ii) activating single pyramidal neurons changes population activity in local circuit. To do this, it was essential to develop an algorithm capable of quantifying how sensitive the calcium signal is at detecting effectively recruited units ("followers"). This algorithm allowed us to estimate the chance of detecting a follower as a function of the probability that an epoch of stimulation elicits one extra action potential (AP) in the follower cell. Using this approach, we found only a small fraction (layer-2/3 or layer-4 pyramidal neurons produces few (<1% of local units) reliable single-cell followers in L2/3 of mouse area V1, either under light anesthesia or in quiet wakefulness: instead, single cell stimulation was found to elevate aggregate population activity in a weak but highly distributed fashion.

  9. Enhanced dielectric-wall linear accelerator

    Science.gov (United States)

    Sampayan, Stephen E.; Caporaso, George J.; Kirbie, Hugh C.

    1998-01-01

    A dielectric-wall linear accelerator is enhanced by a high-voltage, fast e-time switch that includes a pair of electrodes between which are laminated alternating layers of isolated conductors and insulators. A high voltage is placed between the electrodes sufficient to stress the voltage breakdown of the insulator on command. A light trigger, such as a laser, is focused along at least one line along the edge surface of the laminated alternating layers of isolated conductors and insulators extending between the electrodes. The laser is energized to initiate a surface breakdown by a fluence of photons, thus causing the electrical switch to close very promptly. Such insulators and lasers are incorporated in a dielectric wall linear accelerator with Blumlein modules, and phasing is controlled by adjusting the length of fiber optic cables that carry the laser light to the insulator surface.

  10. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    International Nuclear Information System (INIS)

    Wang, Xianghua; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen; Zhang, Guobing; Lu, Hongbo; Yuan, Miao; Qin, Mengzhi

    2015-01-01

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods

  11. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xianghua, E-mail: xhwang@hfut.edu.cn; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen, E-mail: lzhqiu@hfut.edu.cn; Zhang, Guobing; Lu, Hongbo [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Yuan, Miao; Qin, Mengzhi [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei 230009 (China)

    2015-01-14

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods.

  12. Stress measurements of planar dielectric elastomer actuators

    Energy Technology Data Exchange (ETDEWEB)

    Osmani, Bekim; Aeby, Elise A.; Müller, Bert [Biomaterials Science Center, University of Basel, Gewerbestrasse 14, 4123 Allschwil (Switzerland)

    2016-05-15

    Dielectric elastomer actuator (DEA) micro- and nano-structures are referred to artificial muscles because of their specific continuous power and adequate time response. The bending measurement of an asymmetric, planar DEA is described. The asymmetric cantilevers consist of 1 or 5 μm-thin DEAs deposited on polyethylene naphthalate (PEN) substrates 16, 25, 38, or 50 μm thick. The application of a voltage to the DEA electrodes generates an electrostatic pressure in the sandwiched silicone elastomer layer, which causes the underlying PEN substrate to bend. Optical beam deflection enables the detection of the bending angle vs. applied voltage. Bending radii as large as 850 m were reproducibly detected. DEA tests with electric fields of up to 80 V/μm showed limitations in electrode’s conductivity and structure failures. The actuation measurement is essential for the quantitative characterization of nanometer-thin, low-voltage, single- and multi-layer DEAs, as foreseen for artificial sphincters to efficiently treat severe urinary and fecal incontinence.

  13. Stress measurements of planar dielectric elastomer actuators

    International Nuclear Information System (INIS)

    Osmani, Bekim; Aeby, Elise A.; Müller, Bert

    2016-01-01

    Dielectric elastomer actuator (DEA) micro- and nano-structures are referred to artificial muscles because of their specific continuous power and adequate time response. The bending measurement of an asymmetric, planar DEA is described. The asymmetric cantilevers consist of 1 or 5 μm-thin DEAs deposited on polyethylene naphthalate (PEN) substrates 16, 25, 38, or 50 μm thick. The application of a voltage to the DEA electrodes generates an electrostatic pressure in the sandwiched silicone elastomer layer, which causes the underlying PEN substrate to bend. Optical beam deflection enables the detection of the bending angle vs. applied voltage. Bending radii as large as 850 m were reproducibly detected. DEA tests with electric fields of up to 80 V/μm showed limitations in electrode’s conductivity and structure failures. The actuation measurement is essential for the quantitative characterization of nanometer-thin, low-voltage, single- and multi-layer DEAs, as foreseen for artificial sphincters to efficiently treat severe urinary and fecal incontinence.

  14. Transverse mode control in proton-implanted and oxide-confined VCSELs via patterned dielectric anti-phase filters

    Science.gov (United States)

    Kesler, Benjamin; O'Brien, Thomas; Dallesasse, John M.

    2017-02-01

    A novel method for controlling the transverse lasing modes in both proton implanted and oxide-confined vertical- cavity surface-emitting lasers (VCSELs) with a multi-layer, patterned, dielectric anti-phase (DAP) filter is pre- sented. Using a simple photolithographic liftoff process, dielectric layers are deposited and patterned on individual VCSELs to modify (increase or decrease) the mirror reflectivity across the emission aperture via anti-phase reflections, creating spatially-dependent threshold material gain. The shape of the dielectric pattern can be tailored to overlap with specific transverse VCSEL modes or subsets of transverse modes to either facilitate or inhibit lasing by decreasing or increasing, respectively, the threshold modal gain. A silicon dioxide (SiO2) and titanium dioxide (TiO2) anti-phase filter is used to achieve a single-fundamental-mode, continuous-wave output power greater than 4.0 mW in an oxide-confined VCSEL at a lasing wavelength of 850 nm. A filter consisting of SiO2 and TiO2 is used to facilitate injection-current-insensitive fundamental mode and lower order mode lasing in proton implanted VCSELs at a lasing wavelength of 850 nm. Higher refractive index dielectric materials such as amorphous silicon (a-Si) can be used to increase the effectiveness of the anti-phase filter on proton implanted devices by reducing the threshold modal gain of any spatially overlapping modes. This additive, non-destructive method allows for mode selection at any lasing wavelength and for any VCSEL layer structure without the need for semiconductor etching or epitaxial regrowth. It also offers the capability of designing a filter based upon available optical coating materials.

  15. Organic photovoltaic devices with a single layer geometry (Conference Presentation)

    Science.gov (United States)

    Kolesov, Vladimir A.; Fuentes-Hernandez, Canek; Aizawa, Naoya; Larrain, Felipe A.; Chou, Wen-Fang; Perrotta, Alberto; Graham, Samuel; Kippelen, Bernard

    2016-09-01

    Organic photovoltaics (OPV) can lead to a low cost and short energy payback time alternative to existing photovoltaic technologies. However, to fulfill this promise, power conversion efficiencies must be improved and simultaneously the architecture of the devices and their processing steps need to be further simplified. In the most efficient devices to date, the functions of photocurrent generation, and hole/electron collection are achieved in different layers adding complexity to the device fabrication. In this talk, we present a novel approach that yields devices in which all these functions are combined in a single layer. Specifically, we report on bulk heterojunction devices in which amine-containing polymers are first mixed in the solution together with the donor and acceptor materials that form the active layer. A single-layer coating yields a self-forming bottom electron-collection layer comprised of the amine-containing polymer (e.g. PEIE). Hole-collection is achieved by subsequent immersion of this single layer in a solution of a polyoxometalate (e.g. phosphomolybdic acid (PMA)) leading to an electrically p-doped region formed by the diffusion of the dopant molecules into the bulk. The depth of this doped region can be controlled with values up to tens of nm by varying the immersion time. Devices with a single 500 nm-thick active layer of P3HT:ICBA processed using this method yield power conversion efficiency (PCE) values of 4.8 ± 0.3% at 1 sun and demonstrate a performance level superior to that of benchmark three-layer devices with separate layers of PEIE/P3HT:ICBA/MoOx (4.1 ± 0.4%). Devices remain stable after shelf lifetime experiments carried-out at 60 °C over 280 h.

  16. Tunable phase transition in single-layer TiSe2 via electric field

    Science.gov (United States)

    Liu, Lei; Zhuang, Houlong L.

    2018-06-01

    Phase transition represents an intriguing physical phenomenon that exists in a number of single-layer transition-metal dichalcogenides. This phenomenon often occurs below a critical temperature and breaks the long-range crystalline order leading to a reconstructed superstructure called the charge-density wave (CDW) structure, which can therefore be recovered by external stimuli such as temperature. Alternatively, we show here that another external stimulation, electric field can also result in the phase transition between the regular and CDW structures of a single-layer transition-metal dichalcogenide. We used single-layer TiSe2 as an example to elucidate the mechanism of the CDW followed by calculations of the electronic structure using a hybrid density functional. We found that applying electric field can tune the phase transition between the 1T and CDW phases of single-layer TiSe2. Our work opens up a route of tuning the phase transition of single-layer materials via electric field.

  17. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  18. Hysteresis behaviour of low-voltage organic field-effect transistors employing high dielectric constant polymer gate dielectrics

    International Nuclear Information System (INIS)

    Kim, Se Hyun; Yun, Won Min; Kwon, Oh-Kwan; Hong, Kipyo; Yang, Chanwoo; Park, Chan Eon; Choi, Woon-Seop

    2010-01-01

    Here, we report on the fabrication of low-voltage-operating pentacene-based organic field-effect transistors (OFETs) that utilize crosslinked cyanoethylated poly(vinyl alcohol) (CR-V) gate dielectrics. The crosslinked CR-V-based OFET could be operated successfully at low voltages (below 4 V), but abnormal behaviour during device operation, such as uncertainty in the field-effect mobility (μ) and hysteresis, was induced by the slow polarization of moieties embedded in the gate dielectric (e.g. polar functionalities, ionic impurities, water and solvent molecules). In an effort to improve the stability of OFET operation, we measured the dependence of μ and hysteresis on dielectric thickness, CR-V crosslinking conditions and sweep rate of the gate bias. The influence of the CR-V surface properties on μ, hysteresis, and the structural and morphological features of the pentacene layer grown on the gate dielectric was characterized and compared with the properties of pentacene grown on a polystyrene surface.

  19. Tunable optical response at the plasmon-polariton frequency in dielectric-graphene-metamaterial systems

    Science.gov (United States)

    Calvo-Velasco, D. M.; Porras-Montenegro, N.

    2018-04-01

    By using the scattering matrix formalism, it is studied the optical properties of one dimensional photonic crystals made of multiple layers of dielectric and uniaxial anisotropic single negative electric metamaterial with Drude type responses, with inclusions of graphene in between the dielectric-dielectric interfaces (DGMPC). The transmission spectra for transverse electric (TE) and magnetic (TM) polarization are presented as a function of the incidence angle, the graphene chemical potential, and the metamaterial plasma frequencies. It is found for the TM polarization the tunability of the DGMPC optical response with the graphene chemical potential, which can be observed by means of transmission or reflexion bands around the metamaterial plasmon-polariton frequency, with bandwidths depending on both the incidence angle and the metamaterial plasma frequency. Also, the transmission band is observed when losses in the metamaterial slabs are considered for finite systems. The conditions for the appearance of these bands are shown analytically. We consider this work contributes to open new possibilities to the design of photonic devices with DGMPCs.

  20. Effect of gradient dielectric coefficient in a functionally graded material (FGM) substrate on the propagation behavior of love waves in an FGM-piezoelectric layered structure.

    Science.gov (United States)

    Cao, Xiaoshan; Shi, Junping; Jin, Feng

    2012-06-01

    The propagation behavior of Love waves in a layered structure that includes a functionally graded material (FGM) substrate carrying a piezoelectric thin film is investigated. Analytical solutions are obtained for both constant and gradient dielectric coefficients in the FGM substrate. Numerical results show that the gradient dielectric coefficient decreases phase velocity in any mode, and the electromechanical coupling factor significantly increases in the first- and secondorder modes. In some modes, the difference in Love waves' phase velocity between these two types of structure might be more than 1%, resulting in significant differences in frequency of the surface acoustic wave devices.

  1. Raman spectroscopy of boron-doped single-layer graphene.

    Science.gov (United States)

    Kim, Yoong Ahm; Fujisawa, Kazunori; Muramatsu, Hiroyuki; Hayashi, Takuya; Endo, Morinobu; Fujimori, Toshihiko; Kaneko, Katsumi; Terrones, Mauricio; Behrends, Jan; Eckmann, Axel; Casiraghi, Cinzia; Novoselov, Kostya S; Saito, Riichiro; Dresselhaus, Mildred S

    2012-07-24

    The introduction of foreign atoms, such as nitrogen, into the hexagonal network of an sp(2)-hybridized carbon atom monolayer has been demonstrated and constitutes an effective tool for tailoring the intrinsic properties of graphene. Here, we report that boron atoms can be efficiently substituted for carbon in graphene. Single-layer graphene substitutionally doped with boron was prepared by the mechanical exfoliation of boron-doped graphite. X-ray photoelectron spectroscopy demonstrated that the amount of substitutional boron in graphite was ~0.22 atom %. Raman spectroscopy demonstrated that the boron atoms were spaced 4.76 nm apart in single-layer graphene. The 7-fold higher intensity of the D-band when compared to the G-band was explained by the elastically scattered photoexcited electrons by boron atoms before emitting a phonon. The frequency of the G-band in single-layer substitutionally boron-doped graphene was unchanged, which could be explained by the p-type boron doping (stiffening) counteracting the tensile strain effect of the larger carbon-boron bond length (softening). Boron-doped graphene appears to be a useful tool for engineering the physical and chemical properties of graphene.

  2. Single-bunch beam breakup in a dielectric-lined waveguide

    International Nuclear Information System (INIS)

    Ng, King-Yuen.

    1992-08-01

    We examine beam breakup of a 100 nC I mm-long (rms) source bunch inside a cylindrical dielectric waveguide, with dielectric ε = 2.65 filling the radius between 7.5 and 9.0 mm. Only ∼ 78% of the bunch with an initial offset of 0.3 mm survives the passage of the 3.75 m waveguide. The loss is mainly due to the large deflections of some particles that are slowed down to nearly zero velocity. As a result, quadrupole focussing of any sort will not help. However, if the waveguide is shortened to 3.3 m, the loss reduces to only 5.5%

  3. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene–graphene composite layers for flexible thin film transistors with a polymer gate dielectric

    International Nuclear Information System (INIS)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-01-01

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene–graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene–graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm 2  V −1  s −1 and a threshold voltage of −0.7 V at V gs = −40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm 2  V −1  s −1 and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies. (paper)

  4. Dielectric function of two-phase colloid-polymer nanocomposite.

    Science.gov (United States)

    Mitzscherling, S; Cui, Q; Koopman, W; Bargheer, M

    2015-11-28

    The plasmon resonance of metal nanoparticles determines their optical response in the visible spectral range. Many details such as the electronic properties of gold near the particle surface and the local environment of the particles influence the spectra. We show how the cheap but highly precise fabrication of composite nanolayers by spin-assisted layer-by-layer deposition of polyelectrolytes can be used to investigate the spectral response of gold nanospheres (GNS) and gold nanorods (GNR) in a self-consistent way, using the established Maxwell-Garnett effective medium (MGEM) theory beyond the limit of homogeneous media. We show that the dielectric function of gold nanoparticles differs from the bulk value and experimentally characterize the shape and the surrounding of the particles thoroughly by SEM, AFM and ellipsometry. Averaging the dielectric functions of the layered surrounding by an appropriate weighting with the electric field intensity yields excellent agreement for the spectra of several nanoparticles and nanorods with various cover-layer thicknesses.

  5. Single-layer ZnMN2 (M = Si, Ge, Sn) zinc nitrides as promising photocatalysts.

    Science.gov (United States)

    Bai, Yujie; Luo, Gaixia; Meng, Lijuan; Zhang, Qinfang; Xu, Ning; Zhang, Haiyang; Wu, Xiuqiang; Kong, Fanjie; Wang, Baolin

    2018-05-30

    Searching for two-dimensional semiconductor materials that are suitable for visible-light photocatalytic water splitting provides a sustainable solution to deal with the future energy crisis and environmental problems. Herein, based on first-principles calculations, single-layer ZnMN2 (M = Si, Ge, Sn) zinc nitrides are proposed as efficient photocatalysts for water splitting. Stability analyses show that the single-layer ZnMN2 zinc nitrides exhibit energetic and dynamical stability. The electronic properties reveal that all of the single-layer ZnMN2 zinc nitrides are semiconductors. Interestingly, single-layer ZnSnN2 is a direct band gap semiconductor with a desirable band gap (1.74 eV), and the optical adsorption spectrum confirms its optical absorption in the visible light region. The hydrogen evolution reaction (HER) calculations show that the catalytic activity for single-layer ZnMN2 (M = Ge, Sn) is better than that of single-layer ZnSiN2. Furthermore, the band gaps and band edge positions for the single-layer ZnMN2 zinc nitrides can be effectively tuned by biaxial strain. Especially, single-layer ZnGeN2 can be effectively tuned to match better with the redox potentials of water and enhance the light absorption in the visible light region at a tensile strain of 5%, which is confirmed by the corresponding optical absorption spectrum. Our results provide guidance for experimental synthesis efforts and future searches for single-layer materials suitable for photocatalytic water splitting.

  6. Zirconium titanate thin film prepared by surface sol-gel process and effects of thickness on dielectric property

    CERN Document Server

    Kim, C H

    2002-01-01

    Single phase of multicomponent oxide ZrTiO sub 4 film could be prepared through surface sol-gel route simply by coating the mixture of 100mM zirconium butoxide and titanium butoxide on Pt/Ti/SiO sub 2 /Si(100) substrate, following pyrolysis at 450 .deg. C, and annealing it at 770 .deg. C. The dielectric constant of the film was reduced as the film thickness decreased due to of the interfacial effects caused by layer/electrode and a few voids inside the multilayer. However, the dielectric property was independent of applied dc bias sweeps voltage (-2 to +2 V). The dielectric constant of bulk film, 31.9, estimated using series-connected capacitor model was independent of film thickness and frequency in the measurement range, but theoretical interfacial thickness, t sub i , was dependent on the frequency. It reached a saturated t sub i value, 6.9 A, at high frequency by extraction of some capacitance component formed at low frequency range. The dielectric constant of bulk ZrTiO sub 4 pellet-shaped material was 3...

  7. Effect of combined external uniaxial stress and dc bias on the dielectric property of BaTiO3-based dielectrics in multilayer ceramic capacitor: thermodynamics and experiments

    International Nuclear Information System (INIS)

    Yang Gang; Yue Zhenxing; Sun Tieyu; Gou Huanlin; Li Longtu

    2008-01-01

    The dielectric properties of (Nb, Y)-doped BaTiO 3 in a multilayer ceramic capacitor (MLCC) under combined external uniaxial compressive stress and dc bias field were investigated at room temperature by using a modified Ginsburg-Landau-Devonshire thermodynamic theory and the dielectric measurement. It is found that although dc bias decreases the dielectric properties dominantly, the influence of the external uniaixial compressive stress should not be neglected. When applied along a direction perpendicular to the internal electrode layer in the MLCC, the external uniaixal compressive stress will strengthen the negative effect of dc bias. In contrast, the external uniaxial compressive stress along a direction parallel to the internal electrode layer in the MLCC will increase the dielectric permittivity under dc bias field, i.e. improve the ε-V response of the MLCC. Furthermore, although there is a difference between the calculated permittivity and the measured permittivity, the effects of the combined external uniaxial compressive stress and dc bias field on the dielectric permittivity described through two approaches are in good agreement

  8. Synthesis of PbI(2) single-layered inorganic nanotubes encapsulated within carbon nanotubes.

    Science.gov (United States)

    Cabana, Laura; Ballesteros, Belén; Batista, Eudar; Magén, César; Arenal, Raúl; Oró-Solé, Judith; Rurali, Riccardo; Tobias, Gerard

    2014-04-02

    The template assisted growth of single-layered inorganic nanotubes is reported. Single-crystalline lead iodide single-layered nanotubes have been prepared using the inner cavities of carbon nanotubes as hosting templates. The diameter of the resulting inorganic nanotubes is merely dependent on the diameter of the host. This facile method is highly versatile opening up new horizons in the preparation of single-layered nanostructures. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Penetration of a Small Caliber Projectile into Single and Multi-layered Targets

    Directory of Open Access Journals (Sweden)

    Riad A.M.

    2010-06-01

    Full Text Available The normal penetration of armor-piercing projectiles into single and multi-layered steel plates has been investigated. An experimental program has been conducted to study the effect of spaced and in-contact layered targets on their ballistic resistance. Armor piercing projectiles with caliber of 7.62 mm were fired against a series of single and multi-layered steel targets. The projectile impact velocities were ranged from 300-600 m/s, whereas the total thicknesses of the tested single, spaced and in-contact layered steel targets were 3 mm. The penetration process of different tested target configurations has been simulated using Autodayn-2D hydrocode. The experimental measurements of the present work were used to discuss the effect of impact velocity, target configurations and number of layers of different spaced and in-contact layered steel targets on their ballistic resistance. In addition, the post-firing examination of the tested targets over the used impact velocity range showed that the single and each layer of spaced and in-contact laminated steel targets were failed by petalling. Finally, the obtained experimental measurements were compared with the corresponding numerical results of Autodyn-2D hydrocode, good agreement was generally obtained.

  10. Pseudo single crystal, direct-band-gap Ge{sub 0.89}Sn{sub 0.11} on amorphous dielectric layers towards monolithic 3D photonic integration

    Energy Technology Data Exchange (ETDEWEB)

    Li, Haofeng; Brouillet, Jeremy; Wang, Xiaoxin; Liu, Jifeng, E-mail: Jifeng.Liu@dartmouth.edu [Thayer School of Engineering, Dartmouth College, Hanover, New Hampshire 03755 (United States)

    2014-11-17

    We demonstrate pseudo single crystal, direct-band-gap Ge{sub 0.89}Sn{sub 0.11} crystallized on amorphous layers at <450 °C towards 3D Si photonic integration. We developed two approaches to seed the lateral single crystal growth: (1) utilize the Gibbs-Thomson eutectic temperature depression at the tip of an amorphous GeSn nanotaper for selective nucleation; (2) laser-induced nucleation at one end of a GeSn strip. Either way, the crystallized Ge{sub 0.89}Sn{sub 0.11} is dominated by a single grain >18 μm long that forms optoelectronically benign twin boundaries with others grains. These pseudo single crystal, direct-band-gap Ge{sub 0.89}Sn{sub 0.11} patterns are suitable for monolithic 3D integration of active photonic devices on Si.

  11. Synthesis, fabrication and characterization of magnetic and dielectric nanoparticles and nanocomposite films

    Science.gov (United States)

    Liu, Xiaohua

    Materials science is an interdisciplinary field investigating the structure-property relationship in solid-state materials scientifically and technologically. Nanoscience is concerned with the distinctive properties that matter exhibits when confined to physical dimensions on the order of 10-9 meters. At these length scales, behaviors of particles or elaborate structures are often governed by the rules of quantum mechanics in addition to the physical properties associated with the bulk material. The work reported here seeks to employ nanocystals, binary nanocomposites and thin films of materials, to build versatile, functional systems and devices. With a focus on dielectric, ferroelectric, and magnetoelectric performance, a series of materials has been synthesized and different types of nanocomposites have been built. Barium strontium titannate particles at various sizes was developed, aiming at high dielectric constant and low loss at high frequency range. Cobalt ferrite-polymer nanocomposite was fabricated with potential magnetoelectric coupling. Along with synthesis, advanced electron microscopies (TEM, SEM, STEM, EELS) at atomic resolution were employed to thoroughly investigate the crystallinity, morphology and composition. By means of spin-coating and printing techniques, single and multiple layered capacitors featuring improved dielectric performance (high k, low loss, high breakdown voltage, etc.) were developed through a) electrode deposition, b) dielectric layer deposition, and c) parylene evaporation. Such capacitors are further incorporated into electric power converters for LED lighting. Hopefully in the future we can make electronic devices more efficient, sustainable, smaller and cheaper. By advancing our knowledge of nanomaterials, especially those with potential of multifunction, energy efficiency and sustainability, we have strived to push the limits of synthesis, characterization, fabrication and property analysis of nanostructures towards new

  12. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  13. Nano-soldering to single atomic layer

    Science.gov (United States)

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  14. Frequency and Temperature Dependent Dielectric Properties of Free-standing Strontium Titanate Thin Films.

    Science.gov (United States)

    Dalberth, Mark J.; Stauber, Renaud E.; Anderson, Britt; Price, John C.; Rogers, Charles T.

    1998-03-01

    We will report on the frequency and temperature dependence of the complex dielectric function of free-standing strontium titanate (STO) films. STO is an incipient ferroelectric with electric-field tunable dielectric properties of utility in microwave electronics. The films are grown epitaxially via pulsed laser deposition on a variety of substrates, including lanthanum aluminate (LAO), neodymium gallate (NGO), and STO. An initial film of yttrium barium cuprate (YBCO) is grown on the substrate, followed by deposition of the STO layer. Following deposition, the sacrificial YBCO layer is chemically etched away in dilute nitric acid, leaving the substrate and a released, free-standing STO film. Coplanar capacitor structures fabricated on the released films allow us to measure the dielectric response. We observe a peak dielectric function in excess of 5000 at 35K, change in dielectric constant of over a factor of 8 for 10Volt/micron electric fields, and temperature dependence above 50K that is very similar to bulk material. The dielectric loss shows two peaks, each with a thermally activated behavior, apparently arising from two types of polar defects. We will discuss the correlation between dielectric properties, growth conditions, and strain in the free-standing STO films.

  15. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Bistable states of TM polarized non-linear waves guided by symmetric layered structures

    International Nuclear Information System (INIS)

    Mihalache, D.

    1985-04-01

    Dispersion relations for TM polarized non-linear waves propagating in a symmetric single film optical waveguide are derived. The system consists of a layer of thickness d with dielectric constant epsilon 1 bounded at two sides by a non-linear medium characterized by the diagonal dielectric tensor epsilon 11 =epsilon 22 =epsilon 0 , epsilon 33 =epsilon 0 +α|E 3 | 2 , where E 3 is the normal electric field component. For sufficiently large d/lambda (lambda is the wavelength) we predict bistable states of both symmetric and antisymmetric modes provided that the power flow is the control parameter. (author)

  17. Extraordinary refraction and self-collimation properties of multilayer metallic-dielectric stratified structures

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Liwei, E-mail: zlwhpu@hotmail.com [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Chen, Liang [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Zhang, Zhengren [School of Science, Chongqing Jiaotong University, Chongqing 400074 (China); Wang, Wusong [Guizhou Aerospace Institute of Measuring and Testing Technology, Guiyang 550009 (China); Zhao, Yuhuan; Song, Kechao; Kang, Chaoyang [School of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China)

    2015-01-15

    The extraordinary refraction with negative or zero refraction angle of the layered metamaterial consisting of alternating dielectric and plasmonic layers is theoretically studied. It is shown that the electromagnetic properties can be tuned by the filling factor, the permittivity of the dielectric layer and the plasma frequency of the metallic layer. At different frequency, the layered structures possess different refraction properties with positive, zero or negative refraction angle. By choosing appropriate parameters, positive-to-zero-to-negative-to positive refraction at the desired frequency can be realized. At the frequency with flat equal frequency contour, self-collimation and slow light properties are also found. Such properties can be used in the performance of negative refraction, subwavelength imaging and information propagation.

  18. Dielectric inspection of erythrocyte morphology

    International Nuclear Information System (INIS)

    Hayashi, Yoshihito; Oshige, Ikuya; Katsumoto, Yoichi; Omori, Shinji; Yasuda, Akio; Asami, Koji

    2008-01-01

    We performed a systematic study of the sensitivity of dielectric spectroscopy to erythrocyte morphology. Namely, rabbit erythrocytes of four different shapes were prepared by precisely controlling the pH of the suspending medium, and their complex permittivities over the frequency range from 0.1 to 110 MHz were measured and analyzed. Their quantitative analysis shows that the characteristic frequency and the broadening parameter of the dielectric relaxation of interfacial polarization are highly specific to the erythrocyte shape, while they are insensitive to the cell volume fraction. Therefore, these two dielectric parameters can be used to differentiate erythrocytes of different shapes, if dielectric spectroscopy is applied to flow-cytometric inspection of single blood cells. In addition, we revealed the applicability and limitations of the analytical theory of interfacial polarization to explain the experimental permittivities of non-spherical erythrocytes

  19. Dielectric inspection of erythrocyte morphology

    Energy Technology Data Exchange (ETDEWEB)

    Hayashi, Yoshihito; Oshige, Ikuya; Katsumoto, Yoichi; Omori, Shinji; Yasuda, Akio [Life Science Laboratory, Materials Laboratories, Sony Corporation, Sony Bioinformatics Center, Tokyo Medical and Dental University, Bunkyo-ku, Tokyo 113-8510 (Japan); Asami, Koji [Laboratory of Molecular Aggregation Analysis, Division of Multidisciplinary Chemistry, Institute for Chemical Research, Kyoto University, Uji, Kyoto 611-0011 (Japan)], E-mail: Yoshihito.Hayashi@jp.sony.com

    2008-05-21

    We performed a systematic study of the sensitivity of dielectric spectroscopy to erythrocyte morphology. Namely, rabbit erythrocytes of four different shapes were prepared by precisely controlling the pH of the suspending medium, and their complex permittivities over the frequency range from 0.1 to 110 MHz were measured and analyzed. Their quantitative analysis shows that the characteristic frequency and the broadening parameter of the dielectric relaxation of interfacial polarization are highly specific to the erythrocyte shape, while they are insensitive to the cell volume fraction. Therefore, these two dielectric parameters can be used to differentiate erythrocytes of different shapes, if dielectric spectroscopy is applied to flow-cytometric inspection of single blood cells. In addition, we revealed the applicability and limitations of the analytical theory of interfacial polarization to explain the experimental permittivities of non-spherical erythrocytes.

  20. Density functional theory study of bulk and single-layer magnetic semiconductor CrPS4

    Science.gov (United States)

    Zhuang, Houlong L.; Zhou, Jia

    2016-11-01

    Searching for two-dimensional (2D) materials with multifunctionality is one of the main goals of current research in 2D materials. Magnetism and semiconducting are certainly two desirable functional properties for a single 2D material. In line with this goal, here we report a density functional theory (DFT) study of bulk and single-layer magnetic semiconductor CrPS4. We find that the ground-state magnetic structure of bulk CrPS4 exhibits the A-type antiferromagnetic ordering, which transforms to ferromagnetic (FM) ordering in single-layer CrPS4. The calculated formation energy and phonon spectrum confirm the stability of single-layer CrPS4. The band gaps of FM single-layer CrPS4 calculated with a hybrid density functional are within the visible-light range. We also study the effects of FM ordering on the optical absorption spectra and band alignments for water splitting, indicating that single-layer CrPS4 could be a potential photocatalyst. Our work opens up ample opportunities of energy-related applications of single-layer CrPS4.

  1. Surface waves on metal-dielectric metamaterials

    DEFF Research Database (Denmark)

    Takayama, Osamu; Shkondin, Evgeniy; Panah, Mohammad Esmail Aryaee

    2016-01-01

    In this paper we analyze surface electromagnetic waves supported at an interface between an isotropic medium and an effective anisotropic material that can be realized by alternating conductive and dielectric layers with deep subwavelength thicknesses. This configuration can host various types...

  2. Single-layer and dual-layer contrast-enhanced mammography using amorphous selenium flat panel detectors

    Energy Technology Data Exchange (ETDEWEB)

    Allec, N; Abbaszadeh, S; Karim, K S, E-mail: nallec@uwaterloo.ca [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo N2L 3G1 (Canada)

    2011-09-21

    The accumulation of injected contrast agents allows the image enhancement of lesions through the use of contrast-enhanced mammography. In this technique, the combination of two acquired images is used to create an enhanced image. There exist several methods to acquire the images to be combined, which include dual energy subtraction using a single detection layer that suffers from motion artifacts due to patient motion between image acquisition. To mitigate motion artifacts, a detector composed of two layers may be used to simultaneously acquire the low and high energy images. In this work, we evaluate both of these methods using amorphous selenium as the detection material to find the system parameters (tube voltage, filtration, photoconductor thickness and relative intensity ratio) leading to the optimal performance. We then compare the performance of the two detectors under the variation of contrast agent concentration, tumor size and dose. The detectability was found to be most comparable at the lower end of the evaluated factors. The single-layer detector not only led to better contrast, due to its greater spectral separation capabilities, but also had lower quantum noise. The single-layer detector was found to have a greater detectability by a factor of 2.4 for a 2.5 mm radius tumor having a contrast agent concentration of 1.5 mg ml{sup -1} in a 4.5 cm thick 50% glandular breast. The inclusion of motion artifacts in the comparison is part of ongoing research efforts.

  3. Single-layer and dual-layer contrast-enhanced mammography using amorphous selenium flat panel detectors

    Science.gov (United States)

    Allec, N.; Abbaszadeh, S.; Karim, K. S.

    2011-09-01

    The accumulation of injected contrast agents allows the image enhancement of lesions through the use of contrast-enhanced mammography. In this technique, the combination of two acquired images is used to create an enhanced image. There exist several methods to acquire the images to be combined, which include dual energy subtraction using a single detection layer that suffers from motion artifacts due to patient motion between image acquisition. To mitigate motion artifacts, a detector composed of two layers may be used to simultaneously acquire the low and high energy images. In this work, we evaluate both of these methods using amorphous selenium as the detection material to find the system parameters (tube voltage, filtration, photoconductor thickness and relative intensity ratio) leading to the optimal performance. We then compare the performance of the two detectors under the variation of contrast agent concentration, tumor size and dose. The detectability was found to be most comparable at the lower end of the evaluated factors. The single-layer detector not only led to better contrast, due to its greater spectral separation capabilities, but also had lower quantum noise. The single-layer detector was found to have a greater detectability by a factor of 2.4 for a 2.5 mm radius tumor having a contrast agent concentration of 1.5 mg ml-1 in a 4.5 cm thick 50% glandular breast. The inclusion of motion artifacts in the comparison is part of ongoing research efforts.

  4. Finite element analysis and validation of dielectric elastomer actuators used for active origami

    International Nuclear Information System (INIS)

    McGough, Kevin; Ahmed, Saad; Frecker, Mary; Ounaies, Zoubeida

    2014-01-01

    The field of active origami explores the incorporation of active materials into origami-inspired structures in order to serve as a means of actuation. Active origami-inspired structures capable of folding into complex three-dimensional (3D) shapes have the potential to be lightweight and versatile compared to traditional methods of actuation. This paper details the finite element analysis and experimental validation of unimorph actuators. Actuators are fabricated by adhering layers of electroded dielectric elastomer (3M VHB F9473PC) onto a passive substrate layer (3M Magic Scotch Tape). Finite element analysis of the actuators simulates the electromechanical coupling of the dielectric elastomer under an applied voltage by applying pressures to the surfaces of the dielectric elastomer where the compliant electrode (conductive carbon grease) is present. 3D finite element analysis of the bending actuators shows that applying contact boundary conditions to the electroded region of the active and passive layers provides better agreement to experimental data compared to modeling the entire actuator as continuous. To improve the applicability of dielectric elastomer-based actuators for active origami-inspired structures, folding actuators are developed by taking advantage of localized deformation caused by a passive layer with non-uniform thickness. Two-dimensional analysis of the folding actuators shows that agreement to experimental data diminishes as localized deformation increases. Limitations of using pressures to approximate the electromechanical coupling of the dielectric elastomer under an applied electric field and additional modeling considerations are also discussed. (paper)

  5. Synthesis of Epitaxial Single-Layer MoS2 on Au(111).

    Science.gov (United States)

    Grønborg, Signe S; Ulstrup, Søren; Bianchi, Marco; Dendzik, Maciej; Sanders, Charlotte E; Lauritsen, Jeppe V; Hofmann, Philip; Miwa, Jill A

    2015-09-08

    We present a method for synthesizing large area epitaxial single-layer MoS2 on the Au(111) surface in ultrahigh vacuum. Using scanning tunneling microscopy and low energy electron diffraction, the evolution of the growth is followed from nanoscale single-layer MoS2 islands to a continuous MoS2 layer. An exceptionally good control over the MoS2 coverage is maintained using an approach based on cycles of Mo evaporation and sulfurization to first nucleate the MoS2 nanoislands and then gradually increase their size. During this growth process the native herringbone reconstruction of Au(111) is lifted as shown by low energy electron diffraction measurements. Within the MoS2 islands, we identify domains rotated by 60° that lead to atomically sharp line defects at domain boundaries. As the MoS2 coverage approaches the limit of a complete single layer, the formation of bilayer MoS2 islands is initiated. Angle-resolved photoemission spectroscopy measurements of both single and bilayer MoS2 samples show a dramatic change in their band structure around the center of the Brillouin zone. Brief exposure to air after removing the MoS2 layer from vacuum is not found to affect its quality.

  6. High mobility and low operating voltage ZnGaO and ZnGaLiO transistors with spin-coated Al2O3 as gate dielectric

    International Nuclear Information System (INIS)

    Xia, D X; Xu, J B

    2010-01-01

    Spin-coated alumina serving as a gate dielectric in thin film transistors shows interesting dielectric properties for low-voltage applications, despite a moderate capacitance. With Ga singly doped and Ga, Li co-doped ZnO as the active channel layers, typical mobilities of 4.7 cm 2 V -1 s -1 and 2.1 cm 2 V -1 s -1 are achieved, respectively. At a given gate bias, the operation current is much smaller than the previously reported values in low-voltage thin film transistors, primarily relying on the giant-capacitive dielectric. The reported devices combine advantages of high mobility, low power consumption, low cost and ease of fabrication. In addition to the transparent nature of both the dielectric and semiconducting active channels, the superior electrical properties of the devices may provide a new avenue for future transparent electronics. (fast track communication)

  7. Plasmon enhanced near-field radiative heat transfer for graphene covered dielectrics

    NARCIS (Netherlands)

    Svetovoy, Vitaly; van Zwol, P.J.; Chevrier, J.

    2012-01-01

    It is shown that a graphene layer on top of a dielectric slab can dramatically influence the ability of this dielectric for radiative heat exchange turning a poor heat emitter/absorber into a good one and vice versa. The effect of graphene is related to thermally excited plasmons. The frequency of

  8. Magnetic moment of single layer graphene rings

    Science.gov (United States)

    Margulis, V. A.; Karpunin, V. V.; Mironova, K. I.

    2018-01-01

    Magnetic moment of single layer graphene rings is investigated. An analytical expression for the magnetic moment as a function of the magnetic field flux through the one-dimensional quantum rings is obtained. This expression has the oscillation character. The oscillation period is equal to one flux quanta.

  9. Characteristics of powdered activated carbon treated with dielectric barrier discharge for electric double-layer capacitors

    International Nuclear Information System (INIS)

    Tashima, Daisuke; Yoshitama, Hiromu; Sakoda, Tatsuya; Okazaki, Akihito; Kawaji, Takayuki

    2012-01-01

    Highlights: ► The specific capacitance of the EDLCs could be improved by oxygen plasma treatment. ► 15 s treated EDLCs showed a 20% increase in capacitance relative to untreated EDLCs. ► The plasma treatment yields EDLCs that are suitable for high-energy applications. - Abstract: The electrochemical properties of electric double-layer capacitors (EDLCs) made with plasma-treated powdered activated carbon (treated using a dielectric barrier discharge) were examined using cyclic voltammetry (CV), Cole–Cole plots, and X-ray photoelectron spectroscopy (XPS). The dielectric barrier discharge method, which operates at atmospheric pressure, dramatically reduces the processing time and does not require vacuum equipment, making it a more practical alternative than low-pressure plasma treatment. The experimental data indicate that the specific capacitance of the EDLCs could be improved by oxygen plasma treatment. Capacitance of EDLCs made with activated carbon treated for 15 s showed 193.5 F/g that 20% increase in the specific capacitance relative to untreated EDLCs. This result indicates that the plasma treatment yields EDLCs that are suitable for high-energy applications. The enhancement of capacitance was mainly attributed to an increase in the BET surface area of the activated carbon and the creation of carboxyl groups on the surface of the carbon. The carboxyl groups induced oxidation–reduction reactions in the presence of O 2 which was included in the operation gas. In addition, the carboxyl groups improved the penetration of the electrolyte solution into the carbon electrodes.

  10. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    Science.gov (United States)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  11. Structural and interfacial defects in c-axis oriented LiNbO3 thin films grown by pulsed laser deposition on Si using Al : ZnO conducting layer

    Science.gov (United States)

    Shandilya, Swati; Tomar, Monika; Sreenivas, K.; Gupta, Vinay

    2009-05-01

    Highly c-axis oriented LiNbO3 films are deposited using pulsed laser deposition on a silicon substrate using a transparent conducting Al doped ZnO layer. X-ray diffraction and Raman spectroscopic analysis show the fabrication of single phase and oriented LiNbO3 films under the optimized deposition condition. An extra peak at 905 cm-1 was observed in the Raman spectra of LiNbO3 film deposited at higher substrate temperature and higher oxygen pressure, and attributed to the presence of niobium antisite defects in the lattice. Dielectric constant and ac conductivity of oriented LiNbO3 films deposited under the static and rotating substrate modes have been studied. Films deposited under the rotating substrate mode exhibit dielectric properties close to the LiNbO3 single crystal. The cause of deviation in the dielectric properties of the film deposited under the static substrate mode, in comparison with the bulk, are discussed in the light of the possible formation of an interdiffusion layer at the interface of the LiNbO3 film and the Al : ZnO layer.

  12. Structural and interfacial defects in c-axis oriented LiNbO3 thin films grown by pulsed laser deposition on Si using Al : ZnO conducting layer

    International Nuclear Information System (INIS)

    Shandilya, Swati; Sreenivas, K; Gupta, Vinay; Tomar, Monika

    2009-01-01

    Highly c-axis oriented LiNbO 3 films are deposited using pulsed laser deposition on a silicon substrate using a transparent conducting Al doped ZnO layer. X-ray diffraction and Raman spectroscopic analysis show the fabrication of single phase and oriented LiNbO 3 films under the optimized deposition condition. An extra peak at 905 cm -1 was observed in the Raman spectra of LiNbO 3 film deposited at higher substrate temperature and higher oxygen pressure, and attributed to the presence of niobium antisite defects in the lattice. Dielectric constant and ac conductivity of oriented LiNbO 3 films deposited under the static and rotating substrate modes have been studied. Films deposited under the rotating substrate mode exhibit dielectric properties close to the LiNbO 3 single crystal. The cause of deviation in the dielectric properties of the film deposited under the static substrate mode, in comparison with the bulk, are discussed in the light of the possible formation of an interdiffusion layer at the interface of the LiNbO 3 film and the Al : ZnO layer.

  13. Synthesis and study of the optical properties of dielectric Bragg reflectors infiltrated with 6G-Rhodamine

    International Nuclear Information System (INIS)

    Gómez-Barojas, E; Aca-López, V; Luna-López, J A; Sánchez-Mora, E; Silva-González, R

    2014-01-01

    We report the study of the optical properties of 6G-Rhodamine (Rhd) infiltrated porous silicon dielectric Bragg reflectors (DBRs) with 31 constituent periods. The DBRs were obtained by an electrochemical anodizing process of Si in a two electrodes Teflon cell. The porosity was determined by gravimetric measurements on single Porous silicon (PSi) layers. Based on the characterization results of single layers the DBRs were synthesized. After anodizing, the DBRs were silanized with a 3-mercaptopropyltrimethoxysilane solution and functionalized with Rhd solutions at different concentrations. Cross section scanning electron micrographs show that the DBRs synthesis was successful. After each preparation step, Reflectance and Fluorescence (FL) spectra were recorded. These spectra show that as the Rhd concentration in solution is increased the stop band intensity as well as the FL intensity are enhanced due to constructive interference effects

  14. Unusual reflection of electromagnetic radiation from a stack of graphene layers at oblique incidence

    International Nuclear Information System (INIS)

    Bludov, Yu V; Peres, N M R; Vasilevskiy, M I

    2013-01-01

    We study the interaction of electromagnetic (EM) radiation with single-layer graphene and a stack of parallel graphene sheets at arbitrary angles of incidence. It is found that the behavior is qualitatively different for transverse magnetic (or p-polarized) and transverse electric (or s-polarized) waves. In particular, the absorbance of single-layer graphene attains a minimum (maximum) for the p (s)-polarization at the angle of total internal reflection when the light comes from a medium with a higher dielectric constant. In the case of equal dielectric constants of the media above and beneath graphene, for grazing incidence graphene is almost 100% transparent to p-polarized waves and acts as a tunable mirror for the s-polarization. These effects are enhanced for a stack of graphene sheets, so the system can work as a broad band polarizer. It is shown further that a periodic stack of graphene layers has the properties of a one-dimensional photonic crystal, with gaps (or stop bands) at certain frequencies. When an incident EM wave is reflected from this photonic crystal, the tunability of the graphene conductivity renders the possibility of controlling the gaps, and the structure can operate as a tunable spectral-selective mirror. (paper)

  15. Learning rate and attractor size of the single-layer perceptron

    International Nuclear Information System (INIS)

    Singleton, Martin S.; Huebler, Alfred W.

    2007-01-01

    We study the simplest possible order one single-layer perceptron with two inputs, using the delta rule with online learning, in order to derive closed form expressions for the mean convergence rates. We investigate the rate of convergence in weight space of the weight vectors corresponding to each of the 14 out of 16 linearly separable rules. These vectors follow zigzagging lines through the piecewise constant vector field to their respective attractors. Based on our studies, we conclude that a single-layer perceptron with N inputs will converge in an average number of steps given by an Nth order polynomial in (t/l), where t is the threshold, and l is the size of the initial weight distribution. Exact values for these averages are provided for the five linearly separable classes with N=2. We also demonstrate that the learning rate is determined by the attractor size, and that the attractors of a single-layer perceptron with N inputs partition R N +R N

  16. Schottky junctions on perovskite single crystals: light-modulated dielectric constant and self-biased photodetection

    KAUST Repository

    Shaikh, Parvez Abdul Ajij

    2016-08-16

    Schottky junctions formed between semiconductors and metal contacts are ubiquitous in modern electronic and optoelectronic devices. Here we report on the physical properties of Schottky-junctions formed on hybrid perovskite CH3NH3PbBr3 single crystals. It is found that light illumination can significantly increase the dielectric constant of perovskite junctions by 2300%. Furthermore, such Pt/perovskite junctions are used to fabricate self-biased photodetectors. A photodetectivity of 1.4 × 1010 Jones is obtained at zero bias, which increases to 7.1 × 1011 Jones at a bias of +3 V, and the photodetectivity remains almost constant in a wide range of light intensity. These devices also exhibit fast responses with a rising time of 70 μs and a falling time of 150 μs. As a result of the high crystal quality and low defect density, such single-crystal photodetectors show stable performance after storage in air for over 45 days. Our results suggest that hybrid perovskite single crystals provide a new platform to develop promising optoelectronic applications. © 2016 The Royal Society of Chemistry.

  17. New calibration algorithms for dielectric-based microwave moisture sensors

    Science.gov (United States)

    New calibration algorithms for determining moisture content in granular and particulate materials from measurement of the dielectric properties at a single microwave frequency are proposed. The algorithms are based on identifying empirically correlations between the dielectric properties and the par...

  18. Thermal vibration of a rectangular single-layered graphene sheet with quantum effects

    International Nuclear Information System (INIS)

    Wang, Lifeng; Hu, Haiyan

    2014-01-01

    The thermal vibration of a rectangular single-layered graphene sheet is investigated by using a rectangular nonlocal elastic plate model with quantum effects taken into account when the law of energy equipartition is unreliable. The relation between the temperature and the Root of Mean Squared (RMS) amplitude of vibration at any point of the rectangular single-layered graphene sheet in simply supported case is derived first from the rectangular nonlocal elastic plate model with the strain gradient of the second order taken into consideration so as to characterize the effect of microstructure of the graphene sheet. Then, the RMS amplitude of thermal vibration of a rectangular single-layered graphene sheet simply supported on an elastic foundation is derived. The study shows that the RMS amplitude of the rectangular single-layered graphene sheet predicted from the quantum theory is lower than that predicted from the law of energy equipartition. The maximal relative difference of RMS amplitude of thermal vibration appears at the sheet corners. The microstructure of the graphene sheet has a little effect on the thermal vibrations of lower modes, but exhibits an obvious effect on the thermal vibrations of higher modes. The quantum effect is more important for the thermal vibration of higher modes in the case of smaller sides and lower temperature. The relative difference of maximal RMS amplitude of thermal vibration of a rectangular single-layered graphene sheet decreases monotonically with an increase of temperature. The absolute difference of maximal RMS amplitude of thermal vibration of a rectangular single-layered graphene sheet increases slowly with the rising of Winkler foundation modulus.

  19. Electrical properties and radiation hardness of SOI systems with multilayer buried dielectric

    International Nuclear Information System (INIS)

    Barchuk, I.P.; Kilchitskaya, V.I.; Lysenko, V.S.

    1997-01-01

    In this work SOI structures with buried SiO 2 -Si 3 N 4 -SiO 2 layers have been fabricated by the ZMR-technique with the aim of improving the total dose radiation hardness of the buried dielectric layer. To optimize the fabrication process, buried layers were investigated by secondary ion mass spectrometry before and after the ZMR process, and the obtained results were compared with electrical measurements. It is shown that optimization of the preparation processes of the initial buried dielectric layers provides ZMR SOI structures with multilayer buried isolation, which are of high quality for both Si film interfaces. Particular attention is paid to the investigation of radiation-induced charge trapping in buried insulators. Buried isolation structures with a nitride layer exhibit significant reduction of radiation-induced positive charge as compared to classical buried SiO 2 layers produced by either the ZMR or the SIMOX technique

  20. A model for the scattering of high-frequency electromagnetic fields from dielectrics exhibiting thermally-activated electrical losses

    Science.gov (United States)

    Hann, Raiford E.

    1991-01-01

    An equivalent circuit model (ECM) approach is used to predict the scattering behavior of temperature-activated, electrically lossy dielectric layers. The total electrical response of the dielectric (relaxation + conductive) is given by the ECM and used in combination with transmission line theory to compute reflectance spectra for a Dallenbach layer configuration. The effects of thermally-activated relaxation processes on the scattering properties is discussed. Also, the effect of relaxation and conduction activation energy on the electrical properties of the dielectric is described.

  1. Structural and interfacial defects in c-axis oriented LiNbO{sub 3} thin films grown by pulsed laser deposition on Si using Al : ZnO conducting layer

    Energy Technology Data Exchange (ETDEWEB)

    Shandilya, Swati; Sreenivas, K; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Tomar, Monika [Miranda House, University of Delhi, Delhi 110007 (India)

    2009-05-07

    Highly c-axis oriented LiNbO{sub 3} films are deposited using pulsed laser deposition on a silicon substrate using a transparent conducting Al doped ZnO layer. X-ray diffraction and Raman spectroscopic analysis show the fabrication of single phase and oriented LiNbO{sub 3} films under the optimized deposition condition. An extra peak at 905 cm{sup -1} was observed in the Raman spectra of LiNbO{sub 3} film deposited at higher substrate temperature and higher oxygen pressure, and attributed to the presence of niobium antisite defects in the lattice. Dielectric constant and ac conductivity of oriented LiNbO{sub 3} films deposited under the static and rotating substrate modes have been studied. Films deposited under the rotating substrate mode exhibit dielectric properties close to the LiNbO{sub 3} single crystal. The cause of deviation in the dielectric properties of the film deposited under the static substrate mode, in comparison with the bulk, are discussed in the light of the possible formation of an interdiffusion layer at the interface of the LiNbO{sub 3} film and the Al : ZnO layer.

  2. Analysis of transmittance properties in 1D hybrid dielectric photonic crystal containing superconducting thin films

    Science.gov (United States)

    Soltani, Osswa; Zaghdoudi, Jihene; Kanzari, Mounir

    2018-06-01

    By means of two fluid model and transfer matrix method (TMM), we investigate theoretically the transmittance properties of a defective hybrid dielectric-dielectric photonic crystal that contains a superconducting material as a defect layer. The considered hybrid photonic structure is: H(LH) 7(HLSLH) P H(LH) 7 , where H is the high refractive index dielectric, L is the low refractive index dielectric, S is the superconducting material and P is the repetitive number. The results show that the variation of the number and the positions of the transmissions modes depend strongly on the repetitive number P, the temperature T and the thickness of the layer S. An improvement of the spectral response is obtained with the exponential gradation of layer thicknesses dj =d0 + βejα , where d0 is the initial thickness of the layer j, α and β are two particular constants for each material. In addition, the effect of the incident angle for both transverse electric (TE) and transverse magnetic (TM) polarizations on the transmittance spectrum is discussed. As a result, we propose a tunable narrow stop-band polychromatic filter that covers the visible wavelength.

  3. Experimental Characterization of Dielectric Properties in Fluid Saturated Artificial Shales

    Directory of Open Access Journals (Sweden)

    Roman Beloborodov

    2017-01-01

    Full Text Available High dielectric contrast between water and hydrocarbons provides a useful method for distinguishing between producible layers of reservoir rocks and surrounding media. Dielectric response at high frequencies is related to the moisture content of rocks. Correlations between the dielectric permittivity and specific surface area can be used for the estimation of elastic and geomechanical properties of rocks. Knowledge of dielectric loss-factor and relaxation frequency in shales is critical for the design of techniques for effective hydrocarbon extraction and production from unconventional reservoirs. Although applicability of dielectric measurements is intriguing, the data interpretation is very challenging due to many factors influencing the dielectric response. For instance, dielectric permittivity is determined by mineralogical composition of solid fraction, volumetric content and composition of saturating fluid, rock microstructure and geometrical features of its solid components and pore space, temperature, and pressure. In this experimental study, we investigate the frequency dependent dielectric properties of artificial shale rocks prepared from silt-clay mixtures via mechanical compaction. Samples are prepared with various clay contents and pore fluids of different salinity and cation compositions. Measurements of dielectric properties are conducted in two orientations to investigate the dielectric anisotropy as the samples acquire strongly oriented microstructures during the compaction process.

  4. Dielectric-wall linear accelerator with a high voltage fast rise time switch that includes a pair of electrodes between which are laminated alternating layers of isolated conductors and insulators

    Science.gov (United States)

    Caporaso, George J.; Sampayan, Stephen E.; Kirbie, Hugh C.

    1998-01-01

    A dielectric-wall linear accelerator is improved by a high-voltage, fast rise-time switch that includes a pair of electrodes between which are laminated alternating layers of isolated conductors and insulators. A high voltage is placed between the electrodes sufficient to stress the voltage breakdown of the insulator on command. A light trigger, such as a laser, is focused along at least one line along the edge surface of the laminated alternating layers of isolated conductors and insulators extending between the electrodes. The laser is energized to initiate a surface breakdown by a fluence of photons, thus causing the electrical switch to close very promptly. Such insulators and lasers are incorporated in a dielectric wall linear accelerator with Blumlein modules, and phasing is controlled by adjusting the length of fiber optic cables that carry the laser light to the insulator surface.

  5. Stress effects of the inter-level dielectric layer on the ferroelectric performance of integrated SrBi2Ta2O9 capacitors

    International Nuclear Information System (INIS)

    Hong, Suk-Kyoung; Yang, B.; Oh, Sang Hyun; Kang, Young Min; Kang, Nam Soo; Hwang, Cheol Seong; Kwon, Oh Seong

    2001-01-01

    The thermal stress effects of the inter-level dielectric (ILD) layer on the ferroelectric performance of integrated Pt/SrBi 2 Ta 2 O 9 (SBT)/Pt capacitors were investigated. Two different thin film materials, pure SiO 2 grown at 650 degree C and B- and P-doped SiO 2 grown at 400 degree C by chemical vapor deposition techniques, were tested as an ILD layer. The ILD layer encapsulated the SBT capacitor array. During high temperature thermal cycling (up to 800 degree C) after ILD deposition, which is used for both densifying the ILD and curing of the various damage imposed on the SBT capacitors, a large thermal stress occurred in the bottom Pt layer due to the thermal expansion mismatch between the various layers. In particular, the pure SiO 2 ILD layer between the capacitors did not allow thermal expansion of the Pt layers, which led to a large accumulation of compressive stress in the layer. This resulted in hillock formation in the bottom Pt layer and eventual capacitor failure. However, the B- and P-doped SiO 2 ILD layer contracted during thermal cycling by removing residual impurities, which allowed greater expansion of the Pt layer. Therefore, compressive stress accumulation did not occur and excellent ferroelectric properties were thus obtained from the integrated capacitor array. [copyright] 2001 American Institute of Physics

  6. Structure and performance of dielectric films based on self-assembled nanocrystals with a high dielectric constant.

    Science.gov (United States)

    Huang, Limin; Liu, Shuangyi; Van Tassell, Barry J; Liu, Xiaohua; Byro, Andrew; Zhang, Henan; Leland, Eli S; Akins, Daniel L; Steingart, Daniel A; Li, Jackie; O'Brien, Stephen

    2013-10-18

    Self-assembled films built from nanoparticles with a high dielectric constant are attractive as a foundation for new dielectric media with increased efficiency and range of operation, due to the ability to exploit nanofabrication techniques and emergent electrical properties originating from the nanoscale. However, because the building block is a discrete one-dimensional unit, it becomes a challenge to capture potential enhancements in dielectric performance in two or three dimensions, frequently due to surface effects or the presence of discontinuities. This is a recurring theme in nanoparticle film technology when applied to the realm of thin film semiconductor and device electronics. We present the use of chemically synthesized (Ba,Sr)TiO3 nanocrystals, and a novel deposition-polymerization technique, as a means to fabricate the dielectric layer. The effective dielectric constant of the film is tunable according to nanoparticle size, and effective film dielectric constants of up to 34 are enabled. Wide area and multilayer dielectrics of up to 8 cm(2) and 190 nF are reported, for which the building block is an 8 nm nanocrystal. We describe models for assessing dielectric performance, and distinct methods for improving the dielectric constant of a nanocrystal thin film. The approach relies on evaporatively driven assembly of perovskite nanocrystals with uniform size distributions in a tunable 7-30 nm size range, coupled with the use of low molecular weight monomer/polymer precursor chemistry that can infiltrate the porous nanocrystal thin film network post assembly. The intercrystal void space (low k dielectric volume fraction) is minimized, while simultaneously promoting intercrystal connectivity and maximizing volume fraction of the high k dielectric component. Furfuryl alcohol, which has good affinity to the surface of (Ba,Sr)TiO3 nanocrystals and miscibility with a range of solvents, is demonstrated to be ideal for the production of nanocomposites. The

  7. Numerical investigation of dielectric barrier discharges

    Science.gov (United States)

    Li, Jing

    1997-12-01

    A dielectric barrier discharge (DBD) is a transient discharge occurring between two electrodes in coaxial or planar arrangements separated by one or two layers of dielectric material. The charge accumulated on the dielectric barrier generates a field in a direction opposite to the applied field. The discharge is quenched before an arc is formed. It is one of the few non-thermal discharges that operates at atmospheric pressure and has the potential for use in pollution control. In this work, a numerical model of the dielectric barrier discharge is developed, along with the numerical approach. Adaptive grids based on the charge distribution is used. A self-consistent method is used to solve for the electric field and charge densities. The Successive Overrelaxation (SOR) method in a non-uniform grid spacing is used to solve the Poisson's equation in the cylindrically-symmetric coordinate. The Flux Corrected Transport (FCT) method is modified to solve the continuity equations in the non-uniform grid spacing. Parametric studies of dielectric barrier discharges are conducted. General characteristics of dielectric barrier discharges in both anode-directed and cathode-directed streamer are studied. Effects of the dielectric capacitance, the applied field, the resistance in external circuit and the type of gases (O2, air, N2) are investigated. We conclude that the SOR method in an adaptive grid spacing for the solution of the Poisson's equation in the cylindrically-symmetric coordinate is convergent and effective. The dielectric capacitance has little effect on the g-factor of radical production, but it determines the strength of the dielectric barrier discharge. The applied field and the type of gases used have a significant role on the current peak, current pulse duration and radical generation efficiency, discharge strength, and microstreamer radius, whereas the external series resistance has very little effect on the streamer properties. The results are helpful in

  8. Stimulated light emission in a dielectrically disordered composite porous matrix

    Science.gov (United States)

    Gross, E.; Künzner, N.; Diener, J.; Fujii, Minoru; Timoshenko, V. Yu.; Kovalev, D.

    2005-06-01

    We report on a medium exhibiting extremely efficient light scattering properties: a liquid network formed in a porous matrix. Liquid fragments confined in the solid matrix result in a random fluctuation of the dielectric function and act as scattering objects for photons. The optical scattering efficiency is defined by the filling factor of the liquid in the pores and its dielectric constant. The spectral dependence of the scattering length of photons indicates that the phenomenon is governed by a Mie-type scattering mechanism. The degree of the dielectric disorder of the medium, i.e. the level of opacity is tunable by the ambient vapor pressure of the dielectric substance. In the strongest scattering regime the scattering length of photons is found to be in the micrometer range. By incorporation of dye molecules in the voids of the porous layer a system exhibiting optical gain is realized. In the multiple scattering regime the optical path of diffusively propagating photons is enhanced and light amplification through stimulated emission occurs: a strong intensity enhancement of the dye emission accompanied by significant spectral narrowing is observed above the excitation threshold for a layer being in the opalescence state.

  9. Magnetic and dielectric properties of Fe3BO6 nanoplates prepared through self-combustion method

    Directory of Open Access Journals (Sweden)

    Kalpana Kumari

    2017-12-01

    Full Text Available In the present investigation, a facile synthesis method is explored involving a self-combustion of a solid precursor mixture of iron oxide Fe2O3 and boric acid (H3BO3 using camphor (C10H16O as fuel in ambient air in order to form a single phase Fe3BO6 crystallites. X-ray diffraction (XRD, Field emission electron microscopy (FESEM, magnetic, and dielectric properties of as prepared sample are studied. From XRD pattern, a single phase compound is observed with an orthorhombic crystal structure (Pnma space group, with average crystallite size of 42nm. A reasonably uniform size distribution of the plates and self-assemblies is retained in the sample. A magnetic transition is observed in dielectric permittivity (at ∼445K and power loss (at ∼435K when plotted against temperature. A weak peak occurs near 330K due to the charge reordering in the sample. For temperatures above the transition temperature, a sharp increase of the dielectric loss is observed which occurs due to the presence of thermally activated charge carriers. A canted antiferromagnetic Fe3+ ordering in a Fe3BO6 lattice with a localized charge surface layer is an apparent source of exhibiting a ferroelectric feature in this unique example of a centrosymmetric compound. An induced spin current over the Fe sites thus could give rise to a polarization hysteresis loop. Due to the presence of both ferromagnetic as well as polarization ordering, Fe3BO6 behaves like a single phase multiferroic ceramics.

  10. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  11. High voltage switches having one or more floating conductor layers

    Science.gov (United States)

    Werne, Roger W.; Sampayan, Stephen; Harris, John Richardson

    2015-11-24

    This patent document discloses high voltage switches that include one or more electrically floating conductor layers that are isolated from one another in the dielectric medium between the top and bottom switch electrodes. The presence of the one or more electrically floating conductor layers between the top and bottom switch electrodes allow the dielectric medium between the top and bottom switch electrodes to exhibit a higher breakdown voltage than the breakdown voltage when the one or more electrically floating conductor layers are not present between the top and bottom switch electrodes. This increased breakdown voltage in the presence of one or more electrically floating conductor layers in a dielectric medium enables the switch to supply a higher voltage for various high voltage circuits and electric systems.

  12. Novel dielectric reduces corona breakdown in ac capacitors

    Science.gov (United States)

    Loehner, J. L.

    1972-01-01

    Dielectric system was developed which consists of two layers of 25-gage paper separated by one layer of 50-gage polypropylene to reduce corona breakdown in ac capacitors. System can be used in any alternating current application where constant voltage does not exceed 400 V rms. With a little research it could probably be increased to 700 to 800 V rms.

  13. A COMPARATIVE STUDY OF SINGLE VERSUS DOUBLE LAYER CLOSURE ON LOWER SEGMENT CAESAREAN SCAR

    Directory of Open Access Journals (Sweden)

    Kirtirekha Mohapatra

    2016-10-01

    Full Text Available BACKGROUND There are few issues in modern obstetrics that have been as controversial as management of a woman with a prior caesarean delivery. Hence, it is required to have evidence based correct practice of this surgical procedure. Healing of the uterine incision and the strength of the scar should be the most important consideration. The aim of the study is to compare the effect of technique of uterine closure (Single Layer vs. Double Layer on subsequent pregnancies and to find out, which technique has a better maternal and neonatal outcome by strengthening the scar. MATERIALS AND METHODS 500 cases of previous caesarean section pregnancies were taken, 250 from single layer closure group and 250 from double layer closure group. The mode of delivery during present pregnancy was noted. Integrity of scar, thickness of scar, presence of adhesion were documented. The neonates were observed. Results were compared so as to draw an inference about the better method. RESULTS Mean age between the two groups were similar. Majority did not have history of premature rupture of membrane during previous pregnancy. Postoperative complications were more when double layer closure of uterine scar was done in index surgery. Interpregnancy gap of <3 years was more commonly present in double layer closure group (52.8% in double layer versus 34.8% in single layer. Single layer had more scar tenderness (21.2%, thinned out scars (34.6%, incomplete ruptures (7.1% and complete ruptures (2.8% than double layer closure group. Neonatal outcomes were not statistically different in both the groups. CONCLUSION Double layer uterine closure seems to have better impact on scar integrity as compared to single layer uterine closure.

  14. Comparison of single and dual layer detector blocks for pre-clinical MRI–PET

    International Nuclear Information System (INIS)

    Thompson, Christopher; Stortz, Greg; Goertzen, Andrew; Berg, Eric; Retière, Fabrice; Kozlowski, Piotr; Ryner, Lawrence; Sossi, Vesna; Zhang, Xuezhu

    2013-01-01

    Dual or multi-layer crystal blocks have been proposed to minimise the radial blurring effect in PET scanners with small ring diameters. We measured two potential PET detector blocks' performance in a configuration which would allow 16 blocks in a ring which could be inserted in a small animal 7T MRI scanner. Two crystal sizes, 1.60×1.60 mm 2 and 1.20×1.20 mm 2 , were investigated. Single layer blocks had 10 or 12 mm deep crystals, the dual layer blocks had 4 mm deep crystals on the top layer and 6 mm deep crystals on the bottom layer. The crystals in the dual layer blocks are offset by ½ of the crystal pitch to allow for purely geometric crystal identification. Both were read out with SensL 4×4 SiPM arrays. The software identifies 64 crystals in the single layer and either 85 or 113 crystals in the dual layer array, (either 49 or 64 in the lower layers and 36 or 49 in the upper layers). All the crystals were clearly visible in the crystal identification images and their resolvability indexes (average FWHM/crystal separation) were shown to range from 0.29 for the best single layer block to 0.33 for the densest dual layer block. The best coincidence response FWHM was 0.95 mm for the densest block at the centre of the field. This degraded to 1.83 mm at a simulated radial offset of 16 mm from the centre, while the single layer crystals blurred this result to 3.4 mm. The energy resolution was 16.4±2.2% averaged over the 113 crystals of the densest block

  15. Influence of calcination temperature on sol-gel synthesized single-phase bismuth titanate for high dielectric capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Thiruramanathan, Pandirengan; Marikani, Arumugam [Mepco Schlenk Engineering College, Tamil Nadu (India). Dept. of Physics; Madhavan, Durairaj [Mepco Schlenk Engineering College, Tamil Nadu (India). Dept. of Chemistry; Bharadwaj, Suresh; Awasthi, Anand Mohan [UGC-DAE Consortium for Scientific Research, Indore (India). Thermodynamics Lab.

    2016-05-15

    An inexpensive sol-gel combustion method using citric acid as fuel has been used to synthesize bismuth titanate, Bi{sub 4}Ti{sub 3}O{sub 12} nanopowders. Thermogravimetric analysis proved that a calcination temperature of 900 C is sufficient for the preparation of single-phase bismuth titanate. X-ray diffraction and Fourier transform infrared spectroscopy are used to examine the influence of calcination temperature on the structural growth of the Bi{sub 4}Ti{sub 3}O{sub 12} nanopowder. The average crystallite size estimated by using the Scherrer method and the Williamson-Hall method was found to increase with calcination temperature. Photoluminescence behavior as a function of calcination temperature was observed at two different excitation wavelengths of 300 nm and 420 nm. The morphology of the particles analyzed using images obtained from field emission scanning electron microscopy displayed irregular, random sized, and spherical-shaped structures. The stoichiometry and purity of the nanopowder are confirmed by energy-dispersive spectroscopy. The broadband dielectric results established the highest dielectric constant (ε{sub r} = 450) for a frequency of 100 Hz achieved with a potential capacitance of 138 pF m{sup -2}. This establishes Bi{sub 4}Ti{sub 3}O{sub 12} as a promising dielectric material for achieving high energy density capacitors for the next-generation passive devices.

  16. Single-layer MoS2 electronics.

    Science.gov (United States)

    Lembke, Dominik; Bertolazzi, Simone; Kis, Andras

    2015-01-20

    CONSPECTUS: Atomic crystals of two-dimensional materials consisting of single sheets extracted from layered materials are gaining increasing attention. The most well-known material from this group is graphene, a single layer of graphite that can be extracted from the bulk material or grown on a suitable substrate. Its discovery has given rise to intense research effort culminating in the 2010 Nobel Prize in physics awarded to Andre Geim and Konstantin Novoselov. Graphene however represents only the proverbial tip of the iceberg, and increasing attention of researchers is now turning towards the veritable zoo of so-called "other 2D materials". They have properties complementary to graphene, which in its pristine form lacks a bandgap: MoS2, for example, is a semiconductor, while NbSe2 is a superconductor. They could hold the key to important practical applications and new scientific discoveries in the two-dimensional limit. This family of materials has been studied since the 1960s, but most of the research focused on their tribological applications: MoS2 is best known today as a high-performance dry lubricant for ultrahigh-vacuum applications and in car engines. The realization that single layers of MoS2 and related materials could also be used in functional electronic devices where they could offer advantages compared with silicon or graphene created a renewed interest in these materials. MoS2 is currently gaining the most attention because the material is easily available in the form of a mineral, molybdenite, but other 2D transition metal dichalcogenide (TMD) semiconductors are expected to have qualitatively similar properties. In this Account, we describe recent progress in the area of single-layer MoS2-based devices for electronic circuits. We will start with MoS2 transistors, which showed for the first time that devices based on MoS2 and related TMDs could have electrical properties on the same level as other, more established semiconducting materials. This

  17. Low-Temperature Solution-Processed Gate Dielectrics for High-Performance Organic Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Jaekyun Kim

    2015-10-01

    Full Text Available A low-temperature solution-processed high-k gate dielectric layer for use in a high-performance solution-processed semiconducting polymer organic thin-film transistor (OTFT was demonstrated. Photochemical activation of sol-gel-derived AlOx films under 150 °C permitted the formation of a dense film with low leakage and relatively high dielectric-permittivity characteristics, which are almost comparable to the results yielded by the conventionally used vacuum deposition and high temperature annealing method. Octadecylphosphonic acid (ODPA self-assembled monolayer (SAM treatment of the AlOx was employed in order to realize high-performance (>0.4 cm2/Vs saturation mobility and low-operation-voltage (<5 V diketopyrrolopyrrole (DPP-based OTFTs on an ultra-thin polyimide film (3-μm thick. Thus, low-temperature photochemically-annealed solution-processed AlOx film with SAM layer is an attractive candidate as a dielectric-layer for use in high-performance organic TFTs operated at low voltages.

  18. Sub-surface laser nanostructuring in stratified metal/dielectric media: a versatile platform towards flexible, durable and large-scale plasmonic writing

    International Nuclear Information System (INIS)

    Siozios, A; Bellas, D V; Lidorikis, E; Patsalas, P; Kalfagiannis, N; Cranton, W M; Koutsogeorgis, D C; Bazioti, C; Dimitrakopulos, G P; Vourlias, G

    2015-01-01

    Laser nanostructuring of pure ultrathin metal layers or ceramic/metal composite thin films has emerged as a promising route for the fabrication of plasmonic patterns with applications in information storage, cryptography, and security tagging. However, the environmental sensitivity of pure Ag layers and the complexity of ceramic/metal composite film growth hinder the implementation of this technology to large-scale production, as well as its combination with flexible substrates. In the present work we investigate an alternative pathway, namely, starting from non-plasmonic multilayer metal/dielectric layers, whose growth is compatible with large scale production such as in-line sputtering and roll-to-roll deposition, which are then transformed into plasmonic templates by single-shot UV-laser annealing (LA). This entirely cold, large-scale process leads to a subsurface nanoconstruction involving plasmonic Ag nanoparticles (NPs) embedded in a hard and inert dielectric matrix on top of both rigid and flexible substrates. The subsurface encapsulation of Ag NPs provides durability and long-term stability, while the cold character of LA suits the use of sensitive flexible substrates. The morphology of the final composite film depends primarily on the nanocrystalline character of the dielectric host and its thermal conductivity. We demonstrate the emergence of a localized surface plasmon resonance, and its tunability depending on the applied fluence and environmental pressure. The results are well explained by theoretical photothermal modeling. Overall, our findings qualify the proposed process as an excellent candidate for versatile, large-scale optical encoding applications. (paper)

  19. Selective layer disordering in III-nitrides with a capping layer

    Science.gov (United States)

    Wierer, Jr., Jonathan J.; Allerman, Andrew A.

    2016-06-14

    Selective layer disordering in a doped III-nitride superlattice can be achieved by depositing a dielectric capping layer on a portion of the surface of the superlattice and annealing the superlattice to induce disorder of the layer interfaces under the uncapped portion and suppress disorder of the interfaces under the capped portion. The method can be used to create devices, such as optical waveguides, light-emitting diodes, photodetectors, solar cells, modulators, laser, and amplifiers.

  20. FDTD simulations and analysis of thin sample dielectric properties measurements using coaxial probes

    Energy Technology Data Exchange (ETDEWEB)

    Bringhurst, S.; Iskander, M.F.; White, M.J. [Univ. of Utah, Salt Lake City, UT (United States). Electrical Engineering Dept.

    1996-12-31

    A metallized ceramic probe has been designed for high temperature broadband dielectric properties measurements. The probe was fabricated out of an alumina tube and rod as the outer and inner conductors respectively. The alumina was metallized with a 3 mil layer of moly-manganese and then covered with a 0.5 mil protective layer of nickel plating. The probe has been used to make complex dielectric properties measurements over the complete frequency band from 500 MHz to 3 GHz, and for temperatures as high as 1,000 C. A 3D Finite-Difference Time-Domain (FDTD) code was used to help investigate the feasibility of this probe to measure the complex permittivity of thin samples. It is shown that by backing the material under test with a standard material of known dielectric constant, the complex permittivity of thin samples can be measured accurately using the developed FDTD algorithm. This FDTD procedure for making thin sample dielectric properties measurements will be described.

  1. How dielectric screening in two-dimensional crystals affects the convergence of excited-state calculations: Monolayer MoS2

    DEFF Research Database (Denmark)

    Hüser, Falco; Olsen, Thomas; Thygesen, Kristian Sommer

    2013-01-01

    We present first-principles many-body calculations of the dielectric constant, quasiparticle band structure, and optical absorption spectrum of monolayer MoS2 using a supercell approach. As the separation between the periodically repeated layers is increased, the dielectric function of the layer...

  2. High Stability Pentacene Transistors Using Polymeric Dielectric Surface Modifier.

    Science.gov (United States)

    Wang, Xiaohong; Lin, Guangqing; Li, Peng; Lv, Guoqiang; Qiu, Longzhen; Ding, Yunsheng

    2015-08-01

    1,6-bis(trichlorosilyl)hexane (C6Cl), polystyrene (PS), and cross-linked polystyrene (CPS) were investigated as gate dielectric modified layers for high performance organic transistors. The influence of the surface energy, roughness and morphology on the charge transport of the organic thin-film transistors (OTFTs) was investigated. The surface energy and roughness both affect the grain size of the pentacene films which will control the charge carrier mobility of the devices. Pentacene thin-film transistors fabricated on the CPS modified dielectric layers exhibited charge carrier mobility as high as 1.11 cm2 V-1 s-1. The bias stress stability for the CPS devices shows that the drain current only decays 1% after 1530 s and the mobility never decreases until 13530 s.

  3. Kernel Function Tuning for Single-Layer Neural Networks

    Czech Academy of Sciences Publication Activity Database

    Vidnerová, Petra; Neruda, Roman

    -, accepted 28.11. 2017 (2018) ISSN 2278-0149 R&D Projects: GA ČR GA15-18108S Institutional support: RVO:67985807 Keywords : single-layer neural networks * kernel methods * kernel function * optimisation Subject RIV: IN - Informatics, Computer Science http://www.ijmerr.com/

  4. Excitation of terahertz modes localized on a layered superconductor: Anomalous dispersion and resonant transmission

    Science.gov (United States)

    Apostolov, S. S.; Makarov, N. M.; Yampol'skii, V. A.

    2018-01-01

    We study theoretically the optic transmission through a slab of layered superconductor separated from two dielectric leads by spatial gaps. Based on the transfer matrix formalism along with the Josephson plasma electrodynamic approach, we derive analytic expressions for the transmittance and identify the conditions for the perfect transmission. The special interest of the study is focused on the resonant transmission, which occurs when the wave does not propagate in the spatial gaps. Far from the resonance, the transmittance is exponentially small due to the total internal reflection from the lead-gap interface. However, the excitation of electromagnetic modes localized on the layered superconductor gives rise to a remarkable resonant enhancement of the transmission. Moreover, this phenomenon is significantly modified for the layered superconductors in comparison with usual dielectrics or conductors. The dispersion curves for the modes localized on the layered superconductor are proved to be nonmonotonic, thus resulting in the specific dependence of the transmittance T on the incidence angle θ . In particular, we predict the onset of two resonant peaks in the T (θ ) dependence and their subsequent merge into the broadened single peak with increasing of the wave frequency. Our analytical results are demonstrated by numerical data.

  5. Fluorinated graphene and hexagonal boron nitride as ALD seed layers for graphene-based van der Waals heterostructures

    International Nuclear Information System (INIS)

    Guo, Hongwei; Liu, Yunlong; Xu, Yang; Meng, Nan; Luo, Jikui; Wang, Hongtao; Hasan, Tawfique; Wang, Xinran; Yu, Bin

    2014-01-01

    Ultrathin dielectric materials prepared by atomic-layer-deposition (ALD) technology are commonly used in graphene electronics. Using the first-principles density functional theory calculations with van der Waals (vdW) interactions included, we demonstrate that single-side fluorinated graphene (SFG) and hexagonal boron nitride (h-BN) exhibit large physical adsorption energy and strong electrostatic interactions with H 2 O-based ALD precursors, indicating their potential as the ALD seed layer for dielectric growth on graphene. In graphene-SFG vdW heterostructures, graphene is n-doped after ALD precursor adsorption on the SFG surface caused by vertical intrinsic polarization of SFG. However, graphene-h-BN vdW heterostructures help preserving the intrinsic characteristics of the underlying graphene due to in-plane intrinsic polarization of h-BN. By choosing SFG or BN as the ALD seed layer on the basis of actual device design needs, the graphene vdW heterostructures may find applications in low-dimensional electronics. (paper)

  6. FDTD Method for Piecewise Homogeneous Dielectric Media

    Directory of Open Access Journals (Sweden)

    Zh. O. Dombrovskaya

    2016-01-01

    Full Text Available In this paper, we consider a numerical solution of Maxwell’s curl equations for piecewise uniform dielectric medium by the example of a one-dimensional problem. For obtaining the second order accuracy, the electric field grid node is placed into the permittivity discontinuity point of the medium. If the dielectric permittivity is large, the problem becomes singularly perturbed and a contrast structure appears. We propose a piecewise quasi-uniform mesh which resolves all characteristic solution parts of the problem (regular part, boundary layer and transition zone placed between them in detail. The features of the mesh are discussed. 

  7. Scattering Study of Conductive-Dielectric Nano/Micro-Grained Single Crystals Based on Poly(ethylene glycol, Poly(3-hexyl thiophene and Polyaniline

    Directory of Open Access Journals (Sweden)

    Samira Agbolaghi

    2017-12-01

    Full Text Available Two types of rod-coil block copolymers including poly(3-hexylthiophene-block-poly(ethylene glycol (P3HT-b-PEG and PEG-block-polyaniline (PANI were synthesized using Grignard metathesis polymerization, Suzuki coupling, and interfacial polymerization. Afterward, two types of single crystals were grown by self-seeding methodology to investigate the coily and rod blocks in grafted brushes and ordered crystalline configurations. The conductive P3HT fibrillar single crystals covered by the dielectric coily PEG oligomers were grown from toluene, xylene, and anisole, and characterized by atomic force microscopy (AFM and grazing wide angle X-ray scattering (GIWAXS. Longer P3HT backbones resulted in folding, whereas shorter ones had a high tendency towards backbone lamination. The effective factors on folding of long P3HT backbones in the single crystal structures were the solvent quality and crystallization temperature. Better solvents due to decelerating the growth condition led to a higher number of foldings. Via increasing the crystallization temperature, the system decreased the folding number to maintain its stability. Poorer solvents also reflected a higher stacking in hexyl side chain and π-π stacking directions. The dielectric lamellar PEG single crystals sandwiched between the PANI nanorods were grown from amyl acetate, and analyzed using the interface distribution function (IDF of SAXS and AFM. The molecular weights of PANI and PEG blocks and crystallization temperature were focused while studying the grown single crystals.

  8. A microfluidic galvanic cell on a single layer of paper

    Science.gov (United States)

    Purohit, Krutarth H.; Emrani, Saina; Rodriguez, Sandra; Liaw, Shi-Shen; Pham, Linda; Galvan, Vicente; Domalaon, Kryls; Gomez, Frank A.; Haan, John L.

    2016-06-01

    Paper microfluidics is used to produce single layer galvanic and hybrid cells to produce energy that could power paper-based analytical sensors. When two aqueous streams are absorbed onto paper to establish co-laminar flow, the streams stay in contact with each other with limited mixing. The interface at which mixing occurs acts as a charge-transfer region, eliminating the need for a salt bridge. We designed a Cusbnd Zn galvanic cell that powers an LED when two are placed in series. We also used more powerful redox couples (formate and silver, formate and permanganate) to produce higher power density (18 and 3.1 mW mg-1 Pd). These power densities are greater than previously reported paper microfluidic fuel cells using formate or methanol. The single layer design is much more simplified than previous reports of multi-layer galvanic cells on paper.

  9. Surface plasmon polariton Akhmediev Breather in a dielectric-metal-dielectric geometry with subwavelength thickness

    Science.gov (United States)

    Devi, Koijam Monika; Porsezian, K.; Sarma, Amarendra K.

    2018-05-01

    We report Akhmediev Breather solutions in a nonlinear multilayer structure comprising of a metal sandwiched between two semi-infinite dielectric layers with subwavelength thickness. These nonlinear solutions inherit the properties of Surface plasmon polaritons and its dynamics is governed by the Nonlinear Schrodinger equation. The breather evolution is studied for specific values of nonlinear and dispersion parameters. An experimental scheme to observe these breathers is also proposed.

  10. Thermal, mechanical, optical and dielectric properties of piperazinium hydrogen phosphite monohydrate NLO single crystal

    Science.gov (United States)

    Rajkumar, R.; Praveen Kumar, P.

    2018-05-01

    Optical transparent crystal of piperazinium hydrogen phosphite monohydrate (PHPM) was grown by slow evaporation method. The grown crystal was characterized by single crystal X-ray diffraction analysis and the crystal belongs to monoclinic system. The functional groups present in PHPM crystal were confirmed by FTIR analysis. UV-Visible spectrum shows that the PHPM crystal is transparent in the visible region. The mechanical behavior of PHPM crystal was characterized by Vickers hardness test. Thermal stability of PHPM crystal was analyzed by thermogravimetric analysis. Dielectric studies were also carried out for the grown crystal. The third-order nonlinear parameters such as nonlinear refractive index and nonlinear absorption coefficient have been calculated using Z scan technique.

  11. Poly(methyl methacrylate) as a self-assembled gate dielectric for graphene field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Sanne, A.; Movva, H. C. P.; Kang, S.; McClellan, C.; Corbet, C. M.; Banerjee, S. K. [Microelectronics Research Center, University of Texas, Austin, Texas 78758 (United States)

    2014-02-24

    We investigate poly(methyl methacrylate) (PMMA) as a low thermal budget organic gate dielectric for graphene field effect-transistors (GFETs) based on a simple process flow. We show that high temperature baking steps above the glass transition temperature (∼130 °C) can leave a self-assembled, thin PMMA film on graphene, where we get a gate dielectric almost for “free” without additional atomic layer deposition type steps. Electrical characterization of GFETs with PMMA as a gate dielectric yields a dielectric constant of k = 3.0. GFETs with thinner PMMA dielectrics have a lower dielectric constant due to decreased polarization arising from neutralization of dipoles and charged carriers as baking temperatures increase. The leakage through PMMA gate dielectric increases with decreasing dielectric thickness and increasing electric field. Unlike conventional high-k gate dielectrics, such low-k organic gate dielectrics are potentially attractive for devices such as the proposed Bilayer pseudoSpin Field-Effect Transistor or flexible high speed graphene electronics.

  12. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S. [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada)

    2015-07-13

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer into the IGZO and resulted in higher resistivity films.

  13. Selective exfoliation of single-layer graphene from non-uniform graphene grown on Cu

    International Nuclear Information System (INIS)

    Lim, Jae-Young; Lee, Jae-Hyun; Jang, Hyeon-Sik; Whang, Dongmok; Joo, Won-Jae; Hwang, SungWoo

    2015-01-01

    Graphene growth on a copper surface via metal-catalyzed chemical vapor deposition has several advantages in terms of providing high-quality graphene with the potential for scale-up, but the product is usually inhomogeneous due to the inability to control the graphene layer growth. The non-uniform regions strongly affect the reliability of the graphene in practical electronic applications. Herein, we report a novel graphene transfer method that allows for the selective exfoliation of single-layer graphene from non-uniform graphene grown on a Cu foil. Differences in the interlayer bonding energy are exploited to mechanically separate only the top single-layer graphene and transfer this to an arbitrary substrate. The dry-transferred single-layer graphene showed electrical characteristics that were more uniform than those of graphene transferred using conventional wet-etching transfer steps. (paper)

  14. Stress evolution of Ge nanocrystals in dielectric matrices

    Science.gov (United States)

    Bahariqushchi, Rahim; Raciti, Rosario; Emre Kasapoğlu, Ahmet; Gür, Emre; Sezen, Meltem; Kalay, Eren; Mirabella, Salvatore; Aydinli, A.

    2018-05-01

    Germanium nanocrystals (Ge NCs) embedded in single and multilayer silicon oxide and silicon nitride matrices have been synthesized using plasma enhanced chemical vapor deposition followed by conventional furnace annealing or rapid thermal processing in N2 ambient. Compositions of the films were determined by Rutherford backscattering spectrometry and x-ray photoelectron spectroscopy. The formation of NCs under suitable process conditions was observed with high resolution transmission electron microscope micrographs and Raman spectroscopy. Stress measurements were done using Raman shifts of the Ge optical phonon line at 300.7 cm-1. The effect of the embedding matrix and annealing methods on Ge NC formation were investigated. In addition to Ge NCs in single layer samples, the stress on Ge NCs in multilayer samples was also analyzed. Multilayers of Ge NCs in a silicon nitride matrix separated by dielectric buffer layers to control the size and density of NCs were fabricated. Multilayers consisted of SiN y :Ge ultrathin films sandwiched between either SiO2 or Si3N4 by the proper choice of buffer material. We demonstrated that it is possible to tune the stress state of Ge NCs from compressive to tensile, a desirable property for optoelectronic applications. We also observed that there is a correlation between the stress and the crystallization threshold in which the compressive stress enhances the crystallization, while the tensile stress suppresses the process.

  15. LENA Conversion Foils Using Single-Layer Graphene, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Our key innovation will be the use of single-layer graphene as LENA conversion foils, with appropriate microgrids and nanogrids to support the foils. Phase I...

  16. Onset of Bonding Plasmon Hybridization Preceded by Gap Modes in Dielectric Splitting of Metal Disks

    DEFF Research Database (Denmark)

    Frederiksen, Maj; Bochenkov, Vladimir; Ogaki, Ryosuke

    2013-01-01

    Dielectric splitting of nanoscale disks was studied experimentally and via finite-difference time-domain (FDTD) simulations through systematic introduction of multiple ultrathin dielectric layers. Tunable, hybridized dark bonding modes were seen with first-order gap modes preceding the appearance...

  17. Dielectric barrier discharges applied for soft ionization and their mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Brandt, Sebastian; Klute, Felix David; Schütz, Alexander; Franzke, Joachim, E-mail: joachim.franzke@isas.de

    2017-01-25

    Dielectric barrier discharges are used for analytical applications as dissociative source for optical emission spectrometry and for ambient-ionization techniques. In the range of ambient-ionization techniques it has attracted much attention in fields like food safety, biological analysis, mass spectrometry for reaction monitoring and imaging forensic identification. In this review some examples are given for the application as desorption/ionization source as well as for the sole application as ionization source with different sample introductions. It will be shown that the detection might depend on the certain distance of the plasma in reference to the sample or the kind of discharge which might be produced by different shapes of the applied high voltage. Some attempts of characterization are presented. A more detailed characterization of the dielectric barrier discharge realized with two ring electrodes, each separately covered with a dielectric layer, is described. - Highlights: • Dielectric barrier discharge applied as desorption/ionization source. • Dielectric barrier discharge applied solely as ionization source. • Different geometries in order to maintain soft ionization. • Characterization of the LTP probe. • Dielectric barrier discharges with two dielectric barriers (ring-ring shape).

  18. Mathematical Modeling of Electrical Conductivity of Dielectric with Dispersed Metallic Inclusions

    Directory of Open Access Journals (Sweden)

    V. S. Zarubin

    2015-01-01

    Full Text Available Composites are increasingly used for application in engineering as structural, thermal protection and functional materials, including dielectrics, because of a wide variety of properties. The relative dielectric constant and the dielectric loss tangent are basic functional characteristics of a composite used as a dielectric. The quantitative level of these characteristics is mainly affected by the properties of the composite matrix and inclusions as well as their shape and volume concentration. Metallic inclusions in a dielectric, which serves as a function of the composite matrix, expand electrical properties of the composite in particular increase its dielectric constant and dielectric loss tangent and thereby greatly expand its application field. Dielectric losses are defined by the imaginary component of the complex value of the relative dielectric constant of the dielectric. At a relatively low vibration frequency of electromagnetic field affecting the dielectric, this value is proportional to the electrical conductivity of the dielectric and inversely proportional to the frequency. In order to predict the expected value of the electric conductivity of the dielectric with metallic inclusions, a mathematical model that properly describes the structure of the composite and the electrical interaction of the matrix and inclusions is required.In the paper, a mathematical model of the electrical interaction of the representative element of the composite structure and a homogeneous isotropic medium with electrical conductivity, which is desired characteristics of the composite, is constructed. Globular shape of the metallic inclusions as an average statistical form of dispersed inclusions with a comparable size in all directions is adopted. The inclusion is covered with a globular layer of electrical insulation to avoid percolation with increasing volume concentration of inclusions. Outer globular layer of representative structure of composite

  19. Polarization-induced transport in organic field-effect transistors: the role of ferroelectric dielectrics

    Science.gov (United States)

    Guha, Suchismita; Laudari, Amrit

    2017-08-01

    The ferroelectric nature of polymer ferroelectrics such as poly(vinylidene fluoride) (PVDF) has been known for over 45 years. However, its role in interfacial transport in organic/polymeric field-effect transistors (FETs) is not that well understood. Dielectrics based on PVDF and its copolymers are a perfect test-bed for conducting transport studies where a systematic tuning of the dielectric constant with temperature may be achieved. The charge transport mechanism in an organic semiconductor often occurs at the intersection of band-like coherent motion and incoherent hopping through localized states. By choosing two small molecule organic semiconductors - pentacene and 6,13 bis(triisopropylsilylethynyl)pentacene (TIPS-pentacene) - along with a copolymer of PVDF (PVDF-TrFe) as the dielectric layer, the transistor characteristics are monitored as a function of temperature. A negative coefficient of carrier mobility is observed in TIPS-pentacene upwards of 200 K with the ferroelectric dielectric. In contrast, TIPS-pentacene FETs show an activated transport with non-ferroelectric dielectrics. Pentacene FETs, on the other hand, show a weak temperature dependence of the charge carrier mobility in the ferroelectric phase of PVDF-TrFE, which is attributed to polarization fluctuation driven transport resulting from a coupling of the charge carriers to the surface phonons of the dielectric layer. Further, we show that there is a strong correlation between the nature of traps in the organic semiconductor and interfacial transport in organic FETs, especially in the presence of a ferroelectric dielectric.

  20. Characterization of dielectric charging in RF MEMS

    NARCIS (Netherlands)

    Herfst, R.W.; Huizing, H.G.A.; Steeneken, P.G.; Schmitz, Jurriaan

    2005-01-01

    Capacitive RF MEMS switches show great promise for use in wireless communication devices such as mobile phones, but the successful application of these switches is hindered by the reliability of the devices: charge injection in the dielectric layer (SiN) can cause irreversible stiction of the moving

  1. Dielectric constant of ionic solutions: a field-theory approach.

    Science.gov (United States)

    Levy, Amir; Andelman, David; Orland, Henri

    2012-06-01

    We study the variation of the dielectric response of a dielectric liquid (e.g. water) when a salt is added to the solution. Employing field-theoretical methods, we expand the Gibbs free energy to first order in a loop expansion and calculate self-consistently the dielectric constant. We predict analytically the dielectric decrement which depends on the ionic strength in a complex way. Furthermore, a qualitative description of the hydration shell is found and is characterized by a single length scale. Our prediction fits rather well a large range of concentrations for different salts using only one fit parameter related to the size of ions and dipoles.

  2. Electrically Anisotropic Layered Perovskite Single Crystal

    KAUST Repository

    Li, Ting-You

    2016-04-01

    Organic-inorganic hybrid perovskites (OIHPs), which are promising materials for electronic and optoelectronic applications (1-10), have made into layered organic-inorganic hybrid perovskites (LOIHPs). These LOIHPs have been applied to thin-film transistors, solar cells and tunable wavelength phosphors (11-18). It is known that devices fabricated with single crystal exhibit the superior performance, which makes the growth of large-sized single crystals critical for future device applications (19-23). However, the difficulty in growing large-sized LOIHPs single crystal with superior electrical properties limits their practical applications. Here, we report a method to grow the centimeter-scaled LOIHP single crystal of [(HOC2H4NH3)2PbI4], demonstrating the potentials in mass production. After that, we reveal anisotropic electrical and optoelectronic properties which proved the carrier propagating along inorganic framework. The carrier mobility of in-inorganic-plane (in-plane) devices shows the average value of 45 cm2 V–1 s–1 which is about 100 times greater than the record of LOIHP devices (15), showing the importance of single crystal in device application. Moreover, the LOIHP single crystals show its ultra-short carrier lifetime of 42.7 ps and photoluminescence quantum efficiency (PLQE) of 25.4 %. We expect this report to be a start of LOIHPs for advanced applications in which the anisotropic properties are needed (24-25), and meets the demand of high-speed applications and fast-response applications.

  3. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    International Nuclear Information System (INIS)

    Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.

    2016-01-01

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  4. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Besleaga, C.; Stan, G.E.; Pintilie, I. [National Institute of Materials Physics, 405A Atomistilor, 077125 Magurele-Ilfov (Romania); Barquinha, P.; Fortunato, E. [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal); Martins, R., E-mail: rm@uninova.pt [CENIMAT/I3N, Departamento de Ciência dos Materiais, Faculdade de Ciências e Tecnologia, FCT, Universidade Nova de Lisboa, and CEMOP-UNINOVA, 2829-516 Caparica (Portugal)

    2016-08-30

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.

  5. Diverse and tunable electronic structures of single-layer metal phosphorus trichalcogenides for photocatalytic water splitting

    International Nuclear Information System (INIS)

    Liu, Jian; Li, Xi-Bo; Wang, Da; Liu, Li-Min; Lau, Woon-Ming; Peng, Ping

    2014-01-01

    The family of bulk metal phosphorus trichalcogenides (APX 3 , A = M II , M 0.5 I M 0.5 III ; X = S, Se; M I , M II , and M III represent Group-I, Group-II, and Group-III metals, respectively) has attracted great attentions because such materials not only own magnetic and ferroelectric properties, but also exhibit excellent properties in hydrogen storage and lithium battery because of the layered structures. Many layered materials have been exfoliated into two-dimensional (2D) materials, and they show distinct electronic properties compared with their bulks. Here we present a systematical study of single-layer metal phosphorus trichalcogenides by density functional theory calculations. The results show that the single layer metal phosphorus trichalcogenides have very low formation energies, which indicates that the exfoliation of single layer APX 3 should not be difficult. The family of single layer metal phosphorus trichalcogenides exhibits a large range of band gaps from 1.77 to 3.94 eV, and the electronic structures are greatly affected by the metal or the chalcogenide atoms. The calculated band edges of metal phosphorus trichalcogenides further reveal that single-layer ZnPSe 3 , CdPSe 3 , Ag 0.5 Sc 0.5 PSe 3 , and Ag 0.5 In 0.5 PX 3 (X = S and Se) have both suitable band gaps for visible-light driving and sufficient over-potentials for water splitting. More fascinatingly, single-layer Ag 0.5 Sc 0.5 PSe 3 is a direct band gap semiconductor, and the calculated optical absorption further convinces that such materials own outstanding properties for light absorption. Such results demonstrate that the single layer metal phosphorus trichalcogenides own high stability, versatile electronic properties, and high optical absorption, thus such materials have great chances to be high efficient photocatalysts for water-splitting

  6. Solution-Processed Dielectrics Based on Thickness-Sorted Two-Dimensional Hexagonal Boron Nitride Nanosheets

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Jian; Kang, Joohoon; Kang, Junmo; Jariwala, Deep; Wood, Joshua D.; Seo, Jung-Woo T.; Chen, Kan-Sheng; Marks, Tobin J.; Hersam, Mark C.

    2015-10-14

    Gate dielectrics directly affect the mobility, hysteresis, power consumption, and other critical device metrics in high-performance nanoelectronics. With atomically flat and dangling bond-free surfaces, hexagonal boron nitride (h-BN) has emerged as an ideal dielectric for graphene and related two-dimensional semiconductors. While high-quality, atomically thin h-BN has been realized via micromechanical cleavage and chemical vapor deposition, existing liquid exfoliation methods lack sufficient control over h-BN thickness and large-area film quality, thus limiting its use in solution-processed electronics. Here, we employ isopycnic density gradient ultracentrifugation for the preparation of monodisperse, thickness-sorted h-BN inks, which are subsequently layer-by-layer assembled into ultrathin dielectrics with low leakage currents of 3 × 10–9 A/cm2 at 2 MV/cm and high capacitances of 245 nF/cm2. The resulting solution-processed h-BN dielectric films enable the fabrication of graphene field-effect transistors with negligible hysteresis and high mobilities up to 7100 cm2 V–1 s–1 at room temperature. These h-BN inks can also be used as coatings on conventional dielectrics to minimize the effects of underlying traps, resulting in improvements in overall device performance. Overall, this approach for producing and assembling h-BN dielectric inks holds significant promise for translating the superlative performance of two-dimensional heterostructure devices to large-area, solution-processed nanoelectronics.

  7. Microstructural, optical and dielectric properties of La{sub 0.8}Ba{sub 0.2}FeO{sub 3} nanostructures synthesized by sol-gel combustion method

    Energy Technology Data Exchange (ETDEWEB)

    Ali, S. Asad, E-mail: asadsyyed@gmail.com; Naseem, Swaleha; Khan, Wasi; Naqvi, A. H. [Centre of Excellence in Materials Science (Nanomaterials), Department of Applied Physics, Z.H. College of Engg. & Technology, Aligarh Muslim University, Aligarh 202002 (India); Malik, Aisha [Department of Electrical Engineering, Aligarh Muslim University, Aligarh-202002 (India)

    2015-06-24

    Barium doped lanthanum ferrite (LaFeO{sub 3}) nanoparticles (NPs) were prepared by gel combustion method and calcinated at 700°C. Microstructural studies were carried by XRD and SEM techniques. The results of structural characterization show the formation of all samples in single phase without any impurity. Optical properties were studied by UV- visible technique. The energy band gap was calculated and obtained 3.01 eV. Dielectric properties characterized by LCR meter and have been observed appreciable changes. The observed behavior of the dielectric properties can be attributed on the basis of Koop’s theory based on Maxwell-Wagner two layer models in studied nanoparticles.

  8. Single crystal magnetic, dielectric and thermal studies of the relaxor ferroelectric Pb(Fe2/3W1/3)O3

    International Nuclear Information System (INIS)

    Ye, Z.G.; Sato, M.; Kita, E.; Bursill, L.A.; Schmid, H.

    1998-01-01

    The magnetic, dielectric and thermal properties of the complex perovskite Pb(Fe 2/3 W 1/3 )O 3 [PFW] have been studied on single crystals by means of a SQUID magnetometer, dielectric measurements and thermal analysis. Anomalies in the temperature dependence of the magnetization have revealed magnetic phase transitions at T N1 =350 K and T N2 =20 K. These two steps of antiferromagnetic ordering are attributed to the microstructural feature of the complex perovskite, characterized by ordered and disordered arrangements on the B-site, giving rise to a strong superexchange interaction of - Fe 3 + - O - Fe 3+ - type with a higher ordering temperature, and to a weak superexchange interaction of the B-site ordered elpasolite type - Fe 3+ + - O - W - O - Fe 3+ - with a lower Neel temperature. The low temperature antiferromagnetic phase exhibits a weak ferromagnetism. The dielectric properties of PFW show a relaxor ferroelectric behaviour with a dispersive maximum of permittivity at Tm (170 -190 K). The magnetic phase transition at T N2 =20 K results in anomalies both of the real part of permittivity and the dissipation factor, suggesting a magneto-electric coupling via magneto-structural interactions

  9. Effect of orthorhombic distortion on dielectric and piezoelectric properties of CaBi4Ti4O15 ceramics

    Science.gov (United States)

    Tanwar, Amit; Sreenivas, K.; Gupta, Vinay

    2009-04-01

    High temperature bismuth layered piezoelectric and ferroelectric ceramics of CaBi4Ti4O15 (CBT) have been prepared using the solid state route. The formation of single phase material with orthorhombic structure was verified from x-ray diffraction and Raman spectroscopy. The orthorhombic distortion present in the CBT ceramic sintered at 1200 °C was found to be maximum. A sharp phase transition from ferroelectric to paraelectric was observed in the temperature dependent dielectric studies of all CBT ceramics. The Curie's temperature (Tc=790 °C) was found to be independent of measured frequency. The behavior of ac conductivity as a function of frequency (100 Hz-1 MHz) at low temperatures (<500 °C) follows the power law and is attributed to hopping conduction. The presence of large orthorhombic distortion in the CBT ceramic sintered at 1200 °C results in high dielectric constant, low dielectric loss, and high piezoelectric coefficient (d33). The observed results indicate the important role of orthorhombic distortion in determining the improved property of multicomponent ferroelectric material.

  10. Fabrication of a single layer graphene by copper intercalation on a SiC(0001) surface

    International Nuclear Information System (INIS)

    Yagyu, Kazuma; Tochihara, Hiroshi; Tomokage, Hajime; Suzuki, Takayuki; Tajiri, Takayuki; Kohno, Atsushi; Takahashi, Kazutoshi

    2014-01-01

    Cu atoms deposited on a zero layer graphene grown on a SiC(0001) substrate, intercalate between the zero layer graphene and the SiC substrate after the thermal annealing above 600 °C, forming a Cu-intercalated single layer graphene. On the Cu-intercalated single layer graphene, a graphene lattice with superstructure due to moiré pattern is observed by scanning tunneling microscopy, and specific linear dispersion at the K ¯ point as well as a characteristic peak in a C 1s core level spectrum, which is originated from a free-standing graphene, is confirmed by photoemission spectroscopy. The Cu-intercalated single layer graphene is found to be n-doped

  11. Single-crystal-like GdNdOx thin films on silicon substrates by magnetron sputtering and high-temperature annealing for crystal seed layer application

    Directory of Open Access Journals (Sweden)

    Ziwei Wang

    2016-06-01

    Full Text Available Single-crystal-like rare earth oxide thin films on silicon (Si substrates were fabricated by magnetron sputtering and high-temperature annealing processes. A 30-nm-thick high-quality GdNdOx (GNO film was deposited using a high-temperature sputtering process at 500°C. A Gd2O3 and Nd2O3 mixture was used as the sputtering target, in which the proportions of Gd2O3 and Nd2O3 were controlled to make the GNO’s lattice parameter match that of the Si substrate. To further improve the quality of the GNO film, a post-deposition annealing process was performed at a temperature of 1000°C. The GNO films exhibited a strong preferred orientation on the Si substrate. In addition, an Al/GNO/Si capacitor was fabricated to evaluate the dielectric constant and leakage current of the GNO films. It was determined that the single-crystal-like GNO films on the Si substrates have potential for use as an insulator layer for semiconductor-on-insulator and semiconductor/insulator multilayer applications.

  12. Mathematic modeling of the method of measurement relative dielectric permeability

    Science.gov (United States)

    Plotnikova, I. V.; Chicherina, N. V.; Stepanov, A. B.

    2018-05-01

    The method of measuring relative permittivity’s and the position of the interface between layers of a liquid medium is considered in the article. An electric capacitor is a system consisting of two conductors that are separated by a dielectric layer. It is mathematically proven that at any given time it is possible to obtain the values of the relative permittivity in the layers of the liquid medium and to determine the level of the interface between the layers of the two-layer liquid. The estimation of measurement errors is made.

  13. Pulsed laser deposition of oxide gate dielectrics for pentacene organic field-effect transistors

    International Nuclear Information System (INIS)

    Yaginuma, S.; Yamaguchi, J.; Itaka, K.; Koinuma, H.

    2005-01-01

    We have fabricated Al 2 O 3 , LaAlO 3 (LAO), CaHfO 3 (CHO) and CaZrO 3 (CZO) thin films for the dielectric layers of field-effect transistors (FETs) by pulsed laser deposition (PLD). The films exhibited very smooth surfaces with root-mean-squares (rms) roughnesses of ∼1.3 A as evaluated by using atomic force microscopy (AFM). The breakdown electric fields of Al 2 O 3 , LAO, CHO and CZO films were 7, 6, 10 and 2 MV/cm, respectively. The magnitude of the leak current in each film was low enough to operate FET. We performed a comparative study of pentacene FET fabricated using these oxide dielectrics as gate insulators. High field-effect mobility of 1.4 cm 2 /V s and on/off current ratio of 10 7 were obtained in the pentacene FET using LAO gate insulating film. Use of the LAO films as gate dielectrics has been found to suppress the hysteresis of pentacene FET operations. The LAO films are relevant to the dielectric layer of organic FETs

  14. CdSe quantum dot in vertical ZnSe nanowire and photonic wire for efficient single-photon emission

    DEFF Research Database (Denmark)

    Cremel, Thibault; Bellet-Amalric, Edith; Cagnon, Laurent

    conformal dielectric coating of Al2O3 on the NW-QDs using Atomic Layer Deposition so that a photonic wire is formed with the CdSe QD deterministically positioned on its axis. The collection enhancement effect is studied by measuring the emission (with pulse excitation, at saturation intensity) of single...

  15. Dielectric properties of PMMA/Soot nanocomposites.

    Science.gov (United States)

    Clayton, Lanetra M; Cinke, Martin; Meyyappan, M; Harmon, Julie P

    2007-07-01

    Dielectric analysis (DEA) of relaxation behavior in poly(methyl methacrylate) (PMMA) soot nanocomposites is described herein. The soot, an inexpensive material, consists of carbon nanotubes, amorphous and graphitic carbon and metal particles. Results are compared to earlier studies on PMMA/multi-walled nanotube (MWNT) composites and PMMA/single-walled nanotube (SWNT) composites. The beta relaxation process appeared to be unaffected by the presence of the soot, as was noted earlier in nanotube composites. The gamma relaxation region in PMMA, normally dielectrically inactive, was "awakened" in the PMMA/soot composite. This occurrence is consistent with previously published data on nanotube composites. The dielectric permittivity, s', increased with soot content. The sample with 1% soot exhibited a permittivity (at 100 Hz and 25 degrees C) of 7.3 as compared to 5.1 for neat PMMA. Soot increased the dielectric strength, deltaE, of the composites. The 1% soot sample exhibited a dielectric strength of 6.38, while the neat PMMA had a value of 2.95 at 40 degrees C. The symmetric broadening term (alpha) was slightly higher for the 1% composite at temperatures near the secondary relaxation and near the primary relaxation, but all samples deviated from symmetrical semi-circular behavior (alpha = 1). The impact of the soot filler is seen more clearly in dielectric properties than in mechanical properties studies conducted earlier.

  16. Influence of ion transport on discharge propagation of nanosecond dielectric barrier discharge plasma actuator

    Science.gov (United States)

    Hua, Weizhuo; Koji, Fukagata

    2017-11-01

    A numerical study has been conducted to understand the streamer formation and propagation of nanosecond pulsed surface dielectric barrier discharge of positive polarity. First we compared the result of different grid configuration to investigate the influence of x and y direction grid spacing on the streamer propagation. The streamer propagation is sensitive to y grid spacing especially at the dielectric surface. The streamer propagation velocity can reach 0.2 cm/ns when the voltage magnitude is 12 kV. A narrow gap was found between the streamer and dielectric barrier, where the plasma density is several orders of magnitude smaller than the streamer region. Analyses on the ion transport in the gap and streamer regions show the different ion transport mechanisms in the two different region. In the gap region, the diffusion of electron toward the dielectric layer decreases the seed electron in the beginning of voltage pulse, resulting that ionization avalanche does not occur. The streamer region is not significantly affected by the diffusion flux toward the dielectric layer, so that ionization avalanche takes place and leads to dramatic increase of plasma density.

  17. Dynamics of dielectric barrier discharges in different arrangements

    International Nuclear Information System (INIS)

    Gibalov, Valentin I; Pietsch, Gerhard J

    2012-01-01

    Based on experimental results, numerical investigations of dielectric barrier discharges (DBDs) have been performed in three basic configurations: in the volume, coplanar and surface discharge arrangements. It is shown that the DBD dynamics is the same in all arrangements and it is determined by the development of a few principal constituents, i.e. cathode- and anode-directed streamers, discharge channel, cathode layer and surface charges. It is found that the anode- and cathode-directed streamers appear with a highly conductive channel in between. The interaction of the streamers with conductive and dielectric surfaces determines the filamentary or homogeneous appearance of the discharge and its properties. The cathode-directed streamer is a self-sustaining phenomenon, which moves in a gas gap or along an electrode driven by a positive loop-back between photoemission and electron multiplication. The anode-directed streamer plays a subsidiary role. Depending on the kind of gas (electronegative or electropositive) and/or the degree of development of the cathode-directed streamer, the field strength in the conductive channels changes significantly. When the cathode-directed streamer touches the electrode surface, a cathode layer appears with parameters close to those of normal glow discharges. In volume discharge arrangements the movement of the streamers results in the appearance of Lichtenberg figures on dielectric surfaces. (paper)

  18. Effect of nanocomposite gate-dielectric properties on pentacene microstructure and field-effect transistor characteristics.

    Science.gov (United States)

    Lee, Wen-Hsi; Wang, Chun-Chieh

    2010-02-01

    In this study, the effect of surface energy and roughness of the nanocomposite gate dielectric on pentacene morphology and electrical properties of pentacene OTFT are reported. Nanoparticles TiO2 were added in the polyimide matrix to form a nanocomposite which has a significantly different surface characteristic from polyimide, leading to a discrepancy in the structural properties of pentacene growth. A growth mode of pentacene deposited on the nanocomposite is proposed to explain successfully the effect of surface properties of nanocomposite gate dielectric such as surface energy and roughness on the pentacene morphology and electrical properties of OTFT. To obtain the lower surface energy and smoother surface of nanocomposite gate dielectric that is responsible for the desired crystalline, microstructure of pentacene and electrical properties of device, a bottom contact OTFT-pentacene deposited on the double-layer nanocomposite gate dielectric consisting of top smoothing layer of the neat polyimide and bottom layer of (PI+ nano-TiO2 particles) nanocomposite has been successfully demonstrated to exhibit very promising performance including high current on to off ratio of about 6 x 10(5), threshold voltage of -10 V and moderately high filed mobility of 0.15 cm2V(-1)s(-1).

  19. Protecting nickel with graphene spin-filtering membranes: A single layer is enough

    Energy Technology Data Exchange (ETDEWEB)

    Martin, M.-B.; Dlubak, B.; Piquemal-Banci, M.; Collin, S.; Petroff, F.; Anane, A.; Fert, A.; Seneor, P. [Unité Mixte de Physique CNRS/Thales, 1 Avenue Augustin Fresnel, 91767 Palaiseau, France and Université Paris Sud, 91405 Orsay (France); Weatherup, R. S.; Hofmann, S.; Robertson, J. [Department of Engineering, University of Cambridge, Cambridge CB21PZ (United Kingdom); Yang, H. [IBS Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Blume, R. [Helmholtz-Zentrum Berlin fur Materialien und Energie, 12489 Berlin (Germany); Schloegl, R. [Department of Inorganic Chemistry, Fritz Haber Institute of the Max Planck Society, Faradayweg 4-6, 14195 Berlin (Germany)

    2015-07-06

    We report on the demonstration of ferromagnetic spin injectors for spintronics which are protected against oxidation through passivation by a single layer of graphene. The graphene monolayer is directly grown by catalytic chemical vapor deposition on pre-patterned nickel electrodes. X-ray photoelectron spectroscopy reveals that even with its monoatomic thickness, monolayer graphene still efficiently protects spin sources against oxidation in ambient air. The resulting single layer passivated electrodes are integrated into spin valves and demonstrated to act as spin polarizers. Strikingly, the atom-thick graphene layer is shown to be sufficient to induce a characteristic spin filtering effect evidenced through the sign reversal of the measured magnetoresistance.

  20. Interface Engineering and Gate Dielectric Engineering for High Performance Ge MOSFETs

    Directory of Open Access Journals (Sweden)

    Jiabao Sun

    2015-01-01

    Full Text Available In recent years, germanium has attracted intensive interests for its promising applications in the microelectronics industry. However, to achieve high performance Ge channel devices, several critical issues still have to be addressed. Amongst them, a high quality gate stack, that is, a low defect interface layer and a dielectric layer, is of crucial importance. In this work, we first review the existing methods of interface engineering and gate dielectric engineering and then in more detail we discuss and compare three promising approaches (i.e., plasma postoxidation, high pressure oxidation, and ozone postoxidation. It has been confirmed that these approaches all can significantly improve the overall performance of the metal-oxide-semiconductor field effect transistor (MOSFET device.

  1. Synergic nature of dielectric relaxation process in the layered perovskite halide salts: The case of 1,3- diammoniumpropylenetetrabromocadmate compound

    Science.gov (United States)

    Staśkiewicz, Beata

    2018-06-01

    The negative thermal expansion (NTE) property was a prototype to discuss the origin of difference between classical Debye relaxation process and the non-Debye behavior in the layered perovskite halide salt of chemical formula NH3(CH2)3NH3CdBr4. The analysis has been taken by dielectric relaxation spectroscopy measurements in almost six decades in frequency 5 × 102 ≤ f(ω) ≤ 1.2 × 108 and in the temperature range 315 ≤ T(K) ≤ 390. It was shown that the investigated sample exhibit an antiferrodistortive nature of phase transition between two orthorhombic structural modifications i.e. Pnma (phase I) and Ima2 (phase II) at Tc1(I → II) = 326 K, leading from an antiferroelectric to a paraelectric phase. The involvement of an odd number of carbon atoms in the alkylammonium chains in dielectric properties of examined sample is proved. Higher structural modifications, i.e. Ima2 (phase II) and P21/m (phase III), have shown significant deviations from a regular circle on the Cole-Cole diagram. Presented experimental observations are essentially important for the theoretical explanation of relaxation processes in analyzed organic - inorganic compound crystallizing in a perovskite-like topology and may provide new perspective on the fundamental aspect of relaxation response in "diammonium" series.

  2. Polarization Dependence of Surface Enhanced Raman Scattering on a Single Dielectric Nanowire

    Directory of Open Access Journals (Sweden)

    Hua Qi

    2012-01-01

    Full Text Available Our measurements of surface enhanced Raman scattering (SERS on Ga2O3 dielectric nanowires (NWs core/silver composites indicate that the SERS enhancement is highly dependent on the polarization direction of the incident laser light. The polarization dependence of the SERS signal with respect to the direction of a single NW was studied by changing the incident light angle. Further investigations demonstrate that the SERS intensity is not only dependent on the direction and wavelength of the incident light, but also on the species of the SERS active molecule. The largest signals were observed on an NW when the incident 514.5 nm light was polarized perpendicular to the length of the NW, while the opposite phenomenon was observed at the wavelength of 785 nm. Our theoretical simulations of the polarization dependence at 514.5 nm and 785 nm are in good agreement with the experimental results.

  3. Multiple Fano resonances in single-layer nonconcentric core-shell nanostructures

    DEFF Research Database (Denmark)

    Zhang, Jingjing; Zayats, Anatoly

    2013-01-01

    where the multiple dark modes appear due to the geometrical symmetry breaking induced by axial offset of the core. Both dielectric-core-metal-shell (DCMS) and metal-core-dielectric-shell (MCDS) configurations have been studied. Compared to the MCDS structure, the DCMS configuration provides higher...

  4. Dielectric and diffusion barrier multilayer for Cu(In,Ga)Se{sub 2} solar cells integration on stainless steel sheet

    Energy Technology Data Exchange (ETDEWEB)

    Amouzou, Dodji, E-mail: dodji.amouzou@fundp.ac.be [Research Centre in Physics of Matter and Radiation (PMR), University of Namur (FUNDP), Rue de Bruxelles, 61, 5000 Namur (Belgium); Guaino, Philippe; Fourdrinier, Lionel; Richir, Jean-Baptiste; Maseri, Fabrizio [CRM-Group, Boulevard de Colonster, B 57, 4000 Liège (Belgium); Sporken, Robert [Research Centre in Physics of Matter and Radiation (PMR), University of Namur (FUNDP), Rue de Bruxelles, 61, 5000 Namur (Belgium)

    2013-09-02

    For the fabrication of monolithically integrated flexible Cu(In, Ga)Se{sub 2}, CIGS modules on stainless steel, individual photovoltaic cells must be insulated from metal substrates by a barrier layer that can sustain high thermal treatments. In this work, a combination of sol–gel (organosilane-sol) and sputtered SiAlxOy forming thin diffusion barrier layers (TDBL) was prepared on stainless steel substrates. The deposition of organosilane-sol dielectric layers on the commercial stainless steel (maximal roughness, Rz = 500 nm and Root Mean Square roughness, RMS = 56 nm) induces a planarization of the surface (RMS = 16.4 nm, Rz = 176 nm). The DC leakage current through the dielectric layers was measured for the metal-insulator-metal (MIM) junctions that act as capacitors. This method allowed us to assess the quality of our TDBL insulating layer and its lateral uniformity. Indeed, evaluating a ratio of the number of valid MIM capacitors to the number of tested MIM capacitors, a yield of ∼ 95% and 50% has been reached respectively with non-annealed and annealed samples based on sol–gel double layers. A yield of 100% was achieved for sol–gel double layers reinforced with a sputtered SiAlxOy coating and a third sol–gel monolayer. Since this yield is obtained on several samples, it can be extrapolated to any substrate size. Furthermore, according to Glow Discharge Optical Emission Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy measurements, these barrier layers exhibit excellent barrier properties against the diffusion of undesired atoms which could otherwise spoil the electronic and optical properties of CIGS photovoltaic cells. - Highlights: • We functionalize steel for monolithically integrated Cu(In,Ga)Se{sub 2} solar cells • Thin dielectric and diffusion barrier layers (TDDBL) prepared on steel • Reliability and breakdown voltage of dielectric layers have been studied. • Investigation of thermal treatment effect on dielectric

  5. Effects of gas temperature in the plasma layer on RONS generation in array-type dielectric barrier discharge at atmospheric pressure

    Science.gov (United States)

    Yoon, Sung-Young; Yi, Changho; Eom, Sangheum; Park, Seungil; Kim, Seong Bong; Ryu, Seungmin; Yoo, Suk Jae

    2017-12-01

    In this work, we studied the control of plasma-produced species under a fixed gas composition (i.e., ambient air) in a 10 kHz-driven array-type dielectric barrier atmospheric-pressure plasma discharge. Instead of the gas composition, only the gas velocity was controlled. Thus, the plasma-maintenance cost was considerably lower than methods such as external N2 or O2 injection. The plasma-produced species were monitored using Fourier transformed infrared spectroscopy. The discharge properties were measured using a voltage probe, current probe, infrared camera, and optical emission spectroscopy. The results showed that the major plasma products largely depend on the gas temperature in the plasma discharge layer. The gas temperature in the plasma discharge layer was significantly different to the temperature of the ceramic adjacent to the plasma discharge layer, even in the small discharge power density of ˜15 W/cm2 or ˜100 W/cm3. Because the vibrational excitation of N2 was suppressed by the higher gas flow, the major plasma-produced species shifted from NOx in low flow to O3 in high flow.

  6. Dielectric and magnetic characterizations of capacitor structures with an ionic liquid/MgO barrier and a ferromagnetic Pt electrode

    Directory of Open Access Journals (Sweden)

    D. Hayakawa

    2016-11-01

    Full Text Available The dielectric and magnetic properties of electric double layer (EDL capacitor structures with a perpendicularly magnetized Pt/Co/Pt electrode and an insulating cap layer (MgO are investigated. An electric field is applied through a mixed ionic liquid/MgO barrier to the surface of the top Pt layer, at which the magnetic moment is induced by the ferromagnetic proximity effect. The basic dielectric properties of the EDL capacitor are studied by varying the thickness of the MgO cap layer. The results indicate that the capacitance, i.e., the accumulated charge density at the Pt surface, is reduced with increasing the MgO thickness. From the MgO thickness dependence of the capacitance value, the effective dielectric constant of the ionic liquid is evaluated. Almost no electric field effect on the magnetic moment, the coercivity, or the Curie temperature is confirmed in the top Pt layer with the thickness of 1.3 nm, regardless of the presence or absence of the MgO cap layer, whereas the a clear change in the magnetic moment is observed when the top Pt layer is replaced by a Pd layer of 1.7 nm.

  7. Effective dielectric functions of samples obtained by evaporation of alkali halides

    International Nuclear Information System (INIS)

    Sturm, J.; Grosse, P.; Theiss, W.

    1991-01-01

    This paper investigates the dielectric properties of inhomogeneous samples consisting of small alkali halide particles (NaCl, KBr) on gold-coated substrates. Our reflection measurements in the far infrared can be simulated as a thin layer of the power with an effective dielectric function on a perfectly reflecting substrate. Scanning electron micrographs provide useful information about sample topology. Several mixing formulas (e.g. the Maxwell-Garnett, the Bruggeman- and the Looyenga-formula) lead to effective dielectric functions neglecting the individual arrangement of the particles. The essence of our work is that, in contrast, the general ansatz of the Bergman spectral representation has to be employed in order to take into account topology effects on the dielectric function based on the so-called spectral density g adjustable to the specific situation. (orig.)

  8. Dielectric constant of atomic fluids with variable polarizability

    OpenAIRE

    Alder, B. J.; Beers, J. C.; Strauss, H. L.; Weis, J. J.

    1980-01-01

    The Clausius-Mossotti function for the dielectric constant is expanded in terms of single atom and pair polarizabilities, leading to contributions that depend on both the trace and the anisotropy of the pair-polarizability tensor. The short-range contribution of the anisotropic part to the pair polarizabilities has previously been obtained empirically from light scattering experiments, whereas the trace contribution is now empirically determined by comparison to dielectric experiments. For he...

  9. Low-temperature phase transition in γ-glycine single crystal. Pyroelectric, piezoelectric, dielectric and elastic properties

    Energy Technology Data Exchange (ETDEWEB)

    Tylczyński, Zbigniew, E-mail: zbigtyl@amu.edu.pl [Faculty of Physics, Adam Mickiewicz University, Umultowska 85, 61-614 Poznań (Poland); Busz, Piotr [Institute of Molecular Physics, Polish Academy of Science, Smoluchowskiego 17, 60-179 Poznań (Poland)

    2016-11-01

    Temperature changes in the pyroelectric, piezoelectric, elastic and dielectric properties of γ-glycine crystals were studied in the range 100 ÷ 385 K. The pyroelectric coefficient increases monotonically in this temperature range and its value at RT was compared with that of other crystals having glycine molecules. A big maximum in the d14 component of piezoelectric tensor compared by maximum in attenuation of the resonant face-shear mode were observed at 189 K. The components of the elastic stiffness tensor and other components of the piezoelectric tensor show anomalies at this temperature. The components of electromechanical coupling coefficient determined indicate that γ-glycine is a weak piezoelectric. The real and imaginary part of the dielectric constant measured in the direction perpendicular to the trigonal axis show the relaxation anomalies much before 198 K and the activation energies were calculated. These anomalies were interpreted as a result of changes in the NH{sub 3}{sup +} vibrations through electron-phonon coupling of the so called “dynamical transition”. The anomalies of dielectric constant ε*{sub 11} and piezoelectric tensor component d{sub 14} taking place at 335 K are associated with an increase in ac conductivity caused by charge transfer of protons. - Graphical abstract: Imaginary part of dielectric constant in [100] direction. - Highlights: • Piezoelectric, elastic and dielectric constants anomalies were discovered at 189 K. • These anomalies were interpreted as a result of so called “dynamical transition”. • Relaxational dielectric anomaly was explained by the dynamics of glycine molecules. • Pyroelectric coefficient of γ-glycine was determined in a wide temperature range. • Complex dielectric & piezoelectric anomalies at 335 K were caused by protons hopping.

  10. Investigation of airflow effects on the dielectric barrier discharge with single/double discharge channel arrangement

    Science.gov (United States)

    Fan, Zhihui; Yan, Huijie; Liu, Yidi; Guo, Hongfei; Wang, Yuying; Ren, Chunsheng

    2018-05-01

    Atmospheric-pressure dielectric barrier discharge (DBD) with airflow participation has been widely used in recent years. In this paper, effects of airflow on DBD characteristics are experimentally investigated by single/double pin-to-plate DBD arrangements with an AC exciting source. The discharge electrical characteristics and the movements of discharge channels in airflow are investigated with a single pin electrode arrangement. The current intensities increase in positive cycles and decrease in negative cycles with the increase in airflow velocity. The transition from a filamentary discharge to a diffuse discharge is observed under certain airflow conditions, and the discharge channels move with the airflow with a movement velocity less than the corresponding airflow velocity. In the cases of double pin electrode arrangements, the repulsion between double pin discharge channels is apparent at a 10 mm distance but is not obvious at a 20 mm distance. When the airflow is introduced into the discharge gap, not as in the case of single pin electrode arrangement, the movements of discharge channels in airflow are affected by adjacent discharge channels. The corresponding reasons are analyzed in the paper.

  11. Photonic nanojet super-resolution in immersed ordered assembly of dielectric microspheres

    International Nuclear Information System (INIS)

    Geints, Y.E.; Zemlyanov, A.A.

    2017-01-01

    Highlights: • Ordered microassemblies of dielectric microspheres immersed in a transparent matrix exposed to a light wave produce an array of the “photonic nanojets” coupled through the field interference. • “Photonic nanojet” parameters depend on the inter-sphere gap and immersion depth. • Sphere microassembly can produce the jets with the sub-diffraction spatial resolution if the particles are loosely packed and semi-immersed. - Abstract: Specific spatially-localized optical field structure, which is often referred to as a photonic nanojet (PNJ), is formed in the near-field scattering area of non-absorbing dielectric micron-sized particle exposed to an optical radiation. By virtue of the finite-difference time-domain technique we numerically simulate the two-dimensional array of PNJs created by an ordered single-layer microassembly of glass microspheres immersed in a transparent polymer matrix. The behavior of the main PNJ parameters (length, diameter, and intensity) is analyzed subject to the immersion depth of the microparticles and cooperative interference effects of the neighboring microspheres. We show that depending on microassembly configuration, the PNJ quality can be significantly improved; in particular, the PNJ spatial resolution better than λ/5 can be achieved.

  12. Strong piezoelectricity in single-layer graphene deposited on SiO2 grating substrates.

    Science.gov (United States)

    da Cunha Rodrigues, Gonçalo; Zelenovskiy, Pavel; Romanyuk, Konstantin; Luchkin, Sergey; Kopelevich, Yakov; Kholkin, Andrei

    2015-06-25

    Electromechanical response of materials is a key property for various applications ranging from actuators to sophisticated nanoelectromechanical systems. Here electromechanical properties of the single-layer graphene transferred onto SiO2 calibration grating substrates is studied via piezoresponse force microscopy and confocal Raman spectroscopy. The correlation of mechanical strains in graphene layer with the substrate morphology is established via Raman mapping. Apparent vertical piezoresponse from the single-layer graphene supported by underlying SiO2 structure is observed by piezoresponse force microscopy. The calculated vertical piezocoefficient is about 1.4 nm V(-1), that is, much higher than that of the conventional piezoelectric materials such as lead zirconate titanate and comparable to that of relaxor single crystals. The observed piezoresponse and achieved strain in graphene are associated with the chemical interaction of graphene's carbon atoms with the oxygen from underlying SiO2. The results provide a basis for future applications of graphene layers for sensing, actuating and energy harvesting.

  13. Time-resolved photoluminescence for evaluating laser-induced damage during dielectric stack ablation in silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Parola, Stéphanie [Université de Lyon, Institut des Nanotechnologies de Lyon INL-UMR5270, CNRS, INSA Lyon, Villeurbanne, F-69621 (France); Blanc-Pélissier, Danièle, E-mail: daniele.blanc@insa-lyon.fr [Université de Lyon, Institut des Nanotechnologies de Lyon INL-UMR5270, CNRS, INSA Lyon, Villeurbanne, F-69621 (France); Barbos, Corina; Le Coz, Marine [Université de Lyon, Institut des Nanotechnologies de Lyon INL-UMR5270, CNRS, INSA Lyon, Villeurbanne, F-69621 (France); Poulain, Gilles [TOTAL MS—New Energies, R& D Division, La Défense (France); Lemiti, Mustapha [Université de Lyon, Institut des Nanotechnologies de Lyon INL-UMR5270, CNRS, INSA Lyon, Villeurbanne, F-69621 (France)

    2016-06-30

    Highlights: • Ablation of Al{sub 2}O{sub 3} and Al{sub 2}O{sub 3}/SiN{sub x} on Si substrates was performed with a nanosecond UV laser. • Ablation thresholds were found in good agreement with COMSOL simulation, around 0.85 and 0.95 J cm{sup −2} for Al{sub 2}O{sub 3} and Al{sub 2}O{sub 3}/SiN{sub X}, respectively. • Laser-induced damage was evaluated at room temperature by time-resolved photoluminescence decay with a single photon counting detector. • Minority carrier lifetime in silicon as a function of the ablation fluence was derived from the photoluminescence decay and related to the thickness of the heat affected zone. • Quantitative measurements of laser-induced damage can be used to evaluate laser ablation of dielectrics in photovoltaics. - Abstract: Selective laser ablation of dielectric layers on crystalline silicon wafers was investigated for solar cell fabrication. Laser processing was performed on Al{sub 2}O{sub 3}, and bi-layers Al{sub 2}O{sub 3}/SiN{sub X}:H with a nanosecond UV laser at various energy densities ranging from 0.4 to 2 J cm{sup −2}. Ablation threshold was correlated to the simulated temperature at the interface between the dielectric coatings and the silicon substrate. Laser-induced damage to the silicon substrate was evaluated by time-resolved photoluminescence. The minority carrier lifetime deduced from time-resolved photoluminescence was related to the depth of the heat affected zone in the substrate.

  14. A method for building low loss multi-layer wiring for superconducting microwave devices

    Science.gov (United States)

    Dunsworth, A.; Barends, R.; Chen, Yu; Chen, Zijun; Chiaro, B.; Fowler, A.; Foxen, B.; Jeffrey, E.; Kelly, J.; Klimov, P. V.; Lucero, E.; Mutus, J. Y.; Neeley, M.; Neill, C.; Quintana, C.; Roushan, P.; Sank, D.; Vainsencher, A.; Wenner, J.; White, T. C.; Neven, H.; Martinis, John M.; Megrant, A.

    2018-02-01

    Complex integrated circuits require multiple wiring layers. In complementary metal-oxide-semiconductor processing, these layers are robustly separated by amorphous dielectrics. These dielectrics would dominate energy loss in superconducting integrated circuits. Here, we describe a procedure that capitalizes on the structural benefits of inter-layer dielectrics during fabrication and mitigates the added loss. We use a deposited inter-layer dielectric throughout fabrication and then etch it away post-fabrication. This technique is compatible with foundry level processing and can be generalized to make many different forms of low-loss wiring. We use this technique to create freestanding aluminum vacuum gap crossovers (airbridges). We characterize the added capacitive loss of these airbridges by connecting ground planes over microwave frequency λ/4 coplanar waveguide resonators and measuring resonator loss. We measure a low power resonator loss of ˜3.9 × 10-8 per bridge, which is 100 times lower than that of dielectric supported bridges. We further characterize these airbridges as crossovers, control line jumpers, and as part of a coupling network in gmon and fluxmon qubits. We measure qubit characteristic lifetimes (T1s) in excess of 30 μs in gmon devices.

  15. Mode-locking of an InAs Quantum Dot Based Vertical External Cavity Surface Emitting Laser Using Atomic Layer Graphene

    Science.gov (United States)

    2015-07-16

    catalyzed on either a copper foil or on nickel coated substrates. The graphene must be transferred off of these substrates and then on to the DBR/spacer to...properties of graphene in both the exfoliated single layer graphene (SLG) and few layer graphene (FLG) flakes . Sun et al. make use of bile salts to...semiconductors and dielectrics is the transfer of CVD graphene grown on copper foils. The graphene is grown on thin Cu-foils by CVD using methane and

  16. Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.

    Science.gov (United States)

    Zhang, Liangliang; Janotti, Anderson; Meng, Andrew C; Tang, Kechao; Van de Walle, Chris G; McIntyre, Paul C

    2018-02-14

    Layered atomic-layer-deposited and forming-gas-annealed TiO 2 /Al 2 O 3 dielectric stacks, with the Al 2 O 3 layer interposed between the TiO 2 and a p-type germanium substrate, are found to exhibit a significant interface charge dipole that causes a ∼-0.2 V shift of the flat-band voltage and suppresses the leakage current density for gate injection of electrons. These effects can be eliminated by the formation of a trilayer dielectric stack, consistent with the cancellation of one TiO 2 /Al 2 O 3 interface dipole by the addition of another dipole of opposite sign. Density functional theory calculations indicate that the observed interface-dependent properties of TiO 2 /Al 2 O 3 dielectric stacks are consistent in sign and magnitude with the predicted behavior of Al Ti and Ti Al point-defect dipoles produced by local intermixing of the Al 2 O 3 /TiO 2 layers across the interface. Evidence for such intermixing is found in both electrical and physical characterization of the gate stacks.

  17. Theory of the forces exerted by Laguerre-Gaussian light beams on dielectrics

    International Nuclear Information System (INIS)

    Loudon, Rodney

    2003-01-01

    The classical theory of the electromagnetic field associated with paraxial Laguerre-Gaussian light is generalized to apply to propagation in a bulk dielectric, and the theory is quantized to obtain expressions for the electric and magnetic field operators. The forms of the Poynting vector and angular momentum density operators are derived and their expectation values for a single-photon wave packet are obtained. The Lorentz force operator in the dielectric is resolved into longitudinal, radial, and azimuthal components. The theory is extended to apply to an interface between two semi-infinite dielectric media, one of which is transparent with an incident single-photon pulse, and the other of which is weakly attenuating. For a pulse that is much shorter than the attenuation length, the theory can separately identify the surface and bulk contributions to the Lorentz force on the attenuating dielectric. Particular attention is given to the transfer of longitudinal and angular momentum to the dielectric from light incident from free space. The resulting expressions for the shift and rotation of a transparent dielectric slab are shown to agree with those obtained from Einstein box theories

  18. Fatigue in artificially layered Pb(Zr,Ti)O3 ferroelectric films

    Science.gov (United States)

    Jiang, A. Q.; Scott, J. F.; Dawber, M.; Wang, C.

    2002-12-01

    We have performed fatigue tests on lead zirconate titanate (PZT) multilayers having stacks of Pb(Zr0.8Ti0.2)O3/Pb(Zr0.2Ti0.8)O3 with repeated distances of 12 formula groups. The results are compared with single-layer n-type (0.5 at. % Ta-doped) PZT films. We conclude that fatigue is dominated by space-charge layers in each case, but that in the multilayer such space charge accumulates at the layer interfaces, rather than at the electrode-dielectric interface. The model, which includes both drift and diffusion, is quantitative and yields a rate-limiting mobility of 6.9±0.9×10-12 cm2/V s, in excellent agreement with the oxygen vacancy mobility for perovskite oxides obtained from Zafar et al.

  19. Control of spontaneous emission of quantum dots using correlated effects of metal oxides and dielectric materials.

    Science.gov (United States)

    Sadeghi, S M; Wing, W J; Gutha, R R; Capps, L

    2017-03-03

    We study the emission dynamics of semiconductor quantum dots in the presence of the correlated impact of metal oxides and dielectric materials. For this we used layered material structures consisting of a base substrate, a dielectric layer, and an ultrathin layer of a metal oxide. After depositing colloidal CdSe/ZnS quantum dots on the top of the metal oxide, we used spectral and time-resolved techniques to show that, depending on the type and thickness of the dielectric material, the metal oxide can characteristically change the interplay between intrinsic excitons, defect states, and the environment, offering new material properties. Our results show that aluminum oxide, in particular, can strongly change the impact of amorphous silicon on the emission dynamics of quantum dots by balancing the intrinsic near band emission and fast trapping of carriers. In such a system the silicon/aluminum oxide charge barrier can lead to large variation of the radiative lifetime of quantum dots and control of the photo-ejection rate of electrons in quantum dots. The results provide unique techniques to investigate and modify physical properties of dielectrics and manage optical and electrical properties of quantum dots.

  20. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    Science.gov (United States)

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  1. Hybrid nanomembrane-based capacitors for the determination of the dielectric constant of semiconducting molecular ensembles

    Science.gov (United States)

    Petrini, Paula A.; Silva, Ricardo M. L.; de Oliveira, Rafael F.; Merces, Leandro; Bof Bufon, Carlos C.

    2018-06-01

    Considerable advances in the field of molecular electronics have been achieved over the recent years. One persistent challenge, however, is the exploitation of the electronic properties of molecules fully integrated into devices. Typically, the molecular electronic properties are investigated using sophisticated techniques incompatible with a practical device technology, such as the scanning tunneling microscopy. The incorporation of molecular materials in devices is not a trivial task as the typical dimensions of electrical contacts are much larger than the molecular ones. To tackle this issue, we report on hybrid capacitors using mechanically-compliant nanomembranes to encapsulate ultrathin molecular ensembles for the investigation of molecular dielectric properties. As the prototype material, copper (II) phthalocyanine (CuPc) has been chosen as information on its dielectric constant (k CuPc) at the molecular scale is missing. Here, hybrid nanomembrane-based capacitors containing metallic nanomembranes, insulating Al2O3 layers, and the CuPc molecular ensembles have been fabricated and evaluated. The Al2O3 is used to prevent short circuits through the capacitor plates as the molecular layer is considerably thin (electrical measurements of devices with molecular layers of different thicknesses, the CuPc dielectric constant has been reliably determined (k CuPc = 4.5 ± 0.5). These values suggest a mild contribution of the molecular orientation on the CuPc dielectric properties. The reported nanomembrane-based capacitor is a viable strategy for the dielectric characterization of ultrathin molecular ensembles integrated into a practical, real device technology.

  2. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  3. Insight into the dielectric response of transformer oil-based nanofluids

    Directory of Open Access Journals (Sweden)

    Ming Dong

    2017-02-01

    Full Text Available The oil-based nanofluids with greater dielectric strength have attracted much attention as a crucial insulating materials in high-voltage oil-immersed power equipment. In fact, the different microstructures of the transformer oil-based nanofluids (TNFs would result in different dielectric properties. In this work, the broadband dielectric spectroscopy measurement was used to establish the linkage between the electric double layer (EDL and dielectric response properties of TNFs which was performed at 298K temperature and with frequency range from 10-2Hz∼106Hz. The modified Havriliak-Negami (HN model function was used to analyze the measured results. The results demonstrate that both the real and imaginary parts of dielectric spectra of two kinds of oil are composed of the conductivity and polarization process. Compared with pure oil, two polarization process could be observed for the TNFs, explained by the EDL structure reasonably. The introduction of the EDL structure provides an idea to account for the insulating strength improvement of TNFs for the first time.

  4. Processing of Dielectric Optical Coatings by Nanosecond and Femtosecond UV Laser Ablation

    International Nuclear Information System (INIS)

    Ihlemann, J.; Bekesi, J.; Klein-Wiele, J.H.; Simon, P.

    2008-01-01

    Micro processing of dielectric optical coatings by UV laser ablation is demonstrated. Excimer laser ablation at deep UV wavelengths (248 nm, 193 nm) is used for the patterning of thin oxide films or layer stacks. The layer removal over extended areas as well as sub-μm-structuring is possible. The ablation of SiO2, Al2O3, HfO2, and Ta2O5 layers and layer systems has been investigated. Due to their optical, chemical, and thermal stability, these inorganic film materials are well suited for optical applications, even if UV-transparency is required. Transparent patterned films of SiO2 are produced by patterning a UV-absorbing precursor SiOx suboxide layer and oxidizing it afterwards to SiO2. In contrast to laser ablation of bulk material, in the case of thin films, the layer-layer or layer-substrate boundaries act as predetermined end points, so that precise depth control and a very smooth surface can be achieved. For large area ablation, nanosecond lasers are well suited; for patterning with submicron resolution, femtosecond excimer lasers are applied. Thus the fabrication of optical elements like dielectric masks, pixelated diffractive elements, and gratings can be accomplished.

  5. Decreasing the electronic confinement in layered perovskites through intercalation.

    Science.gov (United States)

    Smith, Matthew D; Pedesseau, Laurent; Kepenekian, Mikaël; Smith, Ian C; Katan, Claudine; Even, Jacky; Karunadasa, Hemamala I

    2017-03-01

    We show that post-synthetic small-molecule intercalation can significantly reduce the electronic confinement of 2D hybrid perovskites. Using a combined experimental and theoretical approach, we explain structural, optical, and electronic effects of intercalating highly polarizable molecules in layered perovskites designed to stabilize the intercalants. Polarizable molecules in the organic layers substantially alter the optical and electronic properties of the inorganic layers. By calculating the spatially resolved dielectric profiles of the organic and inorganic layers within the hybrid structure, we show that the intercalants afford organic layers that are more polarizable than the inorganic layers. This strategy reduces the confinement of excitons generated in the inorganic layers and affords the lowest exciton binding energy for an n = 1 perovskite of which we are aware. We also demonstrate a method for computationally evaluating the exciton's binding energy by solving the Bethe-Salpeter equation for the exciton, which includes an ab initio determination of the material's dielectric profile across organic and inorganic layers. This new semi-empirical method goes beyond the imprecise phenomenological approximation of abrupt dielectric-constant changes at the organic-inorganic interfaces. This work shows that incorporation of polarizable molecules in the organic layers, through intercalation or covalent attachment, is a viable strategy for tuning 2D perovskites towards mimicking the reduced electronic confinement and isotropic light absorption of 3D perovskites while maintaining the greater synthetic tunability of the layered architecture.

  6. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  7. Anisotropic carrier mobility in single- and bi-layer C3N sheets

    Science.gov (United States)

    Wang, Xueyan; Li, Qingfang; Wang, Haifeng; Gao, Yan; Hou, Juan; Shao, Jianxin

    2018-05-01

    Based on the density functional theory combined with the Boltzmann transport equation with relaxation time approximation, we investigate the electronic structure and predict the carrier mobility of single- and bi-layer newly fabricated 2D carbon nitrides C3N. Although C3N sheets possess graphene-like planar hexagonal structure, the calculated carrier mobility is remarkably anisotropic, which is found mainly induced by the anisotropic effective masses and deformation potential constants. Importantly, we find that both the electron and hole mobilities are considerable high, for example, the hole mobility along the armchair direction of single-layer C3N sheets can arrive as high as 1.08 ×104 cm2 V-1 s-1, greatly larger than that of C2N-h2D and many other typical 2D materials. Owing to the high and anisotropic carrier mobility and appropriate band gap, single- and bi-layer semiconducting C3N sheets may have great potential applications in high performance electronic and optoelectronic devices.

  8. Study of the mechanical properties of single- layer and multi-layer metallic coatings with protective-decorative applications

    Directory of Open Access Journals (Sweden)

    Cherneva Sabina

    2018-01-01

    Full Text Available Single thin coating of matt nickel (Nimat, a mirror bright copper (Cubright, a mirror bright nickel (Nibright and their combinations were electrochemically deposited on brass substrate with thickness 500 μm. The basic aim was electrodeposition of two-layer Cubright/Nimat and Nibright/Cubright systems, and three-layer Nibright Cubrigh/Nimat system, which are among the most widely applied protective and decorative systems in light and medium operating conditions of corrosion. The thicknesses of the obtained films varied from 1 μm to 3.25 μm. They were investigated via nanoindentation experiments, in order to characterize their basic physical and mechanical characteristics, related with their good adhesion and corrosion protective ability, as well as ensuring the integrity of the system “protective coating/substrate” to possible mechanical, dynamic and/or thermal stresses. As a result, load-displacement curves were obtained and indentation hardness and indentation modulus were calculated using the Oliver & Pharr approximation method. The dependence of the indentation modulus and the indentation hardness on the depth of the indentation, surface morphology and structure of the obtained coatings, their texture and surface roughness were investigated too. The obtained results showed that the three-layer Nibright/Cubright /Niimat/CuZn37 system has highest indentation modulus and indentation hardness, following by two-layer Nibright/Cubright system and single layer coatings.

  9. Gap opening and tuning in single-layer graphene with combined electric and magnetic field modulation

    Institute of Scientific and Technical Information of China (English)

    Lin Xin; Wang Hai-Long; Pan Hui; Xu Huai-Zhe

    2011-01-01

    The energy band structure of single-layer graphene under one-dimensional electric and magnetic field modulation is theoretically investigated. The criterion for bandgap opening at the Dirac point is analytically derived with a two-fold degeneracy second-order perturbation method. It is shown that a direct or an indirect bandgap semiconductor could be realized in a single-layer graphene under some specific configurations of the electric and magnetic field arrangement. Due to the bandgap generated in the single-layer graphene, the Klein tunneling observed in pristine graphene is completely suppressed.

  10. Synthesis of few layer single crystal graphene grains on platinum by chemical vapour deposition

    Directory of Open Access Journals (Sweden)

    S. Karamat

    2015-08-01

    Full Text Available The present competition of graphene electronics demands an efficient route which produces high quality and large area graphene. Chemical vapour deposition technique, where hydrocarbons dissociate in to active carbon species and form graphene layer on the desired metal catalyst via nucleation is considered as the most suitable method. In this study, single layer graphene with the presence of few layer single crystal graphene grains were grown on Pt foil via chemical vapour deposition. The higher growth temperature changes the surface morphology of the Pt foil so a delicate process of hydrogen bubbling was used to peel off graphene from Pt foil samples with the mechanical support of photoresist and further transferred to SiO2/Si substrates for analysis. Optical microscopy of the graphene transferred samples showed the regions of single layer along with different oriented graphene domains. Two type of interlayer stacking sequences, Bernal and twisted, were observed in the graphene grains. The presence of different stacking sequences in the graphene layers influence the electronic and optical properties; in Bernal stacking the band gap can be tunable and in twisted stacking the overall sheet resistance can be reduced. Grain boundaries of Pt provides low energy sites to the carbon species, therefore the nucleation of grains are more at the boundaries. The stacking order and the number of layers in grains were seen more clearly with scanning electron microscopy. Raman spectroscopy showed high quality graphene samples due to very small D peak. 2D Raman peak for single layer graphene showed full width half maximum (FWHM value of 30 cm−1. At points A, B and C, Bernal stacked grain showed FWHM values of 51.22, 58.45 and 64.72 cm−1, while twisted stacked grain showed the FWHM values of 27.26, 28.83 and 20.99 cm−1, respectively. FWHM values of 2D peak of Bernal stacked grain showed an increase of 20–30 cm−1 as compare to single layer graphene

  11. Super dielectric capacitor using scaffold dielectric

    OpenAIRE

    Phillips, Jonathan

    2018-01-01

    Patent A capacitor having first and second electrodes and a scaffold dielectric. The scaffold dielectric comprises an insulating material with a plurality of longitudinal channels extending across the dielectric and filled with a liquid comprising cations and anions. The plurality of longitudinal channels are substantially parallel and the liquid within the longitudinal channels generally has an ionic strength of at least 0.1. Capacitance results from the migrations of...

  12. Broadband Dielectric Spectroscopy and Quasi-Elastic Neutron Scattering on Single-Ion Polymer Conductors

    Science.gov (United States)

    Soles, Christopher; Peng, Hua-Gen; Page, Kirt; Snyder, Chad; Pandy, Ashoutosh; Jeong, Youmi; Runt, James; NIST Collaboration; Pennsylvania Collaboration

    2011-03-01

    The application of solid polymer electrolytes in rechargeable batteries has not been fully realized after decades of research due to its low conductivity. Dramatic increases of the ion conductivity are needed and this progress requires the understanding of conduction mechanism. We address this topic in two fronts, namely, the effect of plasticizer additives and geometric confinement on the charge transfer mechanism. To this end, we combine broadband dielectric spectroscopy (BDS) to characterize the ion mobility and quasi-elastic neutron scattering (QENS) to quantify segmental motion on a single-ion model polymer electrolyte. Deuterated small molecules were used as plasticizers so that the segmental motion of the polymer electrolyte could be monitored by QENS to understand the mechanism behind the increased conductivity. Anodic aluminum oxide (AAO) membranes with well defined channel sizes are used as the matrix to study the transport of ions solvated in a 1D polymer electrolyte.

  13. Valley polarization in magnetically doped single-layer transition-metal dichalcogenides

    KAUST Repository

    Cheng, Yingchun; Zhang, Q. Y.; Schwingenschlö gl, Udo

    2014-01-01

    We demonstrate that valley polarization can be induced and controlled in semiconducting single-layer transition-metal dichalcogenides by magnetic doping, which is important for spintronics, valleytronics, and photonics devices. As an example, we

  14. The structures of passivated layers on the single crystals of austenitic steels

    International Nuclear Information System (INIS)

    Glownia, J.; Banas, J.

    1995-01-01

    In this work, the conditions of passivation and structure of passive layers on the single crystals in Fe-Cr18-Ni9 alloys are presented. The data shown the differences in the rate of passivation and in stability of passive layers on the (001), (011) and (111) surfaces. The passive layers are composed with the mixture of Fe +2 and Cr +3 oxides and hydroxides. On the (001) surface, the depth of passive layer is greater than on the (111) surface. (author)

  15. Single-layer skull approximations perform well in transcranial direct current stimulation modeling

    NARCIS (Netherlands)

    Rampersad, S.M.; Stegeman, D.F.; Oostendorp, T.F.

    2013-01-01

    In modeling the effect of transcranial direct current stimulation, the representation of the skull is an important factor. In a spherical model, we compared a realistic skull modeling approach, in which the skull consisted of three isotropic layers, to anisotropic and isotropic single-layer

  16. Single-layer closure of typhoid enteric perforation: Our experience ...

    African Journals Online (AJOL)

    Materials and Methods: We retrospectively studied the effects of single versus double layer intestinal closure after typhoid enteric perforation with peritonitis in 902 pediatric patients from September 2007 to April 2012. All the patients underwent laparotomy after resuscitation and antibiotic cover. The patients were divided ...

  17. Measurement of valence band structure in arbitrary dielectric films

    International Nuclear Information System (INIS)

    Uhm, Han S.; Choi, Eun H.

    2012-01-01

    A new way of measuring the band structure of various dielectric materials using the secondary electron emission from Auger neutralization of ions is introduced. The first example of this measurement scheme is the magnesium oxide (MgO) films with respect to the application of the films in the display industries. The density of state in the valence bands of MgO film and MgO film with a functional layer (FL) deposited over a dielectric surface reveals that the density peak of film with a FL is considerably less than that of film, thereby indicating a better performance of MgO film with functional layer in display devices. The second example of the measurement is the boron-zinc oxide (BZO) films with respect to the application of the films to the development of solar cells. The measurement of density of state in BZO film suggests that a high concentration of boron impurity in BZO films may enhance the transition of electrons and holes through the band gap from the valence to the conduction band in zinc oxide crystals; thereby improving the conductivity of the film. Secondary electron emission by the Auger neutralization of ions is highly instrumental for the determination of the density of states in the valence band of dielectric materials.

  18. Transfer-free batch fabrication of single layer graphene transistors.

    Science.gov (United States)

    Levendorf, Mark P; Ruiz-Vargas, Carlos S; Garg, Shivank; Park, Jiwoong

    2009-12-01

    Full integration of graphene into conventional device circuitry would require a reproducible large scale graphene synthesis that is compatible with conventional thin film technology. We report the synthesis of large scale single layer graphene directly onto an evaporated copper film. A novel fabrication method was used to directly pattern these graphene sheets into devices by simply removing the underlying copper film. Raman and conductance measurements show that the mechanical and electrical properties of our single layer graphene are uniform over a large area, ( Ferrari, A. C. et al. Phys. Rev. Lett. 2006, 97, 187401.) which leads to a high device yield and successful fabrication of ultra long (>0.5 mm) graphene channels. Our graphene based devices present excellent electrical properties including a promising carrier mobility of 700 cm(2)/V.s and current saturation characteristics similar to devices based on exfoliated graphene ( Meric, I.. et al. Nat Nanotechnol. 2008, 3, 654-659).

  19. Discharge Simulation and Fabrication Process of an Aluminum Electrode and an Alumina Layer in AC-PDP

    International Nuclear Information System (INIS)

    Liu Qifa; Ding Guifu; Liu Chang; Wang Yan; Yan Qun

    2013-01-01

    A larger space PDP cell with patterned aluminum as the addressing electrode and alumina as the dielectric layer was proposed. The aluminum electrode and the alumina dielectric layer formed on the aluminum electrode were prepared separately by magnetron sputtering and anodic oxidation for plasma display panel. The properties of the aluminum electrode and the alumina dielectric layer were tested and can meet the demand of PDP application. The resistivity of the aluminum electrode is about 5 × 10 −8 Ω·m, the voltage withstanding of the alumina dielectric layer exceeds 100 V/μm and the relative permittivity is about 3.5 at 1 MHz. With this structure, the manufacturing cost of PDP could be cut and the addressing discharge formative delay is reduced by 0.67%, which is proved by PIC-MCC simulation. (plasma technology)

  20. Behavior of pentacene initial nucleation on various dielectrics and its effect on carrier transport in organic field-effect transistor.

    Science.gov (United States)

    Qi, Qiong; Yu, Aifang; Wang, Liangmin; Jiang, Chao

    2010-11-01

    The influence of dielectric surface energy on the initial nucleation and the growth of pentacene films as well as the electrical properties of the pentacene-based field-effect transistors are investigated. We have examined a range of organic and inorganic dielectrics with different surface energies, such as polycarbonate/SiO2, polystyrene/SiO2, and PMMA/SiO2 bi-layered dielectrics and also the bare SiO2 dielectric. Atomic force microscopy measurements of sub-monolayer and thick pentacene films indicated that the growth of pentacene film was in Stranski-Kranstanow growth mode on all the dielectrics. However, the initial nucleation density and the size of the first-layered pentacene islands deposited on different dielectrics are drastically influenced by the dielectric surface energy. With the increasing of the surface energy, the nucleation density increased and thus the average size of pentacene islands for the first mono-layer deposition decreased. The performance of fabricated pentacene-based thin film transistors was found to be highly related to nucleation density and the island size of deposited Pentacene film, and it had no relationship to the final particle size of the thick pentacene film. The field effect mobility of the thin film transistor could be achieved as high as 1.38 cm2Ns with on/off ratio over 3 x 10(7) on the PS/SiO2 where the lowest surface energy existed among all the dielectrics. For comparison, the values of mobility and on/off ratio were 0.42 cm2Ns and 1 x 10(6) for thin film transistor deposited directly on bare SiO2 having the highest surface energy.

  1. High-current electron beam coupling to hybrid waveguide and plasma modes in a dielectric Cherenkov maser with a plasma layer

    International Nuclear Information System (INIS)

    Shlapakovski, Anatoli S.

    2002-01-01

    The linear theory of a dielectric Cherenkov maser with a plasma layer has been developed. The dispersion relation has been derived for the model of infinitely thin, fully magnetized, monoenergetic hollow electron beam, in the axisymmetric case. The results of the numerical solution of the dispersion relation and the analysis of the beam coupling to hybrid waves, both hybrid waveguide and hybrid plasma modes, are presented. For the hybrid waveguide mode, spatial growth rate dependences on frequency at different plasma densities demonstrate improvement in gain for moderate densities, but strong shifting the amplification band and narrowing the bandwidth. For the hybrid plasma mode, the case of mildly relativistic, 200-250 keV beams is of interest, so that the wave phase velocity is just slightly greater than the speed of light in a dielectric medium. It has been shown that depending on beam and plasma parameters, the hybrid plasma mode can be separated from the hybrid waveguide mode, or be coupled to it through the beam resulting in strong gain increase, or exhibit a flat gain vs frequency dependence over a very broad band. The parameters, at which the -3 dB bandwidth calculated for 30 dB peak gain exceeds an octave, have been found

  2. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    Science.gov (United States)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  3. Dielectric properties of lanthanum gallate (LaGaO3) crystal

    Science.gov (United States)

    Dube, D. C.; Scheel, H. J.; Reaney, I.; Daglish, M.; Setter, N.

    1994-04-01

    Dielectric properties of single crystals of LaGaO3 have been measured at low frequencies as well as in the microwave region over a wide temperature range. Measurements performed on two crystal orientations, viz. (001) and (110), show dielectric anomalies at a transition near 145 °C. Dielectric anisotropy below, but not above, 145 °C confirm the previously reported orthorhombic symmetry at room temperature and rhombohedral symmetry above 145 °C. Domain wall motion which arises as a result of a phase transition has been observed around 145 °C.

  4. The effect of organo-clay on the dielectric properties of silicone rubber

    International Nuclear Information System (INIS)

    Razzaghi-Kashani, M; Gharavi, N; Javadi, S

    2008-01-01

    Dielectric elastomers are highly deformable and fast response smart materials capable of actuation under electric fields. Among commercially available dielectric elastomers, silicone rubber can be compounded with different fillers in order to modify its electrical and mechanical properties. To study the effect of organically modified montmorillonite (OMMT) on the dielectric properties of silicone rubber, OMMT was added to this rubber at two levels, 2% and 5%, using two methods, low-shear and high-shear mixing. Composites were characterized by x-ray diffraction (XRD), scanning electron microscopy (SEM), and atomic force microscopy (AFM). The XRD patterns showed different crystallite structures for silicate platelets in the rubber matrix as a result of the two different mixing methods. In low-shear mixing, the ordered crystallite structure of the clay remains almost unchanged, whereas in high-shear mixing it loses its ordered structure, leading to the disappearance of the diffraction peaks. SEM and AFM micrographs depicted better dispersion and more uniform distribution of the organo-clay under high-shear mixing compared to those obtained by low-shear mixing. The tensile properties also confirmed the different degree of dispersion of the nano-clay resulting from the two different methods of mixing. The dielectric properties of the composites were measured under AC electric fields, and the results were compared with reference silicone rubbers with no OMMT. It was shown that the order of organo-clay layers in the less dispersed structure of the clay imparts an additional ionic polarization and higher dielectric permittivity compared to the case where the clay layers are more dispersed and lost their order. The storage and loss dielectric constants of base silicone rubber increase when it is compounded with OMMT

  5. Reflectance properties of one-dimensional metal-dielectric ternary photonic crystal

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, G. N., E-mail: gnpandey2009@gmail.com [Department of Physics, Amity Institute of Applied Sciences, AmityUniversity, Noida (U.P.) (India); Kumar, Narendra [Department of Physics (CASH), Modi University of Science and Technology, Lakshmangarh, Sikar, Rajsthan (India); Thapa, Khem B. [Department of Physics, U I E T, ChhatrapatiShahu Ji Maharaj University, Kanpur- (UP) (India); Ojha, S. P. [Department of Physics IIT, Banaras Hindu University (India)

    2016-05-06

    Metallic photonic crystal has a very important application in absorption enhancement in solar cells. It has been found that an ultra-thin metallic layer becomes transparent due to internal scattering of light through the each interface of the dielectric and metal surfaces. The metal has absorption due to their surface plasmon and the plasmon has important parameters for changing optical properties of the metal. We consider ternary metallic-dielectric photonic crystal (MDPC) for having large probabilities to change the optical properties of the MDPC and the photonic crystals may be changed by changing dimensionality, symmetry, lattice parameters, Filling fraction and effective refractive index refractive index contrast. In this present communication, we try to show that the photonic band gap in ternary metal-dielectric photonic crystal can be significantly enlarged when air dielectric constant is considered. All the theoretical analyses are made based on the transfer matrix method together with the Drude model of metal.

  6. ZnO as dielectric for optically transparent non-volatile memory

    International Nuclear Information System (INIS)

    Salim, N. Tjitra; Aw, K.C.; Gao, W.; Wright, Bryon E.

    2009-01-01

    This paper discusses the application of a DC sputtered ZnO thin film as a dielectric in an optically transparent non-volatile memory. The main motivation for using ZnO as a dielectric is due to its optical transparency and mechanical flexibility. We have established the relationship between the electrical resistivity (ρ) and the activation energy (E a ) of the electron transport in the conduction band of the ZnO film. The ρ of 2 x 10 4 -5 x 10 7 Ω-cm corresponds to E a of 0.36-0.76 eV, respectively. The k-value and optical band-gap for films sputtered with Ar:O 2 ratio of 4:1 are 53 ± 3.6 and 3.23 eV, respectively. In this paper, the basic charge storage element for a non-volatile memory is a triple layer dielectric structure in which a 50 nm thick ZnO film is sandwiched between two layers of methyl silsesquioxane sol-gel dielectric of varying thickness. A pronounced clockwise capacitance-voltage (C-V) hysteresis was observed with a memory window of 6 V. The integration with a solution-processable pentacene, 13,6-N-Sulfinylacetamodipentacene resulted in an optically transparent organic field effect transistor non-volatile memory (OFET-NVM). We have demonstrated that this OFET-NVM can be electrically programmed and erased at low voltage (± 10 V) with a threshold voltage shift of 4.0 V.

  7. Photoconductivity and dielectric studies of potassium pentaborate

    Indian Academy of Sciences (India)

    Single crystal of potassium pentaborate (KB5) has been grown by solution growth ... equipped with the Gunn Oscillator guided with rectangular wave-guide. ... its dielectric behaviour with the change of frequency has also been investigated.

  8. Structural and electronic properties of single molecules and organic layers on surfaces

    NARCIS (Netherlands)

    Sotthewes, Kai

    2016-01-01

    Single molecules and organic layers on well-defined solid surfaces have attracted tremendous attention owing to their interesting physical and chemical properties. The ultimate utility of single molecules or self-assembled monolayers (SAMs) for potential applications is critically dependent on the

  9. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.; Quevedo-Ló pez, Manuel Angel Quevedo; Alshareef, Husam N.; Gnade, Bruce E.; Ramí rez-Bon, Rafael

    2010-01-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  10. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.

    2010-03-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  11. Contact-free sheet resistance determination of large area graphene layers by an open dielectric loaded microwave cavity

    International Nuclear Information System (INIS)

    Shaforost, O.; Wang, K.; Adabi, M.; Guo, Z.; Hanham, S.; Klein, N.; Goniszewski, S.; Gallop, J.; Hao, L.

    2015-01-01

    A method for contact-free determination of the sheet resistance of large-area and arbitrary shaped wafers or sheets coated with graphene and other (semi) conducting ultrathin layers is described, which is based on an open dielectric loaded microwave cavity. The sample under test is exposed to the evanescent resonant field outside the cavity. A comparison with a closed cavity configuration revealed that radiation losses have no significant influence of the experimental results. Moreover, the microwave sheet resistance results show good agreement with the dc conductivity determined by four-probe van der Pauw measurements on a set of CVD samples transferred on quartz. As an example of a practical application, correlations between the sheet resistance and deposition conditions for CVD graphene transferred on quartz wafers are described. Our method has a high potential as measurement standard for contact-free sheet resistance measurement and mapping of large area graphene samples

  12. Hybrid nanomembrane-based capacitors for the determination of the dielectric constant of semiconducting molecular ensembles.

    Science.gov (United States)

    Petrini, Paula Andreia; Lopes da Silva, Ricardo Magno; de Oliveira, Rafael Furlan; Merces, Leandro; Bufon, Carlos César Bof

    2018-04-06

    Considerable advances in the field of molecular electronics have been achieved over the recent years. One persistent challenge, however, is the exploitation of the electronic properties of molecules fully integrated into devices. Typically, the molecular electronic properties are investigated using sophisticated techniques incompatible with a practical device technology, such as the scanning tunneling microscope (STM). The incorporation of molecular materials in devices is not a trivial task since the typical dimensions of electrical contacts are much larger than the molecular ones. To tackle this issue, we report on hybrid capacitors using mechanically-compliant nanomembranes to encapsulate ultrathin molecular ensembles for the investigation of molecular dielectric properties. As the prototype material, copper (II) phthalocyanine (CuPc) has been chosen as information on its dielectric constant (kCuPc) at the molecular scale is missing. Here, hybrid nanomembrane-based capacitors containing metallic nanomembranes, insulating Al2O3 layers, and the CuPc molecular ensemble have been fabricated and evaluated. The Al2O3 is used to prevent short circuits through the capacitor plates as the molecular layer is considerably thin (< 30 nm). From the electrical measurements of devices with molecular layers of different thicknesses, the CuPc dielectric constant has been reliably determined (kCuPc = 4.5 ± 0.5). These values suggest a mild contribution of molecular orientation in the CuPc dielectric properties. The reported nanomembrane-based capacitor is a viable strategy for the dielectric characterization of ultrathin molecular ensembles integrated into a practical, real device technology. © 2018 IOP Publishing Ltd.

  13. The possibility of giant dielectric materials for multilayer ceramic capacitors.

    Science.gov (United States)

    Ishii, Tatsuya; Endo, Makoto; Masuda, Kenichiro; Ishida, Keisuke

    2013-02-11

    There have been numerous reports on discovery of giant dielectric permittivity materials called internal barrier layer capacitor in the recent years. We took particular note of one of such materials, i.e., BaTiO 3 with SiO 2 coating. It shows expressions of giant electric permittivity when processed by spark plasma sintering. So we evaluated various electrical characteristics of this material to find out whether it is applicable to multilayer ceramic capacitors. Our evaluation revealed that the isolated surface structure is the sole cause of expressions of giant dielectric permittivity.

  14. Thermoelectric Response in Single Quintuple Layer Bi2Te3

    KAUST Repository

    Sharma, S.; Schwingenschlö gl, Udo

    2016-01-01

    of single quintuple layer Bi2Te3 by considering both the electron and phonon transport. On the basis of first-principles density functional theory, the electronic and phononic contributions are calculated by solving Boltzmann transport equations

  15. Persistent Charge-Density-Wave Order in Single-Layer TaSe2.

    Science.gov (United States)

    Ryu, Hyejin; Chen, Yi; Kim, Heejung; Tsai, Hsin-Zon; Tang, Shujie; Jiang, Juan; Liou, Franklin; Kahn, Salman; Jia, Caihong; Omrani, Arash A; Shim, Ji Hoon; Hussain, Zahid; Shen, Zhi-Xun; Kim, Kyoo; Min, Byung Il; Hwang, Choongyu; Crommie, Michael F; Mo, Sung-Kwan

    2018-02-14

    We present the electronic characterization of single-layer 1H-TaSe 2 grown by molecular beam epitaxy using a combined angle-resolved photoemission spectroscopy, scanning tunneling microscopy/spectroscopy, and density functional theory calculations. We demonstrate that 3 × 3 charge-density-wave (CDW) order persists despite distinct changes in the low energy electronic structure highlighted by the reduction in the number of bands crossing the Fermi energy and the corresponding modification of Fermi surface topology. Enhanced spin-orbit coupling and lattice distortion in the single-layer play a crucial role in the formation of CDW order. Our findings provide a deeper understanding of the nature of CDW order in the two-dimensional limit.

  16. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  17. Interface trapping in (2 ¯ 01 ) β-Ga2O3 MOS capacitors with deposited dielectrics

    Science.gov (United States)

    Jayawardena, Asanka; Ramamurthy, Rahul P.; Ahyi, Ayayi C.; Morisette, Dallas; Dhar, Sarit

    2018-05-01

    The electrical properties of interfaces and the impact of post-deposition annealing have been investigated in gate oxides formed by low pressure chemical vapor deposition (LPCVD SiO2) and atomic layer deposition (Al2O3) on ( 2 ¯ 01 ) oriented n-type β-Ga2O3 single crystals. Capacitance-voltage based methods have been used to extract the interface state densities, including densities of slow `border' traps at the dielectric-Ga2O3 interfaces. It was observed that SiO2-β-Ga2O3 has a higher interface and border trap density than the Al2O3-β-Ga2O3. An increase in shallow interface states was also observed at the Al2O3-β-Ga2O3 interface after post-deposition annealing at higher temperature suggesting the high temperature annealing to be detrimental for Al2O3-Ga2O3 interfaces. Among the different dielectrics studied, LPCVD SiO2 was found to have the lowest dielectric leakage and the highest breakdown field, consistent with a higher conduction band-offset. These results are important for the processing of high performance β-Ga2O3 MOS devices as these factors will critically impact channel transport, threshold voltage stability, and device reliability.

  18. Growth, structural, thermal, dielectric and nonlinear optical properties of potassium hexachloro cadmate (IV) a novel single crystal

    Science.gov (United States)

    Umarani, P.; Jagannathan, K.

    2018-02-01

    The Potassium hexachloro cadmate (IV) (PHC) single crystal was grown from the aqueous of the solution by a controlled evaporation method. Single crystal XRD solved the structure. FTIR is used to identify the functional groups of grown crystal. The UV-Vis-NIR spectrometer was used to find out the UV cut off region and to calculate the optical band gap of the Potassium hexachloro cadmate (IV) single crystal. The EDAX spectrum has been used to identify the compounds present in title compound. The TG-DTA profile shows the thermal stability of the grown crystal of Potassium hexachloro cadmate (IV). The Vicker's hardness measurement was used to calculate the material hardness of the title compound. The dielectric loss and constant varied with frequencies and activation energy is also calculated. The solid state parameters like plasma energy, Penn gap, Fermi energy, electronic polarizability using Penn analysis and Clausius-Mossotti equation were also calculated for the title compound. The Z-scan technique is used to calculate the third order nonlinear susceptibility of a real and imaginary part.

  19. Evolutionary optimization of compact dielectric lens for farfield sub-wavelength imaging

    DEFF Research Database (Denmark)

    Zhang, Jingjing

    2015-01-01

    The resolution of conventional optical lenses is limited by diffraction. For decades researchers have made various attempts to beat the diffraction limit and realize subwavelength imaging. Here we present the approach to design modified solid immersion lenses that deliver the subwavelength...... information of objects into the far field, yielding magnified images. The lens is composed of an isotropic dielectric core and anisotropic or isotropic dielectric matching layers. It is designed by combining a transformation optics forward design with an inverse design scheme, where an evolutionary...... optimization procedure is applied to find the material parameters for the matching layers. Notably, the total radius of the lens is only 2.5 wavelengths and the resolution can reach lambda/6. Compared to previous approaches based on the simple discretized approximation of a coordinate transformation design...

  20. Diffusion of Supercritical Fluids through Single-Layer Nanoporous Solids: Theory and Molecular Simulations.

    Science.gov (United States)

    Oulebsir, Fouad; Vermorel, Romain; Galliero, Guillaume

    2018-01-16

    With the advent of graphene material, membranes based on single-layer nanoporous solids appear as promising devices for fluid separation, be it liquid or gaseous mixtures. The design of such architectured porous materials would greatly benefit from accurate models that can predict their transport and separation properties. More specifically, there is no universal understanding of how parameters such as temperature, fluid loading conditions, or the ratio of the pore size to the fluid molecular diameter influence the permeation process. In this study, we address the problem of pure supercritical fluids diffusing through simplified models of single-layer porous materials. Basically, we investigate a toy model that consists of a single-layer lattice of Lennard-Jones interaction sites with a slit gap of controllable width. We performed extensive equilibrium and biased molecular dynamics simulations to document the physical mechanisms involved at the molecular scale. We propose a general constitutive equation for the diffusional transport coefficient derived from classical statistical mechanics and kinetic theory, which can be further simplified in the ideal gas limit. This transport coefficient relates the molecular flux to the fluid density jump across the single-layer membrane. It is found to be proportional to the accessible surface porosity of the single-layer porous solid and to a thermodynamic factor accounting for the inhomogeneity of the fluid close to the pore entrance. Both quantities directly depend on the potential of mean force that results from molecular interactions between solid and fluid atoms. Comparisons with the simulations data show that the kinetic model captures how narrowing the pore size below the fluid molecular diameter lowers dramatically the value of the transport coefficient. Furthermore, we demonstrate that our general constitutive equation allows for a consistent interpretation of the intricate effects of temperature and fluid loading

  1. High efficiency rubrene based inverted top-emission organic light emitting devices with a mixed single layer

    International Nuclear Information System (INIS)

    Wang, Zhaokui; Lou, Yanhui; Naka, Shigeki; Okada, Hiroyuki

    2010-01-01

    Inverted top-emission organic light emitting devices (TEOLEDs) with a mixed single layer by mixing of electron transport materials (PyPySPyPy and Alq 3 ), hole transport material (α-NPD) and dope material (rubrene) were investigated. Maximum power efficiency of 3.5 lm/W and maximum luminance of 7000 cd/m 2 were obtained by optimizing the mixing ratio of PyPySPyPy:Alq 3 :α-NPD:rubrene=25:50:25:1. Luminance and power efficiency of mixed single layer device were two times improved compared to bi-layer heterojunction device and tri-layer heterojunction device. Lifetime test also shows that the mixed single layer device exhibits longer operational lifetimes of 343 h, which is three times longer than the 109 h for tri-layer device, and two times longer than the 158 h for bi-layer device. In addition, the maximum luminance and power efficiency were obtained at 20,000 cd/m 2 and 7.5 lm/W, respectively, when a TPD layer of 45 nm was capped onto the top metal electrode.

  2. Thermoelectric Response in Single Quintuple Layer Bi2Te3

    KAUST Repository

    Sharma, S.

    2016-10-05

    Because Bi2Te3 belongs to the most important thermoelectric materials, the successful exfoliation of a single quintuple layer has opened access to an interesting two-dimensional material. For this reason, we study the thermoelectric properties of single quintuple layer Bi2Te3 by considering both the electron and phonon transport. On the basis of first-principles density functional theory, the electronic and phononic contributions are calculated by solving Boltzmann transport equations. The dependence of the lattice thermal conductivity on the phonon mean free path is evaluated along with the contributions of the acoustic and optical branches. We find that the thermoelectric response is significantly better for p- than for n-doping. By optimizing the carrier concentration, at 300 K, a ZT value of 0.77 is achieved, which increases to 2.42 at 700 K.

  3. Phase Transitions in Layered Diguanidinium Hexachlorostannate(IV)

    DEFF Research Database (Denmark)

    Szafranski, Marek; Ståhl, Kenny

    2016-01-01

    is different. The transitions involve also transformations in the networks of N-H center dot center dot center dot Cl hydrogen bonds. The large volume (similar to 3%) and entropy (similar to R ln 3) change at the transition between phases II and III, and the giant pressure coefficient of -755 K GPa(-1......Five crystalline phases of diguanidinium hexachlorostannate(IV), [C(NH2)(3)](2)SnCl6, have been identified and characterized by calorimetric and dielectric measurements, single crystal X-ray diffraction at atmospheric and high pressure, and synchrotron X-ray powder diffraction. The crystal...... structures of all phases are built of similar layers in which the tin hexachloride anions are connected to the guanidinium cations by N-H center dot center dot center dot Cl hydrogen bonds, forming a interact primarily by Coulombic forces between the ions from ap. double H-bonded sheets. The layers, neutral...

  4. Feasibility of X-ray analysis of multi-layer thin films at a single beam voltage

    International Nuclear Information System (INIS)

    Statham, P J

    2010-01-01

    Multi-layer analysis using electron beam excitation and X-ray spectrometry is a powerful tool for characterising layers down to 1 nm thickness and with typically 1 μm lateral resolution but does not always work. Most published applications have used WDS with many measurements at different beam voltages and considerable experience has been needed to choose lines and voltages particularly for complex multi-layer problems. A new objective mathematical approach is described which demonstrates whether X-ray analysis can obtain reliable results for an arbitrary multi-layer problem. A new algorithm embodied in 'ThinFilmID' software produces a single plot that shows feasibility of achieving results with a single EDS spectrum and suggests the optimal beam voltage. Synthesis of EDS spectra allows the precision in results to be estimated and acquisition conditions modified before wasting valuable instrument time. Thus, practicality of multi-layer thin film analysis at a single beam voltage can now be established without the extensive experimentation that was previously required by a microanalysis expert. Examples are shown where the algorithm discovers viable single-voltage conditions for applications that experts previously thought could only be addressed using measurements at more than one beam voltage.

  5. Dielectric sample with two-layer charge distribution for space charge calibration purposes

    DEFF Research Database (Denmark)

    Holbøll, Joachim; Henriksen, Mogens; Rasmussen, C.

    2002-01-01

    In the present paper is described a dielectric test sample with two very narrow concentrations of bulk charges, achieved by two internal electrodes not affecting the acoustical properties of the sample, a fact important for optimal application of most space charge measuring systems. Space charge...

  6. Medium band gap polymer based solution-processed high-κ composite gate dielectrics for ambipolar OFET

    Science.gov (United States)

    Canımkurbey, Betül; Unay, Hande; Çakırlar, Çiğdem; Büyükköse, Serkan; Çırpan, Ali; Berber, Savas; Altürk Parlak, Elif

    2018-03-01

    The authors present a novel ambipolar organic filed-effect transistors (OFETs) composed of a hybrid dielectric thin film of Ta2O5:PMMA nanocomposite material, and solution processed poly(selenophene, benzotriazole and dialkoxy substituted [1,2-b:4, 5-b‧] dithiophene (P-SBTBDT)-based organic semiconducting material as the active layer of the device. We find that the Ta2O5:PMMA insulator shows n-type conduction character, and its combination with the p-type P-SBTBDT organic semiconductor leads to an ambipolar OFET device. Top-gated OFETs were fabricated on glass substrate consisting of interdigitated ITO electrodes. P-SBTBDT-based material was spin coated on the interdigitated ITO electrodes. Subsequently, a solution processed Ta2O5:PMMA nanocomposite material was spin coated, thereby creating the gate dielectric layer. Finally, as a gate metal, an aluminum layer was deposited by thermal evaporation. The fabricated OFETs exhibited an ambipolar performance with good air-stability, high field-induced current and relatively high electron and hole mobilities although Ta2O5:PMMA nanocomposite films have slightly higher leakage current compared to the pure Ta2O5 films. Dielectric properties of the devices with different ratios of Ta2O5:PMMA were also investigated. The dielectric constant varied between 3.6 and 5.3 at 100 Hz, depending on the Ta2O5:PMMA ratio.

  7. Single-particle thermal diffusion of charged colloids: Double-layer theory in a temperature gradient

    NARCIS (Netherlands)

    Dhont, J.K.G.; Briels, Willem J.

    2008-01-01

    The double-layer contribution to the single-particle thermal diffusion coefficient of charged, spherical colloids with arbitrary double-layer thickness is calculated and compared to experiments. The calculation is based on an extension of the Debye-Hückel theory for the double-layer structure that

  8. Composite Beam Cross-Section Analysis by a Single High-Order Element Layer

    DEFF Research Database (Denmark)

    Couturier, Philippe; Krenk, Steen

    2015-01-01

    An analysis procedure of general cross-section properties is presented. The formulation is based on the stress-strain states in the classic six equilibrium modes of a beam by considering a finite thickness slice modelled by a single layer of 3D finite elements. The theory is illustrated by applic......An analysis procedure of general cross-section properties is presented. The formulation is based on the stress-strain states in the classic six equilibrium modes of a beam by considering a finite thickness slice modelled by a single layer of 3D finite elements. The theory is illustrated...

  9. Pressure estimation from single-snapshot tomographic PIV in a turbulent boundary layer

    NARCIS (Netherlands)

    Schneiders, J.F.G.; Pröbsting, S.; Dwight, R.P.; Van Oudheusden, B.W.; Scarano, F.

    2016-01-01

    A method is proposed to determine the instantaneous pressure field from a single tomographic PIV velocity snapshot and is applied to a flat-plate turbulent boundary layer. The main concept behind the single-snapshot pressure evaluation method is to approximate the flow acceleration using the

  10. Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high- k metal gate NMOSFET with kMC TDDB simulations

    International Nuclear Information System (INIS)

    Xu Hao; Yang Hong; Luo Wei-Chun; Xu Ye-Feng; Wang Yan-Rong; Tang Bo; Wang Wen-Wu; Qi Lu-Wei; Li Jun-Feng; Yan Jiang; Zhu Hui-Long; Zhao Chao; Chen Da-Peng; Ye Tian-Chun

    2016-01-01

    The thickness effect of the TiN capping layer on the time dependent dielectric breakdown (TDDB) characteristic of ultra-thin EOT high- k metal gate NMOSFET is investigated in this paper. Based on experimental results, it is found that the device with a thicker TiN layer has a more promising reliability characteristic than that with a thinner TiN layer. From the charge pumping measurement and secondary ion mass spectroscopy (SIMS) analysis, it is indicated that the sample with the thicker TiN layer introduces more Cl passivation at the IL/Si interface and exhibits a lower interface trap density. In addition, the influences of interface and bulk trap density ratio N it / N ot are studied by TDDB simulations through combining percolation theory and the kinetic Monte Carlo (kMC) method. The lifetime reduction and Weibull slope lowering are explained by interface trap effects for TiN capping layers with different thicknesses. (paper)

  11. Magneto-transport in the zero-energy Landau level of single-layer and bilayer graphene

    International Nuclear Information System (INIS)

    Zeitler, U; Giesbers, A J M; Elferen, H J van; Kurganova, E V; McCollam, A; Maan, J C

    2011-01-01

    We present recent low-temperature magnetotransport experiments on single-layer and bilayer graphene in high magnetic field up to 33 T. In single layer graphene the fourfold degeneracy of the zero-energy Landau level is lifted by a gap opening at filling factor ν = 0. In bilayer graphene, we observe a partial lifting of the degeneracy of the eightfold degenerate zero-energy Landau level.

  12. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  13. Disclosed dielectric and electromechanical properties of hydrogenated nitrile–butadiene dielectric elastomer

    International Nuclear Information System (INIS)

    Yang, Dan; Tian, Ming; Dong, Yingchao; Liu, Haoliang; Yu, Yingchun; Zhang, Liqun

    2012-01-01

    This paper presents a comprehensive study of the effects of acrylonitrile content, crosslink density and plasticization on the dielectric and electromechanical performances of hydrogenated nitrile–butadiene dielectric elastomer. It was found that by increasing the acrylonitrile content of hydrogenated nitrile–butadiene dielectric elastomer, the dielectric constant will be improved accompanied with a sharp decrease of electrical breakdown strength leading to a small actuated strain. At a fixed electric field, a high crosslink density increased the elastic modulus of dielectric elastomer, but it also enhanced the electrical breakdown strength leading to a high actuated strain. Adding a plasticizer into the dielectric elastomer decreased the dielectric constant and electrical breakdown strength slightly, but reduced the elastic modulus sharply, which was beneficial for obtaining a large strain at low electric field from the dielectric elastomer. The largest actuated strain of 22% at an electric field of 30 kV mm −1 without any prestrain was obtained. Moreover, the hydrogenated nitrile–butadiene dielectric actuator showed good history dependence. This proposed material has great potential to be an excellent dielectric elastomer. (paper)

  14. Dielectric optical antenna thermal emitters and metamaterials

    Science.gov (United States)

    Schuller, Jonathan Aaron

    Optical antennas are critical components in nanophotonics research due to their unparalleled ability to concentrate electromagnetic energy into nanoscale volumes. Researchers typically construct such antennas from wavelength-size metallic structures. However, recent research has begun to exploit the scattering resonances of high-permittivity particles to realize all-dielectric optical antennas, emitters, and metamaterials. In this thesis, we experimentally and theoretically characterize the resonant modes of subwavelength rod-shaped dielectric particles and demonstrate their use in negative index metamaterials and novel infrared light emitters. At mid-infrared frequencies, Silicon Carbide (SiC) is an ideal system for studying the behavior of dielectric optical antennas. At frequencies below the TO phonon resonance, SiC behaves like a dielectric with very large refractive index. Using infrared spectroscopy and analytical Mie calculations we show that individual rod-shaped SiC particles exhibit a multitude of resonant modes. Detailed investigations of these SiC optical antennas reveal a wealth of new physics and applications. We discuss the distinct electromagnetic field profile for each mode, and demonstrate that two of the dielectric-type Mie resonances can be combined in a particle array to form a negative index metamaterial. We further show that these particles can serve as "broadcasting" antennas. Using a custom-built thermal emission microscope we collect emissivity spectra from single SiC particles at elevated temperatures, highlighting their use as subwavelength resonant light emitters. Finally, we derive and verify a variety of general analytical results applicable to all cylindrical dielectric antennas.

  15. Tunneling spin injection into single layer graphene.

    Science.gov (United States)

    Han, Wei; Pi, K; McCreary, K M; Li, Yan; Wong, Jared J I; Swartz, A G; Kawakami, R K

    2010-10-15

    We achieve tunneling spin injection from Co into single layer graphene (SLG) using TiO₂ seeded MgO barriers. A nonlocal magnetoresistance (ΔR(NL)) of 130  Ω is observed at room temperature, which is the largest value observed in any material. Investigating ΔR(NL) vs SLG conductivity from the transparent to the tunneling contact regimes demonstrates the contrasting behaviors predicted by the drift-diffusion theory of spin transport. Furthermore, tunnel barriers reduce the contact-induced spin relaxation and are therefore important for future investigations of spin relaxation in graphene.

  16. Application of evolution strategy algorithm for optimization of a single-layer sound absorber

    Directory of Open Access Journals (Sweden)

    Morteza Gholamipoor

    2014-12-01

    Full Text Available Depending on different design parameters and limitations, optimization of sound absorbers has always been a challenge in the field of acoustic engineering. Various methods of optimization have evolved in the past decades with innovative method of evolution strategy gaining more attention in the recent years. Based on their simplicity and straightforward mathematical representations, single-layer absorbers have been widely used in both engineering and industrial applications and an optimized design for these absorbers has become vital. In the present study, the method of evolution strategy algorithm is used for optimization of a single-layer absorber at both a particular frequency and an arbitrary frequency band. Results of the optimization have been compared against different methods of genetic algorithm and penalty functions which are proved to be favorable in both effectiveness and accuracy. Finally, a single-layer absorber is optimized in a desired range of frequencies that is the main goal of an industrial and engineering optimization process.

  17. Stimulated Raman gain scattering in thin planar dielectric waveguides

    NARCIS (Netherlands)

    Kanger, Johannes S.; Otto, Cornelis; Greve, Jan

    1995-01-01

    The stimulated Raman gain effect in planar dielectric waveguides is analyzed for the study of thin layers. Calculations show high gain factors and predict the possibility of detecting monolayers. Compared with those for methods based on ref lection, the gain can be 4 orders of magnitude higher for a

  18. FDTD Investigation on Electromagnetic Scattering from Two-Layered Rough Surfaces under UPML Absorbing Condition

    International Nuclear Information System (INIS)

    Juan, Li; Li-Xin, Guo; Hao, Zeng

    2009-01-01

    Electromagnetic scattering from one-dimensional two-layered rough surfaces is investigated by using finite-difference time-domain algorithm (FDTD). The uniaxial perfectly matched layer (UPML) medium is adopted for truncation of FDTD lattices, in which the finite-difference equations can be used for the total computation domain by properly choosing the uniaxial parameters. The rough surfaces are characterized with Gaussian statistics for the height and the autocorrelation function. The angular distribution of bistatic scattering coefficient from single-layered perfect electric conducting and dielectric rough surface is calculated and it is in good agreement with the numerical result with the conventional method of moments. The influence of the relative permittivity, the incident angle, and the correlative length of two-layered rough surfaces on the bistatic scattering coefficient with different polarizations are presented and discussed in detail. (fundamental areas of phenomenology (including applications))

  19. Multipactor susceptibility on a dielectric with two carrier frequencies

    Science.gov (United States)

    Iqbal, Asif; Verboncoeur, John; Zhang, Peng

    2018-04-01

    This work investigates multipactor discharge on a single dielectric surface with two carrier frequencies of an rf electric field. We use Monte Carlo simulations and analytical calculations to obtain susceptibility diagrams in terms of the rf electric field and normal electric field due to the residual charge on the dielectric. It is found that in contrast to the single frequency case, in general, the presence of a second carrier frequency of the rf electric field increases the threshold of the magnitude of the rf electric field to initiate multipactor. The effects of the relative strength and phase, and the frequency separation of the two carrier frequencies are examined. The conditions to minimize mulitpactor are derived.

  20. Investigation of some properties of the dielectric particle detector

    Energy Technology Data Exchange (ETDEWEB)

    Gavalyan, V.G.; Gukasyan, S.M.; Kavalov, R.L.; Karapetyan, R.A.; Lorikyan, M.P. (Erevanskij Fizicheskij Inst. (USSR))

    1981-01-01

    Results of investigation into temporary dispersion and amplitude resolution of a dielectric detector (DD) of particles are given. In this detector secondary electrons produced by a particle passing through a dielectric layer are gathered on thin anode filaments strenched inside the dielectric layer. As a working substance of the detector investigated used was CsI having 1.7% relative density of monocrystal, gap between planes of 20 ..mu..m diameter anode filaments and cathode electrodes was approximately equal to 200 ..mu..m, distance between anode filaments was approximately 250 ..mu..m. DD having working area S=5 cm/sup 2/ was placed at a distance of 2 cm from 5 MeV radioactive alpha source of 10/sup 4/ particle/s intensity. Curve of particle detection efficiency for this detector reached plateau at a level of 100% in the range of working voltages from 800 to 1200 V. Coincidence method together with a time-amplitude converter, at inlets of which applied were pulses from DD and a scintillation counter placed under DD, were used to measure temporary dispersion. Data on behaviour of particle registration efficiency depending on time of continuous effect of working and inverse voltages are given. It is found that temporary dispersion of the DD and scintillation counter system is a value of order of 1 ns and amplitude resolution of DD is an order of 100%.

  1. Dielectric Optical Antenna Emitters and Metamaterials

    Science.gov (United States)

    Schuller, Jon

    2009-03-01

    Optical antennas are critical components in nanophotonics research due to their unparalleled ability to concentrate electromagnetic energy into nanoscale volumes. Researchers typically construct such antennas from wavelength-size metallic structures. However, recent research has begun to exploit the scattering resonances of high-permittivity particles to realize all-dielectric optical antennas, emitters, and metamaterials. In this talk, we experimentally and theoretically characterize the resonant modes of subwavelength rod-shaped dielectric particles and demonstrate their use in negative index metamaterials and novel infrared light emitters. At mid-infrared frequencies, Silicon Carbide (SiC) is an ideal system for studying the behavior of dielectric optical antennas. At frequencies below the TO phonon resonance, SiC behaves like a dielectric with very large refractive index. Using infrared spectroscopy and analytical Mie calculations we show that individual rod-shaped SiC particles exhibit a multitude of resonant modes. Detailed investigations of these SiC optical antennas reveal a wealth of new physics and applications. We discuss the distinct electromagnetic field profile for each mode, and demonstrate that two of the dielectric-type Mie resonances can be combined in a particle array to form a negative index metamaterial [1]. We further show that these particles can serve as ``broadcasting'' antennas. Using a custom-built thermal emission microscope we collect emissivity spectra from single SiC particles at elevated temperatures, highlighting their use as subwavelength resonant light emitters. Finally, we derive and verify a variety of general analytical results applicable to all cylindrical dielectric antennas and discuss extensions of the demonstrated concepts to different materials systems and frequency regimes. [1] J.A. Schuller, et al., Phys. Rev. Lett. 99, 107401 (2007)

  2. Photo-induced tunneling currents in MOS structures with various HfO2/SiO2 stacking dielectrics

    Directory of Open Access Journals (Sweden)

    Chin-Sheng Pang

    2014-04-01

    Full Text Available In this study, the current conduction mechanisms of structures with tandem high-k dielectric in illumination are discussed. Samples of Al/SiO2/Si (S, Al/HfO2/SiO2/Si (H, and Al/3HfO2/SiO2/Si (3H were examined. The significant observation of electron traps of sample H compares to sample S is found under the double bias capacitance-voltage (C-V measurements in illumination. Moreover, the photo absorption sensitivity of sample H is higher than S due to the formation of HfO2 dielectric layer, which leads to larger numbers of carriers crowded through the sweep of VG before the domination of tunneling current. Additionally, the HfO2 dielectric layer would block the electrons passing through oxide from valance band, which would result in less electron-hole (e−-h+ pairs recombination effect. Also, it was found that both of the samples S and H show perimeter dependency of positive bias currents due to strong fringing field effect in dark and illumination; while sample 3H shows area dependency of positive bias currents in strong illumination. The non-uniform tunneling current through thin dielectric and through HfO2 stacking layers are importance to MOS(p tunneling photo diodes.

  3. Preparation of nanoporous polyimide thin films via layer-by-layer self-assembly of cowpea mosaic virus and poly(amic acid)

    Energy Technology Data Exchange (ETDEWEB)

    Peng Bo; Wu Guojun; Lin Yuan [State Key Laboratory of Polymer Physics and Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun, Jilin 130022 (China); Wang Qian [Department of Chemistry and Biochemistry, University of South Carolina, Columbia, SC, 29208 (United States); Su Zhaohui, E-mail: zhsu@ciac.jl.cn [State Key Laboratory of Polymer Physics and Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun, Jilin 130022 (China)

    2011-09-01

    Low dielectric (low-{kappa}) materials are of key importance for the performance of microchips. In this study, we show that nanosized cowpea mosaic virus (CPMV) particles can be assembled with poly(amic acid) (PAA) in aqueous solutions via the layer-by-layer technique. Then, upon thermal treatment CPMV particles are removed and PAA is converted into polyimide in one step, resulting in a porous low-{kappa} polyimide film. The multilayer self-assembly process was monitored by quartz crystal microbalance and UV-Vis spectroscopy. Imidization and the removal of the CPMV template was confirmed by Fourier transform infrared spectroscopy and atomic force microscopy respectively. The dielectric constant of the nanoporous polyimide film thus prepared was 2.32 compared to 3.40 for the corresponding neat polyimide. This work affords a facile approach to fabrication of low-{kappa} polyimide ultrathin films with tunable thickness and dielectric constant.

  4. Experimental demonstration of dielectric structure based two beam acceleration

    International Nuclear Information System (INIS)

    Gai, W.; Conde, M. E.; Konecny, R.; Power, J. G.; Schoessow, P.; Sun, X.; Zou, P.

    2000-01-01

    We report on the experimental results of the dielectric based two beam accelerator (step-up transformer). By using a single high charge beam, we have generated and extracted a high power RF pulse from a 7.8 GHz primary dielectric structure and then subsequently transferred to a second accelerating structure with higher dielectric constant and smaller transverse dimensions. We have measured the energy change of a second (witness) beam passing through the acceleration stage. The measured gradient is >4 times the deceleration gradient. The detailed experiment of set-up and results of the measurements are dimmed. Future plans for the development of a 100 MeV demonstration accelerator based on this technique is presented

  5. Metallic and 3D-printed dielectric helical terahertz waveguides.

    Science.gov (United States)

    Vogt, Dominik Walter; Anthony, Jessienta; Leonhardt, Rainer

    2015-12-28

    We investigate guidance of Terahertz (THz) radiation in metallic and 3D-printed dielectric helical waveguides in the frequency range from 0.2 to 1 THz. Our experimental results obtained from THz time-domain spectroscopy (THz-TDS) measurements are in very good agreement with finite-difference time-domain (FDTD) simulations. We observe single-mode, low loss and low dispersive propagation of THz radiation in metallic helical waveguides over a broad bandwidth. The 3D-printed dielectric helical waveguides have substantially extended the bandwidth of a low loss dielectric tube waveguide as observed from the experimental and simulation results. The high flexibility of the helical design allows an easy incorporation into bench top THz devices.

  6. Experimental demonstration of dielectric structure based two beam acceleration.

    Energy Technology Data Exchange (ETDEWEB)

    Gai, W.; Conde, M. E.; Konecny, R.; Power, J. G.; Schoessow, P.; Sun, X.; Zou, P.

    2000-11-28

    We report on the experimental results of the dielectric based two beam accelerator (step-up transformer). By using a single high charge beam, we have generated and extracted a high power RF pulse from a 7.8 GHz primary dielectric structure and then subsequently transferred to a second accelerating structure with higher dielectric constant and smaller transverse dimensions. We have measured the energy change of a second (witness) beam passing through the acceleration stage. The measured gradient is >4 times the deceleration gradient. The detailed experiment of set-up and results of the measurements are dimmed. Future plans for the development of a 100 MeV demonstration accelerator based on this technique is presented.

  7. Axion-photon conversion caused by dielectric interfaces: quantum field calculation

    Energy Technology Data Exchange (ETDEWEB)

    Ioannisian, Ara N. [Yerevan Physics Institute, Alikhanian Br. 2, 375036 Yerevan (Armenia); Kazarian, Narine [Institute for Theoretical Physics and Modeling, 375036 Yerevan (Armenia); Millar, Alexander J.; Raffelt, Georg G., E-mail: ara.ioannisyan@cern.ch, E-mail: narinkaz@gmail.com, E-mail: millar@mpp.mpg.de, E-mail: raffelt@mpp.mpg.de [Max-Planck-Institut für Physik (Werner-Heisenberg-Institut), Föhringer Ring 6, 80805 München (Germany)

    2017-09-01

    Axion-photon conversion at dielectric interfaces, immersed in a near-homogeneous magnetic field, is the basis for the dielectric haloscope method to search for axion dark matter. In analogy to transition radiation, this process is possible because the photon wave function is modified by the dielectric layers ('Garibian wave function') and is no longer an eigenstate of momentum. A conventional first-order perturbative calculation of the transition probability between a quantized axion state and these distorted photon states provides the microwave production rate. It agrees with previous results based on solving the classical Maxwell equations for the combined system of axions and electromagnetic fields. We argue that in general the average photon production rate is given by our result, independently of the detailed quantum state of the axion field. Moreover, our result provides a new perspective on axion-photon conversion in dielectric haloscopes because the rate is based on an overlap integral between unperturbed axion and photon wave functions, in analogy to the usual treatment of microwave-cavity haloscopes.

  8. Efficient Algorithms for Electrostatic Interactions Including Dielectric Contrasts

    Directory of Open Access Journals (Sweden)

    Christian Holm

    2013-10-01

    Full Text Available Coarse-grained models of soft matter are usually combined with implicit solvent models that take the electrostatic polarizability into account via a dielectric background. In biophysical or nanoscale simulations that include water, this constant can vary greatly within the system. Performing molecular dynamics or other simulations that need to compute exact electrostatic interactions between charges in those systems is computationally demanding. We review here several algorithms developed by us that perform exactly this task. For planar dielectric surfaces in partial periodic boundary conditions, the arising image charges can be either treated with the MMM2D algorithm in a very efficient and accurate way or with the electrostatic layer correction term, which enables the user to use his favorite 3D periodic Coulomb solver. Arbitrarily-shaped interfaces can be dealt with using induced surface charges with the induced charge calculation (ICC* algorithm. Finally, the local electrostatics algorithm, MEMD(Maxwell Equations Molecular Dynamics, even allows one to employ a smoothly varying dielectric constant in the systems. We introduce the concepts of these three algorithms and an extension for the inclusion of boundaries that are to be held fixed at a constant potential (metal conditions. For each method, we present a showcase application to highlight the importance of dielectric interfaces.

  9. Tailoring the photoluminescence polarization anisotropy of a single InAs quantum dash by a post-growth modification of its dielectric environment

    Energy Technology Data Exchange (ETDEWEB)

    Mrowiński, P.; Misiewicz, J.; Sęk, G. [Laboratory for Optical Spectroscopy of Nanostructures, Division of Experimental Physics, Faculty of Fundamental Problems of Technology, Wrocław University of Science and Technology, Wrocław (Poland); Tarnowski, K.; Olszewski, J.; Urbańczyk, W. [Division of Optics and Photonics, Faculty of Fundamental Problems of Technology, Wrocław University of Science and Technology, Wrocław (Poland); Somers, A.; Kamp, M. [Technische Physik & W. C. Röntgen-Center for Complex Material Systems, Universität Würzburg, Würzburg Germany (Germany); Reithmaier, J. P. [Institute of Nanostructure Technologies and Analytics (INA), CINSaT, University of Kassel, Heinrich-Plett-Str. 40, 34132 Kassel (Germany); Machnikowski, P. [Division of Theoretical Physics, Faculty of Fundamental Problems of Technology, Wrocław University of Science and Technology, Wrocław (Poland)

    2016-08-21

    Excitonic emission from single InAs/InGaAlAs/InP quantum dashes has been investigated in terms of controlling the polarization anisotropy by altering the shape of the processed sub-micrometer mesa structures. Photoluminescence has been measured from exemplary single quantum dashes emitting around 1.3 and 1.55 μm and placed inside rectangular mesas of various orientation, asymmetry, and sizes. The detected degree of linear polarization of bright exciton emission ranges from −0.1 to ca. 0.55, compared to 0.25 for dashes in unaltered or isotropic in-plane dielectric surrounding. These results are interpreted by numerical simulations using an emitter coupled with a single optical mode in such a mesa and outgoing in the direction normal to the sample surface.

  10. Study on the dielectric properties of Al2O3/TiO2 sub-nanometric laminates: effect of the bottom electrode and the total thickness

    Science.gov (United States)

    Ben Elbahri, M.; Kahouli, A.; Mercey, B.; Lebedev, O.; Donner, W.; Lüders, U.

    2018-02-01

    Dielectrics based on amorphous sub-nanometric laminates of TiO2 and Al2O3 are subject to elevated dielectric losses and leakage currents, in large parts due to the extremely thin individual layer thickness chosen for the creation of the Maxwell-Wagner relaxation and therefore the high apparent dielectric constants. The optimization of performances of the laminate itself being strongly limited by this contradiction concerning its internal structure, we will show in this study that modifications of the dielectric stack of capacitors based on these sub-nanometric laminates can positively influence the dielectric losses and the leakage, as for example the nature of the electrodes, the introduction of thick insulating layers at the laminate/electrode interfaces and the modification of the total laminate thickness. The optimization of the dielectric stack leads to the demonstration of a capacitor with an apparent dielectric constant of 90, combined with low dielectric loss (tan δ) of 7 · 10-2 and with leakage currents smaller than 1  ×  10-6 A cm-2 at 10 MV m-1.

  11. GPR Laboratory Tests For Railways Materials Dielectric Properties Assessment

    Directory of Open Access Journals (Sweden)

    Francesca De Chiara

    2014-10-01

    Full Text Available In railways Ground Penetrating Radar (GPR studies, the evaluation of materials dielectric properties is critical as they are sensitive to water content, to petrographic type of aggregates and to fouling condition of the ballast. Under the load traffic, maintenance actions and climatic effects, ballast condition change due to aggregate breakdown and to subgrade soils pumping, mainly on existing lines with no sub ballast layer. The main purpose of this study was to validate, under controlled conditions, the dielectric values of materials used in Portuguese railways, in order to improve the GPR interpretation using commercial software and consequently the management maintenance planning. Different materials were tested and a broad range of in situ conditions were simulated in laboratory, in physical models. GPR tests were performed with five antennas with frequencies between 400 and 1800 MHz. The variation of the dielectric properties was measured, and the range of values that can be obtained for different material condition was defined. Additionally, in situ GPR measurements and test pits were performed for validation of the dielectric constant of clean ballast. The results obtained are analyzed and the main conclusions are presented herein.

  12. Impact of dielectric parameters on the reflectivity of 3C–SiC wafers with a rough surface morphology in the reststrahlen region

    International Nuclear Information System (INIS)

    Engelbrecht, J.A.A.; Janzén, E.; Henry, A.; Rooyen, I.J. van

    2014-01-01

    A layer-on-substrate model is used to obtain the infrared reflectance for 3C–SiC with a rough surface morphology. The effect of varying dielectric parameters of the “damaged layer” on the observed reflectivity of the 3C–SiC in the reststrahlen region is assessed. Different simulated reflectance spectra are obtained to those if the dielectric parameters of the “substrate” were varied. Most notable changes in the shape of the simulated reststrahlen peak are observed for changes in the high frequency dielectric constant, the phonon damping constant, the phonon frequencies and “thickness” of damaged surface layer.

  13. Impact of dielectric parameters on the reflectivity of 3C–SiC wafers with a rough surface morphology in the reststrahlen region

    Energy Technology Data Exchange (ETDEWEB)

    Engelbrecht, J.A.A., E-mail: Japie.Engelbrecht@nmmu.ac.za [Physics Department, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Janzén, E.; Henry, A. [Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden); Rooyen, I.J. van [Fuel Performance and Design Department, Idaho National Laboratory, PO Box 1625, Idaho Falls, ID 83415-6188 (United States)

    2014-04-15

    A layer-on-substrate model is used to obtain the infrared reflectance for 3C–SiC with a rough surface morphology. The effect of varying dielectric parameters of the “damaged layer” on the observed reflectivity of the 3C–SiC in the reststrahlen region is assessed. Different simulated reflectance spectra are obtained to those if the dielectric parameters of the “substrate” were varied. Most notable changes in the shape of the simulated reststrahlen peak are observed for changes in the high frequency dielectric constant, the phonon damping constant, the phonon frequencies and “thickness” of damaged surface layer.

  14. Color Dielectric Models from the Lattice SU(N)c Gauge Theory

    International Nuclear Information System (INIS)

    Arodz, H.; Pirner, H.J.

    1999-01-01

    The idea of coarse-grained gluon field is discussed. We recall motivation for introducing such a field. Next, we outline the approach to small momenta limit of lattice coarse-grained gluon field presented in our paper hep-ph/9803392. This limit points to color dielectric type models with a number of scalar and tensor fields instead of single scalar dielectric field. (author)

  15. Mechanical loss in tantala/silica dielectric mirror coatings

    International Nuclear Information System (INIS)

    Penn, Steven D; Sneddon, Peter H; Armandula, Helena; Betzwieser, Joseph C; Cagnoli, Gianpietro; Camp, Jordan; Crooks, D R M; Fejer, Martin M; Gretarsson, Andri M; Harry, Gregory M; Hough, Jim; Kittelberger, Scott E; Mortonson, Michael J; Route, Roger; Rowan, Sheila; Vassiliou, Christophoros C

    2003-01-01

    Current interferometric gravitational wave detectors use test masses with mirror coatings formed from multiple layers of dielectric materials, most commonly alternating layers of SiO 2 (silica) and Ta 2 O 5 (tantala). However, mechanical loss in the Ta 2 O 5 /SiO 2 coatings may limit the design sensitivity for advanced detectors. We have investigated sources of mechanical loss in the Ta 2 O 5 /SiO 2 coatings, including loss associated with the coating-substrate interface, with the coating-layer interfaces and with the coating materials. Our results indicate that the loss is associated with the coating materials and that the loss of Ta 2 O 5 is substantially larger than that of SiO 2

  16. Organic field-effect transistors with surface modification by using a PVK buffer layer on flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Hyung, Gun Woo; Lee, Dong Hyung; Koo, Ja Ryong; Kim, Young Kwan [Hongik University, Seoul (Korea, Republic of); Park, Jae Hoon [Electronics and Telecommunications Research Institute, Daejeon (Korea, Republic of)

    2012-11-15

    We have fabricated pentacene thin-film transistors (TFTs) with a gate dielectric such as crosslinked poly(vinyl alcohol) (c-PVA), with poly(9-vinylcarbazole) (PVK) buffer layer on a polyethersulfone (PES) flexible substrate, and with substrate heating at a temperature below 120 .deg. C, and we demonstrated the possibility of using an organic gate dielectric layer as a potential pentacene TFT with a PVK buffer layer for low-voltage operation on a plastic substrate. We report the excellent electrical properties of organic TFTs with a PVK buffer layer. The PVK buffer layer improves the performance of the devices and reduces the operating voltage of the devices. Our pentacene TFTs can be fabricated with mobilities > 2.54 cm{sup 2}/Vs and on/off current ratios > 7.5E5 and with flexible organic dielectrics and substrates.

  17. Dielectric constant of atomic fluids with variable polarizability.

    Science.gov (United States)

    Alder, B J; Beers, J C; Strauss, H L; Weis, J J

    1980-06-01

    The Clausius-Mossotti function for the dielectric constant is expanded in terms of single atom and pair polarizabilities, leading to contributions that depend on both the trace and the anisotropy of the pair-polarizability tensor. The short-range contribution of the anisotropic part to the pair polarizabilities has previously been obtained empirically from light scattering experiments, whereas the trace contribution is now empirically determined by comparison to dielectric experiments. For helium, the short-range trace part agrees well with electronic structure calculations, whereas for argon qualitative agreement is achieved.

  18. Electromagnetic properties of metal-dielectric media and their applications

    Science.gov (United States)

    Animilli, Shravan Rakesh

    The main objective of this dissertation is to investigate nano-structured random composite materials, which exhibit anomalous phenomena, such as the extraordinary enhancements of linear and non-linear optical processes due to excitation of collective electronic states, surface plasmons (SP). The main goal is to develop a time and memory efficient novel numerical method to study the properties of these random media in three dimensions (3D) by utilization of multi core processing and packages such as MPI for parallel execution. The developed numerical studies are then utilized to provide a comprehensive characterization and optimization of a surface plasmon enhanced solar cell (SPESC) and to serve as a test bed for enhanced bio and chemical sensing. In this context, this thesis work develops an efficient and exact numerical algorithm here referred to as Block Elimination Method (BE) which provides the unique capability of modeling extremely large scale composite materials (with up to 1 million strongly interacting metal or dielectric particles). This capability is crucial in order to study the electromagnetic response of large scale inhomogeneous (fractal) films and bulk composites at critical concentrations (percolation). The developed numerical method is used to accurately estimate parameters that describe the composite materials, including the effective conductivity and correlation length scaling exponents, as well as density of states and localization length exponents at the band center. This works reveals, for a first time, a unique de-localization mechanism that plays an important role in the excitation of charge-density waves, i.e. surface plasmons (SP), in metal-dielectric composites. It also shows that in 3D metal-dielectric percolation systems the local fields distribution function for frequencies close to the single particle plasmon resonance is log-normal which is a signature of a metal-dielectric phase transition manifested in the optical response of the

  19. Ferroelectric polymer dielectrics: Emerging materials for future electrostatic energy storage applications

    Science.gov (United States)

    Panda, Maheswar

    2018-05-01

    In this manuscript, the dielectric behavior of a variety of ferroelectric polymer dielectrics (FPD), which may bethe materials for future electrostatic energy storage application shave been discussed. The variety of polymer dielectrics, comprising of ferroelectric polymer[polyvinylidene fluoride (PVDF)]/non-polarpolymer [low density polyethylene (LDPE)] and different sizes of metal particles (Ni, quasicrystal of Al-Cu-Fe) as filler, were prepared through different process conditions (cold press/hot press) and are investigated experimentally. Very high values of effective dielectric constants (ɛeff) with low loss tangent (Tan δ) were observed forall the prepared FPD at their respective percolation thresholds (fc). The enhancement of ɛeff and Tan δ at the insulator to metal transition (IMT) is explained through the boundary layer capacitor effect and the percolation theory respectively. The non-universal fc/critical exponents across the IMT have been explained through percolation theory andis attributed to the fillerparticle size& shape, interaction between the components, method of their preparation, adhesiveness, connectivity and homogeneity, etc. of the samples. Recent results on developed FPD with high ɛeff and low Tan δ prepared through cold press have proven themselves to be the better candidates for low frequency and static dielectric applications.

  20. Atomic scale engineering of HfO2-based dielectrics for future DRAM applications

    International Nuclear Information System (INIS)

    Dudek, Piotr

    2011-01-01

    Modern dielectrics in combination with appropriate metal electrodes have a great potential to solve many difficulties associated with continuing miniaturization process in the microelectronic industry. One significant branch of microelectronics incorporates dynamic random access memory (DRAM) market. The DRAM devices scaled for over 35 years starting from 4 kb density to several Gb nowadays. The scaling process led to the dielectric material thickness reduction, resulting in higher leakage current density, and as a consequence higher power consumption. As a possible solution for this problem, alternative dielectric materials with improved electrical and material science parameters were intensively studied by many research groups. The higher dielectric constant allows the use of physically thicker layers with high capacitance but strongly reduced leakage current density. This work focused on deposition and characterization of thin insulating layers. The material engineering process was based on Si cleanroom compatible HfO 2 thin films deposited on TiN metal electrodes. A combined materials science and dielectric characterization study showed that Ba-added HfO 2 (BaHfO 3 ) films and Ti-added BaHfO 3 (BaHf 0.5 Ti 0.5 O 3 ) layers are promising candidates for future generation of state-of-the-art DRAMs. In especial a strong increase of the dielectric permittivity k was achieved for thin films of cubic BaHfO 3 (k∝38) and BaHf 0.5 Ti 0.5 O 3 (k∝90) with respect to monoclinic HfO 2 (k∝19). Meanwhile the CET values scaled down to 1 nm for BaHfO 3 and ∝0.8 nm for BaHf 0.5 Ti 0.5 O 3 with respect to HfO 2 (CET=1.5 nm). The Hf 4+ ions substitution in BaHfO 3 by Ti 4+ ions led to a significant decrease of thermal budget from 900 C for BaHfO 3 to 700 C for BaHf 0.5 Ti 0.5 O 3 . Future studies need to focus on the use of appropriate metal electrodes (high work function) and on film deposition process (homogeneity) for better current leakage control. (orig.)

  1. Corrosion behaviour in saline environments of single-layer titanium and aluminium coatings, and of Ti/Al alternated multi-layers elaborated by a multi-beam PVD technique

    International Nuclear Information System (INIS)

    Merati, Abdenacer

    1994-01-01

    This research thesis reports the characterization of anti-corrosion titanium and aluminium coatings deposited on a 35CD4 steel under the form of mono-metallic layers or alternated Ti/Al multi-layers, and obtained by a multibeam PVD technique. The influence of different parameters is studied: single-layer thickness (5, 15 or 30 micro-metres), multi-layer distribution (5 to 6) and substrate (smooth or threaded). Layer nature and microstructure are studied by optical microscopy and scanning electron microscopy (SEM), as well as corrosion toughness in aqueous saline environments. Coated threaded samples have been studied after tightening tests. It appears that titanium layers are denser and more uniform than aluminium layers, and that multi-layer coatings provide a better protection than single-layer coatings. The best behaviour is obtained when titanium is in contact with steel, and aluminium is the outer layer in contact with the corroding environment [fr

  2. Observing the morphology of single-layered embedded silicon nanocrystals by using temperature-stable TEM membranes

    Directory of Open Access Journals (Sweden)

    Sebastian Gutsch

    2015-04-01

    Full Text Available We use high-temperature-stable silicon nitride membranes to investigate single layers of silicon nanocrystal ensembles by energy filtered transmission electron microscopy. The silicon nanocrystals are prepared from the precipitation of a silicon-rich oxynitride layer sandwiched between two SiO2 diffusion barriers and subjected to a high-temperature annealing. We find that such single layers are very sensitive to the annealing parameters and may lead to a significant loss of excess silicon. In addition, these ultrathin layers suffer from significant electron beam damage that needs to be minimized in order to image the pristine sample morphology. Finally we demonstrate how the silicon nanocrystal size distribution develops from a broad to a narrow log-normal distribution, when the initial precipitation layer thickness and stoichiometry are below a critical value.

  3. Electrowetting on dielectric: experimental and model study of oil conductivity on rupture voltage

    Science.gov (United States)

    Zhao, Qing; Tang, Biao; Dong, Baoqin; Li, Hui; Zhou, Rui; Guo, Yuanyuan; Dou, Yingying; Deng, Yong; Groenewold, Jan; Henzen, Alexander Victor; Zhou, Guofu

    2018-05-01

    Electrowetting on dielectric devices uses a conducting (water) and insulating (oil) liquid phase in conjunction on a dielectric layer. In these devices, the wetting properties of the liquid phases can be manipulated by applying an electric field. The electric field can rupture the initially flat oil film and promotes further dewetting of the oil. Here, we investigate a problem in the operation of electrowetting on dielectric caused by a finite conductivity of the oil. In particular, we find that the voltage at which the oil film ruptures is sensitive to the application of relatively low DC voltages prior to switching. Here, we systematically investigate this dependence using controlled driving schemes. The mechanism behind these history effects point to charge transport processes in the dielectric and the oil, which can be modeled and characterized by a decay time. To quantify the effects the typical response timescales have been measured with a high-speed video camera. The results have been reproduced in simulations. In addition, a simplified yet accurate equivalent circuit model is developed to analyze larger data sets more conveniently. The experimental data support the hypothesis that each pixel can be characterized by a single decay time. We studied an ensemble of pixels and found that they showed a rather broad distribution of decay times with an average value of about 440 ms. This decay time can be interpreted as a discharge timescale of the oil, not to be confused with discharge of the entire system which is generally much faster (<1 ms). Through the equivalent circuit model, we also found that variations in the fluoropolymer (FP) conductivity cannot explain the distribution of decay times, while variations in oil conductivity can.

  4. Dielectric spectroscopy as a sensor of membrane headgroup mobility and hydration

    DEFF Research Database (Denmark)

    Klösgen, B; Reichle, C; Kohlsmann, S

    1996-01-01

    Dielectric spectroscopy is based on the response of the permanent dipoles to a driving electric field. The phospholipid membrane systems of dimyristoylphosphatidylcholine and dioleoylphosphatidylcholine can be prepared as samples of multilamellar liposomes with a well known amount of interlamellar...... water. For optimal resolution in dielectric spectroscopy one has to design the experimental set-up so that the direction of the permanent headgroup dipole moment is mostly parallel to the field vector of the external radio frequency (rf) electric field in this layered system. A newly developed coaxial...... probe technique makes it possible to sweep the measuring frequency between 1 and 1000 MHz in the temperature range 286-323 K. The response yields both the dispersion (epsilon') and the absorption part (epsilon") of the complex dielectric permittivity, which are attributed to the rotational diffusions...

  5. Contamination aspects in integrating high dielectric constant and ferroelectric materials into CMOS processes

    OpenAIRE

    Boubekeur, Hocine

    2004-01-01

    n memory technology, new materials are being intensively investigated to overcome the integration limits of conventional dielectrics for Giga-bit scale integration, or to be able to produce new types of non-volatile low power memories such as FeRAM. Perovskite type high dielectric constant films for use in Giga-bit scale memories or layered perovskite films for use in non-volatile memories involve materials to semiconductor process flows, which entail a high risk of contamination. The introdu...

  6. Optical and dielectric studies of KH2PO4 crystal influenced by organic ligand of citric acid and l-valine: A single crystal growth and comparative study

    Directory of Open Access Journals (Sweden)

    Mohd Anis

    Full Text Available In the present study pure, citric acid (CA and l-valine (LV doped potassium dihydrogen phosphate (KDP crystals have been grown with the aim to investigate the nonlinear optical applications facilitated by UV–visible, third order nonlinear optical (TONLO and dielectric properties. The structural parameters of grown crystals have been confirmed by single crystal X-ray diffraction analysis. The enhancement in optical transparency of KDP crystal due to addition of CA and LV has been examined within 200–900 nm by means of UV–visible spectral analysis. In addition, the transmittance data have been used to evaluate the effect of dopants on reflectance, refractive index and extinction coefficient of grown crystals in the visible region. The Z-scan analysis has been performed at 632.8 nm to identify the nature of photoinduced nonlinear refraction and nonlinear absorption in doped KDP crystals. The influence of π-bonded ligand of dopant CA and LV on TONLO susceptibility (χ3, refractive index (n2 and absorption coefficient (β of KDP crystals has been evaluated to discuss laser assisted device applications. The decrease in dielectric constant and dielectric loss of KDP crystal due to addition of CA and LV has been explored using the temperature dependent dielectric studies. Keywords: Crystal growth, Nonlinear optical materials, UV–visible studies, Z-scan analysis, Dielectric studies

  7. Advanced passivation techniques for Si solar cells with high-κ dielectric materials

    International Nuclear Information System (INIS)

    Geng, Huijuan; Lin, Tingjui; Letha, Ayra Jagadhamma; Hwang, Huey-Liang; Kyznetsov, Fedor A.; Smirnova, Tamara P.; Saraev, Andrey A.; Kaichev, Vasily V.

    2014-01-01

    Electronic recombination losses at the wafer surface significantly reduce the efficiency of Si solar cells. Surface passivation using a suitable thin dielectric layer can minimize the recombination losses. Herein, advanced passivation using simple materials (Al 2 O 3 , HfO 2 ) and their compounds H (Hf) A (Al) O deposited by atomic layer deposition (ALD) was investigated. The chemical composition of Hf and Al oxide films were determined by X-ray photoelectron spectroscopy (XPS). The XPS depth profiles exhibit continuous uniform dense layers. The ALD-Al 2 O 3 film has been found to provide negative fixed charge (−6.4 × 10 11  cm −2 ), whereas HfO 2 film provides positive fixed charge (3.2 × 10 12  cm −2 ). The effective lifetimes can be improved after oxygen gas annealing for 1 min. I-V characteristics of Si solar cells with high-κ dielectric materials as passivation layers indicate that the performance is significantly improved, and ALD-HfO 2 film would provide better passivation properties than that of the ALD-Al 2 O 3 film in this research work.

  8. Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor–insulator–semiconductor heterojunction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Talkenberg, Florian, E-mail: florian.talkenberg@ipht-jena.de; Illhardt, Stefan; Schmidl, Gabriele; Schleusener, Alexander; Sivakov, Vladimir [Leibniz Institute of Photonic Technology, Albert-Einstein-Str. 9, D-07745 Jena (Germany); Radnóczi, György Zoltán; Pécz, Béla [Centre for Energy Research, Institute of Technical Physics and Materials Science, Konkoly-Thege Miklós u. 29-33, H-1121 Budapest (Hungary); Dikhanbayev, Kadyrjan; Mussabek, Gauhar [Department of Physics and Engineering, al-Farabi Kazakh National University, 71 al-Farabi Ave., 050040 Almaty (Kazakhstan); Gudovskikh, Alexander [Nanotechnology Research and Education Centre, St. Petersburg Academic University, Russian Academy of Sciences, Hlopina Str. 8/3, 194021 St. Petersburg (Russian Federation)

    2015-07-15

    Semiconductor–insulator–semiconductor heterojunction solar cells were prepared using atomic layer deposition (ALD) technique. The silicon surface was treated with oxygen and hydrogen plasma in different orders before dielectric layer deposition. A plasma-enhanced ALD process was applied to deposit dielectric Al{sub 2}O{sub 3} on the plasma pretreated n-type Si(100) substrate. Aluminum doped zinc oxide (Al:ZnO or AZO) was deposited by thermal ALD and serves as transparent conductive oxide. Based on transmission electron microscopy studies the presence of thin silicon oxide (SiO{sub x}) layer was detected at the Si/Al{sub 2}O{sub 3} interface. The SiO{sub x} formation depends on the initial growth behavior of Al{sub 2}O{sub 3} and has significant influence on solar cell parameters. The authors demonstrate that a hydrogen plasma pretreatment and a precursor dose step repetition of a single precursor improve the initial growth behavior of Al{sub 2}O{sub 3} and avoid the SiO{sub x} generation. Furthermore, it improves the solar cell performance, which indicates a change of the Si/Al{sub 2}O{sub 3} interface states.

  9. Vectorial analysis of dielectric photonic crystal VCSEL

    DEFF Research Database (Denmark)

    Chung, Il-Sug; Mørk, Jesper

    2009-01-01

    A new vertical-cavity surface-emitting laser structure employing a dielectric photonic crystal mirror has been suggested and been numerically investigated. The new structure has a smaller threshold gain, a moderate strength of single-transverse-mode operation, a high quality of emission beam free...

  10. Computation of Dielectric Response in Molecular Solids for High Capacitance Organic Dielectrics.

    Science.gov (United States)

    Heitzer, Henry M; Marks, Tobin J; Ratner, Mark A

    2016-09-20

    The dielectric response of a material is central to numerous processes spanning the fields of chemistry, materials science, biology, and physics. Despite this broad importance across these disciplines, describing the dielectric environment of a molecular system at the level of first-principles theory and computation remains a great challenge and is of importance to understand the behavior of existing systems as well as to guide the design and synthetic realization of new ones. Furthermore, with recent advances in molecular electronics, nanotechnology, and molecular biology, it has become necessary to predict the dielectric properties of molecular systems that are often difficult or impossible to measure experimentally. In these scenarios, it is would be highly desirable to be able to determine dielectric response through efficient, accurate, and chemically informative calculations. A good example of where theoretical modeling of dielectric response would be valuable is in the development of high-capacitance organic gate dielectrics for unconventional electronics such as those that could be fabricated by high-throughput printing techniques. Gate dielectrics are fundamental components of all transistor-based logic circuitry, and the combination high dielectric constant and nanoscopic thickness (i.e., high capacitance) is essential to achieving high switching speeds and low power consumption. Molecule-based dielectrics offer the promise of cheap, flexible, and mass producible electronics when used in conjunction with unconventional organic or inorganic semiconducting materials to fabricate organic field effect transistors (OFETs). The molecular dielectrics developed to date typically have limited dielectric response, which results in low capacitances, translating into poor performance of the resulting OFETs. Furthermore, the development of better performing dielectric materials has been hindered by the current highly empirical and labor-intensive pace of synthetic

  11. Compositional characterization of atomic layer deposited alumina

    International Nuclear Information System (INIS)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev

    2014-01-01

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al 2 O 3 is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra

  12. Compositional characterization of atomic layer deposited alumina

    Energy Technology Data Exchange (ETDEWEB)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev [Department of Instrumentation, Cochin University of Science and Technology, Cochin-22, Kerala (India)

    2014-01-28

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al{sub 2}O{sub 3} is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra.

  13. Modeling of 1-D nitrate transport in single layer soils | Dike | Journal ...

    African Journals Online (AJOL)

    The transport of nitrate in laboratory single soil columns of sand, laterite and clay were investigated after 21 days. The 1-D contaminant transport model by Notodarmojo et al (1991) for single layer soils were calibrated and verified using field data collected from a refuse dump site at avu, owerri, Imo state. The experimental ...

  14. Optical Properties of Metal-Dielectric Structures Based on Photon-Crystal Opal Matrices

    Science.gov (United States)

    Vanin, A. I.; Lukin, A. E.; Romanov, S. G.; Solovyev, V. G.; Khanin, S. D.; Yanikov, M. V.

    2018-04-01

    Optical properties of novel metal-dielectric nanocomposite materials based on opal matrices have been investigated. The position of optical resonances of nanocomposites, obtained by embedding of silver into the opal matrix by the electrothermodiffusion method, is explained by the Bragg diffraction, and an asymmetric form of resonance curves is attributed to the Fano resonance. An anomalous transmission and absorption of light by hybrid plasmon-photonic layered heterostructures, which is apparently associated with excitation of surface plasmon-polaritons, propagating along "metal-dielectric" interfaces, was revealed.

  15. Flexible single-layer ionic organic-inorganic frameworks towards precise nano-size separation

    Science.gov (United States)

    Yue, Liang; Wang, Shan; Zhou, Ding; Zhang, Hao; Li, Bao; Wu, Lixin

    2016-02-01

    Consecutive two-dimensional frameworks comprised of molecular or cluster building blocks in large area represent ideal candidates for membranes sieving molecules and nano-objects, but challenges still remain in methodology and practical preparation. Here we exploit a new strategy to build soft single-layer ionic organic-inorganic frameworks via electrostatic interaction without preferential binding direction in water. Upon consideration of steric effect and additional interaction, polyanionic clusters as connection nodes and cationic pseudorotaxanes acting as bridging monomers connect with each other to form a single-layer ionic self-assembled framework with 1.4 nm layer thickness. Such soft supramolecular polymer frameworks possess uniform and adjustable ortho-tetragonal nanoporous structure in pore size of 3.4-4.1 nm and exhibit greatly convenient solution processability. The stable membranes maintaining uniform porous structure demonstrate precisely size-selective separation of semiconductor quantum dots within 0.1 nm of accuracy and may hold promise for practical applications in selective transport, molecular separation and dialysis systems.

  16. Effect of Two Different Superstrate Layers On Bismuth Titanate (BiT) Array Antennas

    Science.gov (United States)

    Wee, F. H.; Malek, F.; Al-Amani, A. U.; Ghani, Farid

    2014-01-01

    The microwave industry has shown increasing interest in electronic ceramic material (ECM) due to its advantages, such as light weight, low cost, low loss, and high dielectric strength. In this paper, simple antennas covered by superstrate layers for 2.30 GHz to 2.50 GHz are proposed. The antennas are compact and have the capability of producing high performance in terms of gain, directivity, and radiation efficiency. Bismuth titanate with high dielectric constant of 21, was utilized as the ECM, while the superstrate layers chosen included a split ring resonator and dielectric material. The superstrate layers were designed for some improvement in the performance of directivity, gain, and return loss. The proposed antennas were simulated and fabricated. The results obtained were small antennas that possess high gain and high directivity with 360°, omni-directional signal transmission that resonant types of conventional dipole antenna cannot achieve. The gain of the antenna with the superstrate layer was enhanced by about 1 dBi over the antenna without a superstrate layer at 2.40 GHz.

  17. Propagation properties of dielectric-lined hollow cylindrical metallic waveguides for THz waves

    International Nuclear Information System (INIS)

    Huang Binke; Zhao Chongfeng

    2013-01-01

    For the rigorous analysis of the propagation properties of dielectric-lined hollow cylindrical metallic waveguides operating in the THz range of frequencies, the characteristic equation for propagation constants is derived from the electromagnetic field equations and the boundary conditions of the dielectric-lined waveguides. The propagation constant of the dominant hybrid HE 11 mode can be obtained by solving the characteristic equation with the improved Muller method. The classical relaxation-effect model for the conductivity is adopted to describe the frequency dispersive behavior of normal metals for the metallic waveguide wall. For a 1.8 mm bore diameter silver waveguide with the inner surface coated with a 17 μm-thick layer of polystyrene(PS) film, the transmission losses of HE 11 mode can be reduced to the level below 1 dB/m at 1.5-3.0 THz, and the dispersion is relatively small for HE 11 mode. In addition, with the PS film thickness increasing, the transmission losses of HE 11 mode increase first and then decrease for a 2.2 mm bore diameter silver waveguide at 2.5 THz, and the minimum loss can be achieved by adopting the optimum dielectric layer thickness. (authors)

  18. Study on the Microwave Permittivity of Single-Walled Carbon Nanotube

    Science.gov (United States)

    Liu, Xiaolai; Zhao, Donglin

    2009-01-01

    In this article, we studied the microwave permittivity of the complex of the single-walled carbon nanotube and paraffin in 2-18GHz. In the range, the dielectric loss of single-walled carbon nanotube is higher, and the real part and the imaginary part of the dielectric constant decrease with the increase of frequency, and the dielectric constant…

  19. On a two-layer Si_3N_4/SiO_2 dielectric mask for low-resistance ohmic contacts to AlGaN/GaN HEMTs

    International Nuclear Information System (INIS)

    Arutyunyan, S. S.; Pavlov, A. Yu.; Pavlov, B. Yu.; Tomosh, K. N.; Fedorov, Yu. V.

    2016-01-01

    The fabrication of a two-layer Si_3N_4/SiO_2 dielectric mask and features of its application in the technology of non-fired epitaxially grown ohmic contacts for high-power HEMTs on AlGaN/GaN heterostructures are described. The proposed Si_3N_4/SiO_2 mask allows the selective epitaxial growth of heavily doped ohmic contacts by nitride molecular-beam epitaxy and the fabrication of non-fired ohmic contacts with a resistance of 0.15–0.2 Ω mm and a smooth surface and edge morphology.

  20. Identification of excitons, trions and biexcitons in single-layer WS{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Plechinger, Gerd; Nagler, Philipp; Kraus, Julia; Paradiso, Nicola; Strunk, Christoph; Schueller, Christian; Korn, Tobias [Institut fuer Experimentelle und Angewandte Physik, Universitaet Regensburg, 93040, Regensburg (Germany)

    2015-08-15

    Single-layer WS{sub 2} is a direct-gap semiconductor showing strong excitonic photoluminescence features in the visible spectral range. Here, we present temperature-dependent photoluminescence measurements on mechanically exfoliated single-layer WS{sub 2}, revealing the existence of neutral and charged excitons at low temperatures as well as at room temperature. By applying a gate voltage, we can electrically control the ratio of excitons and trions and assert a residual n-type doping of our samples. At high excitation densities and low temperatures, an additional peak at energies below the trion dominates the photoluminescence, which we identify as biexciton emission. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Effect of quantum confinement on the dielectric function of PbSe

    NARCIS (Netherlands)

    Hens, Z.; Vanmaekelbergh, D.; Kooij, Ernst S.; Wormeester, Herbert; Allan, G.; Delerue, C.

    2004-01-01

    Monolayers of lead selenide nanocrystals of a few nanometers in height have been made by electrodeposition on a Au(111) substrate. These layers show a thickness-dependent dielectric function, which was determined using spectroscopic ellipsometry. The experimental results are compared with electronic

  2. Periodicity effects on compound waves guided by a thin metal slab sandwiched between two periodically nonhomogeneous dielectric materials

    Science.gov (United States)

    Chiadini, Francesco; Fiumara, Vincenzo; Scaglione, Antonio; Lakhtakia, Akhlesh

    2017-10-01

    Surface-plasmon-polariton waves can be compounded when a sufficiently thin metal layer is sandwiched between two half spaces filled with dissimilar periodically nonhomogeneous dielectric materials. We solved the boundary-value problem for compound waves guided by a layer of a homogeneous and isotropic metal sandwiched between a structurally chiral material (SCM) and a periodically multilayered isotropic dielectric (PMLID) material. We found that the periodicities of the PMLID material and the SCM are crucial to excite a multiplicity of compound guided waves arising from strong coupling between the two interfaces.

  3. Low operating voltage n-channel organic field effect transistors using lithium fluoride/PMMA bilayer gate dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, S.; Dhar, A., E-mail: adhar@phy.iitkgp.ernet.in

    2015-10-15

    Highlights: • Alternative to chemically crosslinking of PMMA to achieve low leakage in provided. • Effect of LiF in reducing gate leakage through the OFET device is studied. • Effect of gate leakage on transistor performance has been investigated. • Low voltage operable and low temperature processed n-channel OFETs were fabricated. - Abstract: We report low temperature processed, low voltage operable n-channel organic field effect transistors (OFETs) using N,N′-Dioctyl-3,4,9,10-perylenedicarboximide (PTCDI-C{sub 8}) organic semiconductor and poly(methylmethacrylate) (PMMA)/lithium fluoride (LiF) bilayer gate dielectric. We have studied the role of LiF buffer dielectric in effectively reducing the gate leakage through the device and thus obtaining superior performance in contrast to the single layer PMMA dielectric devices. The bilayer OFET devices had a low threshold voltage (V{sub t}) of the order of 5.3 V. The typical values of saturation electron mobility (μ{sub s}), on/off ratio and inverse sub-threshold slope (S) for the range of devices made were estimated to be 2.8 × 10{sup −3} cm{sup 2}/V s, 385, and 3.8 V/decade respectively. Our work thus provides a potential substitution for much complicated process of chemically crosslinking PMMA to achieve low leakage, high capacitance, and thus low operating voltage OFETs.

  4. Single-crystal-like GdNdO{sub x} thin films on silicon substrates by magnetron sputtering and high-temperature annealing for crystal seed layer application

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Ziwei; Xiao, Lei; Liang, Renrong, E-mail: wang-j@tsinghua.edu.cn, E-mail: liangrr@tsinghua.edu.cn; Shen, Shanshan; Xu, Jun; Wang, Jing, E-mail: wang-j@tsinghua.edu.cn, E-mail: liangrr@tsinghua.edu.cn [Tsinghua National Laboratory for Information Science and Technology, Institute of Microelectronics, Tsinghua University, Beijing 100084 (China)

    2016-06-15

    Single-crystal-like rare earth oxide thin films on silicon (Si) substrates were fabricated by magnetron sputtering and high-temperature annealing processes. A 30-nm-thick high-quality GdNdO{sub x} (GNO) film was deposited using a high-temperature sputtering process at 500°C. A Gd{sub 2}O{sub 3} and Nd{sub 2}O{sub 3} mixture was used as the sputtering target, in which the proportions of Gd{sub 2}O{sub 3} and Nd{sub 2}O{sub 3} were controlled to make the GNO’s lattice parameter match that of the Si substrate. To further improve the quality of the GNO film, a post-deposition annealing process was performed at a temperature of 1000°C. The GNO films exhibited a strong preferred orientation on the Si substrate. In addition, an Al/GNO/Si capacitor was fabricated to evaluate the dielectric constant and leakage current of the GNO films. It was determined that the single-crystal-like GNO films on the Si substrates have potential for use as an insulator layer for semiconductor-on-insulator and semiconductor/insulator multilayer applications.

  5. Atomic-scale structure of single-layer MoS2 nanoclusters

    DEFF Research Database (Denmark)

    Helveg, S.; Lauritsen, J. V.; Lægsgaard, E.

    2000-01-01

    We have studied using scanning tunneling microscopy (STM) the atomic-scale realm of molybdenum disulfide (MoS2) nanoclusters, which are of interest as a model system in hydrodesulfurization catalysis. The STM gives the first real space images of the shape and edge structure of single-layer MoS2...

  6. Towards single step production of multi-layer inorganic hollow fibers

    NARCIS (Netherlands)

    de Jong, J.; Benes, Nieck Edwin; Koops, G.H.; Wessling, Matthias

    2004-01-01

    In this work we propose a generic synthesis route for the single step production of multi-layer inorganic hollow fibers, based on polymer wet spinning combined with a heat treatment. With this new method, membranes with a high surface area per unit volume ratio can be produced, while production time

  7. Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction

    Energy Technology Data Exchange (ETDEWEB)

    Fong, S. W., E-mail: swfong@stanford.edu; Wong, H.-S. P. [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States); Sood, A. [Department of Material Science and Engineering, Stanford University, Stanford, California 94305 (United States); Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States); Chen, L. [School of Energy and Power Engineering, Xi' an Jiatong University, Xi' an, Shaanxi 710049 (China); Kumari, N.; Gibson, G. A. [Hewlett-Packard Labs, 1501 Page Mill Rd., Palo Alto, California 94304 (United States); Asheghi, M.; Goodson, K. E. [Department of Mechanical Engineering, Stanford University, Stanford, California 94305 (United States)

    2016-07-07

    In this work, we investigate the temperature-dependent thermal conductivities of few nanometer thick alternating stacks of amorphous dielectrics, specifically SiO{sub 2}/Al{sub 2}O{sub 3} and SiO{sub 2}/Si{sub 3}N{sub 4}. Experiments using steady-state Joule-heating and electrical thermometry, while using a micro-miniature refrigerator over a wide temperature range (100–500 K), show that amorphous thin-film multilayer SiO{sub 2}/Si{sub 3}N{sub 4} and SiO{sub 2}/Al{sub 2}O{sub 3} exhibit through-plane room temperature effective thermal conductivities of about 1.14 and 0.48 W/(m × K), respectively. In the case of SiO{sub 2}/Al{sub 2}O{sub 3}, the reduced conductivity is attributed to lowered film density (7.03 → 5.44 × 10{sup 28 }m{sup –3} for SiO{sub 2} and 10.2 → 8.27 × 10{sup 28 }m{sup –3} for Al{sub 2}O{sub 3}) caused by atomic layer deposition of thin-films as well as a small, finite, and repeating thermal boundary resistance (TBR) of 1.5 m{sup 2} K/GW between dielectric layers. Molecular dynamics simulations reveal that vibrational mismatch between amorphous oxide layers is small, and that the TBR between layers is largely due to imperfect interfaces. Finally, the impact of using this multilayer dielectric in a dash-type phase-change memory device is studied using finite-element simulations.

  8. arXiv Axion-photon conversion caused by dielectric interfaces: quantum field calculation

    CERN Document Server

    Ioannisian, Ara N.; Millar, Alexander J.; Raffelt, Georg G.

    2017-09-05

    Axion-photon conversion at dielectric interfaces, immersed in a near-homogeneous magnetic field, is the basis for the dielectric haloscope method to search for axion dark matter. In analogy to transition radiation, this process is possible because the photon wave function is modified by the dielectric layers ("Garibian wave function") and is no longer an eigenstate of momentum. A conventional first-order perturbative calculation of the transition probability between a quantized axion state and these distorted photon states provides the microwave production rate. It agrees with previous results based on solving the classical Maxwell equations for the combined system of axions and electromagnetic fields. We argue that in general the average photon production rate is given by our result, independently of the detailed quantum state of the axion field. Moreover, our result provides a new perspective on axion-photon conversion in dielectric haloscopes because the rate is based on an overlap integral between unpertu...

  9. Benzocyclobutene (BCB) Polymer as Amphibious Buffer Layer for Graphene Field-Effect Transistor.

    Science.gov (United States)

    Wu, Yun; Zou, Jianjun; Huo, Shuai; Lu, Haiyan; Kong, Yuecan; Chen, Tangshen; Wu, Wei; Xu, Jingxia

    2015-08-01

    Owing to the scattering and trapping effects, the interfaces of dielectric/graphene or substrate/graphene can tailor the performance of field-effect transistor (FET). In this letter, the polymer of benzocyclobutene (BCB) was used as an amphibious buffer layer and located at between the layers of substrate and graphene and between the layers of dielectric and graphene. Interestingly, with the help of nonpolar and hydrophobic BCB buffer layer, the large-scale top-gated, chemical vapor deposited (CVD) graphene transistors was prepared on Si/SiO2 substrate, its cutoff frequency (fT) and the maximum cutoff frequency (fmax) of the graphene field-effect transistor (GFET) can be reached at 12 GHz and 11 GHz, respectively.

  10. Study of energy transfer in single and multi-emissive layer using Gaussian peak fitting

    International Nuclear Information System (INIS)

    Yoon, Ju-An; Kim, You-Hyun; Kim, Nam Ho; Moon, Chang-Bum; He, Gufeng; Kim, Woo Young

    2014-01-01

    White organic light-emitting diodes(WOLEDs) were fabricated with the device structure of ITO(1800 Å)/NPB(700 Å)/emissive layer(300 Å)/Bphen(300 Å)/Liq(20 Å)/Al(1200 Å) using the two complementary colors method. Then, we investigated their electrical and optical characteristics to determine luminous efficiency, luminance and color coordinates of single, double, triple and quadruple emissive layered-WOLED. Thickness of emissive layer was fixed at 30 Å, and DPASN and BAlq were used for blue emissive host material and DCJTB was added as red dopant in the emissive layer. Then, we investigated the performance of OLEDs via its charge blocking structure and its different emissive region with emissive layers. Luminous efficiency of 5.30 cd/A at 50 mA/cm 2 of current density is obtained in WOLED device with double emissive layer of DPASN:DCJTB-0.1% (150 Å)/BAlq:DCJTB-0.1% (150 Å) and these are 80% higher than WOLED device with single emissive layer of DPASN:DCJTB-0.1% (300 Å). - Highlights: • White OLEDs with multiple-emissive layer were fabricated using p- and n-type emissive materials. • We fabricated WOLEDs only using a small quantity of fluorescent red dopant materials. • The spectroscopic analysis using multi-peak fits with a Gaussian function. • The explain electroluminescence spectra of white OLEDs with the multiple-emissive layer. • We examine changes in the number of emissive layer about white OLEDs performance

  11. Dielectric response of planar relativistic quantum plasmas

    International Nuclear Information System (INIS)

    Bardos, D.C.; Frankel, N.E.

    1991-01-01

    The dielectric response of planar relativistic charged particle-antiparticle plasmas is investigated, treating Fermi and Bose plasmas. The conductivity tensor in each case is derived in the self-consistent Random Phase Approximation. The tensors are then evaluated at zero temperature for the case of no external fields, leading to explicit dispersion relations for the electrodynamic modes of the plasma. The longitudinal and transverse modes are in general coupled for plasma layers. This coupling vanishes, however, in the zero field case, allowing 'effective' longitudinal and transverse dielectric functions to be defined in terms of components of the conductivity tensor. Solutions to the longitudinal mode equations (i.e. plasmon modes) are exhibited, while purely transverse modes are found not to exist. In the case of the Bose plasma the screening of a test charge is investigated in detail. 41 refs., 1 fig

  12. Emergence of charge density waves and a pseudogap in single-layer TiTe2.

    Science.gov (United States)

    Chen, P; Pai, Woei Wu; Chan, Y-H; Takayama, A; Xu, C-Z; Karn, A; Hasegawa, S; Chou, M Y; Mo, S-K; Fedorov, A-V; Chiang, T-C

    2017-09-11

    Two-dimensional materials constitute a promising platform for developing nanoscale devices and systems. Their physical properties can be very different from those of the corresponding three-dimensional materials because of extreme quantum confinement and dimensional reduction. Here we report a study of TiTe 2 from the single-layer to the bulk limit. Using angle-resolved photoemission spectroscopy and scanning tunneling microscopy and spectroscopy, we observed the emergence of a (2 × 2) charge density wave order in single-layer TiTe 2 with a transition temperature of 92 ± 3 K. Also observed was a pseudogap of about 28 meV at the Fermi level at 4.2 K. Surprisingly, no charge density wave transitions were observed in two-layer and multi-layer TiTe 2 , despite the quasi-two-dimensional nature of the material in the bulk. The unique charge density wave phenomenon in the single layer raises intriguing questions that challenge the prevailing thinking about the mechanisms of charge density wave formation.Due to reduced dimensionality, the properties of 2D materials are often different from their 3D counterparts. Here, the authors identify the emergence of a unique charge density wave (CDW) order in monolayer TiTe 2 that challenges the current understanding of CDW formation.

  13. Nanomechanical probing of thin-film dielectric elastomer transducers

    Science.gov (United States)

    Osmani, Bekim; Seifi, Saman; Park, Harold S.; Leung, Vanessa; Töpper, Tino; Müller, Bert

    2017-08-01

    Dielectric elastomer transducers (DETs) have attracted interest as generators, actuators, sensors, and even as self-sensing actuators for applications in medicine, soft robotics, and microfluidics. Their performance crucially depends on the elastic properties of the electrode-elastomer sandwich structure. The compressive displacement of a single-layer DET can be easily measured using atomic force microscopy (AFM) in the contact mode. While polymers used as dielectric elastomers are known to exhibit significant mechanical stiffening for large strains, their mechanical properties when subjected to voltages are not well understood. To examine this effect, we measured the depths of 400 nanoindentations as a function of the applied electric field using a spherical AFM probe with a radius of (522 ± 4) nm. Employing a field as low as 20 V/μm, the indentation depths increased by 42% at a load of 100 nN with respect to the field-free condition, implying an electromechanically driven elastic softening of the DET. This at-a-glance surprising experimental result agrees with related nonlinear, dynamic finite element model simulations. Furthermore, the pull-off forces rose from (23.0 ± 0.4) to (49.0 ± 0.7) nN implying a nanoindentation imprint after unloading. This embossing effect is explained by the remaining charges at the indentation site. The root-mean-square roughness of the Au electrode raised by 11% upon increasing the field from zero to 12 V/μm, demonstrating that the electrode's morphology change is an undervalued factor in the fabrication of DET structures.

  14. Frequency dispersion analysis of thin dielectric MOS capacitor in a five-element model

    Science.gov (United States)

    Zhang, Xizhen; Zhang, Sujuan; Zhu, Huichao; Pan, Xiuyu; Cheng, Chuanhui; Yu, Tao; Li, Xiangping; Cheng, Yi; Xing, Guichao; Zhang, Daming; Luo, Xixian; Chen, Baojiu

    2018-02-01

    An Al/ZrO2/IL/n-Si (IL: interface layer) MOS capacitor has been fabricated by metal organic decomposition of ZrO2 and thermal deposition Al. We have measured parallel capacitance (C m) and parallel resistance (R m) versus bias voltage curves (C m, R m-V) at different AC signal frequency (f), and C m, R m-f curves at different bias voltage. The curves of C m, R m-f measurements show obvious frequency dispersion in the range of 100 kHz-2 MHz. The energy band profile shows that a large voltage is applied on the ZrO2 layer and IL at accumulation, which suggests possible dielectric polarization processes by some traps in ZrO2 and IL. C m, R m-f data are used for frequency dispersion analysis. To exclude external frequency dispersion, we have extracted the parameters of C (real MOS capacitance), R p (parallel resistance), C IL (IL capacitance), R IL (IL resistance) and R s (Si resistance) in a five-element model by using a three-frequency method. We have analyzed intrinsic frequency dispersion of C, R p, C IL, R IL and R s by studying the dielectric characteristics and Si surface layer characteristics. At accumulation, the dispersion of C and R p is attributed to dielectric polarization such as dipolar orientation and oxide traps. The serious dispersion of C IL and R IL are relative to other dielectric polarization, such as border traps and fixed oxide traps. The dispersion of R s is mainly attributed to contact capacitance (C c) and contact resistance (R c). At depletion and inversion, the frequency dispersion of C, R p, C IL, R IL, and R s are mainly attributed to the depletion layer capacitance (C D). The interface trap capacitance (C it) and interface trap resistance (R it) are not dominant for the dispersion of C, R p, C IL, R IL, and R s.

  15. Singularities of the transmission coefficient and anomalous scattering by a dielectric slab

    Science.gov (United States)

    Shestopalov, Yury

    2018-03-01

    We prove the existence and describe the distribution on the complex plane of the singularities, resonant states (RSs), of the transmission coefficient in the problem of the plane wave scattering by a parallel-plate dielectric slab in free space. It is shown that the transmission coefficient has isolated poles all with nonzero imaginary parts that form countable sets in the complex plane of the refraction index or permittivity of the slab with the only accumulation point at infinity. The transmission coefficient never vanishes and anomalous scattering, when its modulus exceeds unity, occurs at arbitrarily small loss of the dielectric filling the layer. These results are extended to the cases of scattering by arbitrary multi-layer parallel-plane media. Connections are established between RSs, spectral singularities, eigenvalues of the associated Sturm-Liouville problems on the line, and zeros of the corresponding Jost function.

  16. A general centroid determination methodology, with application to multilayer dielectric structures and thermally stimulated current measurements

    International Nuclear Information System (INIS)

    Miller, S.L.; Fleetwood, D.M.; McWhorter, P.J.; Reber, R.A. Jr.; Murray, J.R.

    1993-01-01

    A general methodology is developed to experimentally characterize the spatial distribution of occupied traps in dielectric films on a semiconductor. The effects of parasitics such as leakage, charge transport through more than one interface, and interface trap charge are quantitatively addressed. Charge transport with contributions from multiple charge species is rigorously treated. The methodology is independent of the charge transport mechanism(s), and is directly applicable to multilayer dielectric structures. The centroid capacitance, rather than the centroid itself, is introduced as the fundamental quantity that permits the generic analysis of multilayer structures. In particular, the form of many equations describing stacked dielectric structures becomes independent of the number of layers comprising the stack if they are expressed in terms of the centroid capacitance and/or the flatband voltage. The experimental methodology is illustrated with an application using thermally stimulated current (TSC) measurements. The centroid of changes (via thermal emission) in the amount of trapped charge was determined for two different samples of a triple-layer dielectric structure. A direct consequence of the TSC analyses is the rigorous proof that changes in interface trap charge can contribute, though typically not significantly, to thermally stimulated current

  17. Examination of Effective Dielectric Constants Derived from Non-Spherical Melting Hydrometeor

    Science.gov (United States)

    Liao, L.; Meneghini, R.

    2009-04-01

    The bright band, a layer of enhanced radar echo associated with melting hydrometeors, is often observed in stratiform rain. Understanding the microphysical properties of melting hydrometeors and their scattering and propagation effects is of great importance in accurately estimating parameters of the precipitation from spaceborne radar and radiometers. However, one of the impediments in the study of the radar signature of the melting layer is the determination of effective dielectric constants of melting hydrometeors. Although a number of mixing formulas are available to compute the effective dielectric constants, their results vary to a great extent when water is a component of the mixture, such as in the case of melting snow. It is also physically unclear as to how to select among these various formulas. Furthermore, the question remains as to whether these mixing formulas can be applied to computations of radar polarimetric parameters from non-spherical melting particles. Recently, several approaches using numerical methods have been developed to derive the effective dielectric constants of melting hydrometeors, i.e., mixtures consisting of air, ice and water, based on more realistic melting models of particles, in which the composition of the melting hydrometeor is divided into a number of identical cells. Each of these cells is then assigned in a probabilistic way to be water, ice or air according to the distribution of fractional water contents for a particular particle. While the derived effective dielectric constants have been extensively tested at various wavelengths over a range of particle sizes, these numerical experiments have been restricted to the co-polarized scattering parameters from spherical particles. As polarimetric radar has been increasingly used in the study of microphysical properties of hydrometeors, an extension of the theory to polarimetric variables should provide additional information on melting processes. To account for polarimetric

  18. Generation of organized germ layers from a single mouse embryonic stem cell.

    Science.gov (United States)

    Poh, Yeh-Chuin; Chen, Junwei; Hong, Ying; Yi, Haiying; Zhang, Shuang; Chen, Junjian; Wu, Douglas C; Wang, Lili; Jia, Qiong; Singh, Rishi; Yao, Wenting; Tan, Youhua; Tajik, Arash; Tanaka, Tetsuya S; Wang, Ning

    2014-05-30

    Mammalian inner cell mass cells undergo lineage-specific differentiation into germ layers of endoderm, mesoderm and ectoderm during gastrulation. It has been a long-standing challenge in developmental biology to replicate these organized germ layer patterns in culture. Here we present a method of generating organized germ layers from a single mouse embryonic stem cell cultured in a soft fibrin matrix. Spatial organization of germ layers is regulated by cortical tension of the colony, matrix dimensionality and softness, and cell-cell adhesion. Remarkably, anchorage of the embryoid colony from the 3D matrix to collagen-1-coated 2D substrates of ~1 kPa results in self-organization of all three germ layers: ectoderm on the outside layer, mesoderm in the middle and endoderm at the centre of the colony, reminiscent of generalized gastrulating chordate embryos. These results suggest that mechanical forces via cell-matrix and cell-cell interactions are crucial in spatial organization of germ layers during mammalian gastrulation. This new in vitro method could be used to gain insights on the mechanisms responsible for the regulation of germ layer formation.

  19. Exposure buildup factors for a cobalt-60 point isotropic source for single and two layer slabs

    International Nuclear Information System (INIS)

    Chakarova, R.

    1992-01-01

    Exposure buildup factors for point isotropic cobalt-60 sources are calculated by the Monte Carlo method with statistical errors ranging from 1.5 to 7% for 1-5 mean free paths (mfp) thick water and iron single slabs and for 1 and 2 mfp iron layers followed by water layers 1-5 mfp thick. The computations take into account Compton scattering. The Monte Carlo data for single slab geometries are approximated by Geometric Progression formula. Kalos's formula using the calculated single slab buildup factors may be applied to reproduce the data for two-layered slabs. The presented results and discussion may help when choosing the manner in which the radiation field gamma irradiation units will be described. (author)

  20. Virtual ellipsometry on layered micro-facet surfaces.

    Science.gov (United States)

    Wang, Chi; Wilkie, Alexander; Harcuba, Petr; Novosad, Lukas

    2017-09-18

    Microfacet-based BRDF models are a common tool to describe light scattering from glossy surfaces. Apart from their wide-ranging applications in optics, such models also play a significant role in computer graphics for photorealistic rendering purposes. In this paper, we mainly investigate the computer graphics aspect of this technology, and present a polarisation-aware brute force simulation of light interaction with both single and multiple layered micro-facet surfaces. Such surface models are commonly used in computer graphics, but the resulting BRDF is ultimately often only approximated. Recently, there has been work to try to make these approximations more accurate, and to better understand the behaviour of existing analytical models. However, these brute force verification attempts still emitted the polarisation state of light and, as we found out, this renders them prone to mis-estimating the shape of the resulting BRDF lobe for some particular material types, such as smooth layered dielectric surfaces. For these materials, non-polarising computations can mis-estimate some areas of the resulting BRDF shape by up to 23%. But we also identified some other material types, such as dielectric layers over rough conductors, for which the difference turned out to be almost negligible. The main contribution of our work is to clearly demonstrate that the effect of polarisation is important for accurate simulation of certain material types, and that there are also other common materials for which it can apparently be ignored. As this required a BRDF simulator that we could rely on, a secondary contribution is that we went to considerable lengths to validate our software. We compare it against a state-of-art model from graphics, a library from optics, and also against ellipsometric measurements of real surface samples.

  1. Excitation of plasmonic waves in metal-dielectric structures by a laser beam using holography principles

    Science.gov (United States)

    Ignatov, A. I.; Merzlikin, A. M.

    2018-03-01

    A method for development of gratings for effective excitation of surface plasmonic waves using holography principles has been proposed and theoretically analyzed. For the case of a plasmonic wave in a dielectric layer on metal, the proposed volume hologram is 1.7 times more effective than the simple grating of slits in the dielectric layer with the optimized period and slits' width. The advantage of the hologram over the optimized grating is in the refractive index distribution that accounts phase relationships between an exciting and an excited waves more correctly. The proposed holographic method is universal. As expected, this can be extended for effective excitation of different types of optical surface waves and modes of optical waveguides.

  2. Single layers and multilayers of GaN and AlN in square-octagon structure: Stability, electronic properties, and functionalization

    Science.gov (United States)

    Gürbüz, E.; Cahangirov, S.; Durgun, E.; Ciraci, S.

    2017-11-01

    Further to planar single-layer hexagonal structures, GaN and AlN can also form free-standing, single-layer structures constructed from squares and octagons. We performed an extensive analysis of dynamical and thermal stability of these structures in terms of ab initio finite-temperature molecular dynamics and phonon calculations together with the analysis of Raman and infrared active modes. These single-layer square-octagon structures of GaN and AlN display directional mechanical properties and have wide, indirect fundamental band gaps, which are smaller than their hexagonal counterparts. These density functional theory band gaps, however, increase and become wider upon correction. Under uniaxial and biaxial tensile strain, the fundamental band gaps decrease and can be closed. The electronic and magnetic properties of these single-layer structures can be modified by adsorption of various adatoms, or by creating neutral cation-anion vacancies. The single-layer structures attain magnetic moment by selected adatoms and neutral vacancies. In particular, localized gap states are strongly dependent on the type of vacancy. The energetics, binding, and resulting electronic structure of bilayer, trilayer, and three-dimensional (3D) layered structures constructed by stacking the single layers are affected by vertical chemical bonds between adjacent layers. In addition to van der Waals interaction, these weak vertical bonds induce buckling in planar geometry and enhance their binding, leading to the formation of stable 3D layered structures. In this respect, these multilayers are intermediate between van der Waals solids and wurtzite crystals, offering a wide range of tunability.

  3. Dielectric properties of proteins from simulations: tools and techniques

    Science.gov (United States)

    Simonson, Thomas; Perahia, David

    1995-09-01

    Tools and techniques to analyze the dielectric properties of proteins are described. Microscopic dielectric properties are determined by a susceptibility tensor of order 3 n, where n is the number of protein atoms. For perturbing charges not too close to the protein, the dielectric relaxation free energy is directly related to the dipole-dipole correlation matrix of the unperturbed protein, or equivalently to the covariance matrix of its atomic displacements. These are straightforward to obtain from existing molecular dynamics packages such as CHARMM or X- PLOR. Macroscopic dielectric properties can be derived from the dipolar fluctuations of the protein, by idealizing the protein as one or more spherical media. The dipolar fluctuations are again directly related to the covariance matrix of the atomic displacements. An interesting consequence is that the quasiharmonic approximation, which by definition exactly reproduces this covariance matrix, gives the protein dielectric constant exactly. Finally a technique is reviewed to obtain normal or quasinormal modes of vibration of symmetric protein assemblies. Using elementary group theory, and eliminating the high-frequency modes of vibration of each monomer, the limiting step in terms of memory and computation is finding the normal modes of a single monomer, with the other monomers held fixed. This technique was used to study the dielectric properties of the Tobacco Mosaic Virus protein disk.

  4. Stochastic and deterministic causes of streamer branching in liquid dielectrics

    International Nuclear Information System (INIS)

    Jadidian, Jouya; Zahn, Markus; Lavesson, Nils; Widlund, Ola; Borg, Karl

    2013-01-01

    Streamer branching in liquid dielectrics is driven by stochastic and deterministic factors. The presence of stochastic causes of streamer branching such as inhomogeneities inherited from noisy initial states, impurities, or charge carrier density fluctuations is inevitable in any dielectric. A fully three-dimensional streamer model presented in this paper indicates that deterministic origins of branching are intrinsic attributes of streamers, which in some cases make the branching inevitable depending on shape and velocity of the volume charge at the streamer frontier. Specifically, any given inhomogeneous perturbation can result in streamer branching if the volume charge layer at the original streamer head is relatively thin and slow enough. Furthermore, discrete nature of electrons at the leading edge of an ionization front always guarantees the existence of a non-zero inhomogeneous perturbation ahead of the streamer head propagating even in perfectly homogeneous dielectric. Based on the modeling results for streamers propagating in a liquid dielectric, a gauge on the streamer head geometry is introduced that determines whether the branching occurs under particular inhomogeneous circumstances. Estimated number, diameter, and velocity of the born branches agree qualitatively with experimental images of the streamer branching

  5. The transition mechanism from a symmetric single period discharge to a period-doubling discharge in atmospheric helium dielectric-barrier discharge

    International Nuclear Information System (INIS)

    Zhang, Dingzong; Wang, Yanhui; Wang, Dezhen

    2013-01-01

    Period-doubling and chaos phenomenon have been frequently observed in atmospheric-pressure dielectric-barrier discharges. However, how a normal single period discharge bifurcates into period-doubling state is still unclear. In this paper, by changing the driving frequency, we study numerically the transition mechanisms from a normal single period discharge to a period-doubling state using a one-dimensional self-consistent fluid model. The results show that before a discharge bifurcates into a period-doubling state, it first deviates from its normal operation and transforms into an asymmetric single period discharge mode. Then the weaker discharge in this asymmetric discharge will be enhanced gradually with increasing of the frequency until it makes the subsequent discharge weaken and results in the discharge entering a period-doubling state. In the whole transition process, the spatial distribution of the charged particle density and the electric field plays a definitive role. The conclusions are further confirmed by changing the gap width and the amplitude of the applied voltage

  6. The transition mechanism from a symmetric single period discharge to a period-doubling discharge in atmospheric helium dielectric-barrier discharge

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Dingzong; Wang, Yanhui; Wang, Dezhen [School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China)

    2013-06-15

    Period-doubling and chaos phenomenon have been frequently observed in atmospheric-pressure dielectric-barrier discharges. However, how a normal single period discharge bifurcates into period-doubling state is still unclear. In this paper, by changing the driving frequency, we study numerically the transition mechanisms from a normal single period discharge to a period-doubling state using a one-dimensional self-consistent fluid model. The results show that before a discharge bifurcates into a period-doubling state, it first deviates from its normal operation and transforms into an asymmetric single period discharge mode. Then the weaker discharge in this asymmetric discharge will be enhanced gradually with increasing of the frequency until it makes the subsequent discharge weaken and results in the discharge entering a period-doubling state. In the whole transition process, the spatial distribution of the charged particle density and the electric field plays a definitive role. The conclusions are further confirmed by changing the gap width and the amplitude of the applied voltage.

  7. Parameters design of the dielectric elastomer spring-roll bending actuator (Conference Presentation)

    Science.gov (United States)

    Li, Jinrong; Liu, Liwu; Liu, Yanju; Leng, Jinsong

    2017-04-01

    Dielectric elastomers are novel soft smart material that could deform sustainably when subjected to external electric field. That makes dielectric elastomers promising materials for actuators. In this paper, a spring-roll actuator that would bend when a high voltage is applied was fabricated based on dielectric elastomer. Using such actuators as active parts, the flexible grippers and inchworm-inspired crawling robots were manufactured, which demonstrated some examples of applications in soft robotics. To guide the parameters design of dielectric elastomer based spring-roll bending actuators, the theoretical model of such actuators was established based on thermodynamic theories. The initial deformation and electrical induced bending angle of actuators were formulated. The failure of actuators was also analyzed considering some typical failure modes like electromechanical instability, electrical breakdown, loss of tension and maximum tolerant stretch. Thus the allowable region of actuators was determined. Then the bending angle-voltage relations and failure voltages of actuators with different parameters, including stretches of the dielectric elastomer film, number of active layers, and dimensions of spring, were investigated. The influences of each parameter on the actuator performances were discussed, providing meaningful guidance to the optical design of the spring-roll bending actuators.

  8. Frequency Dependence of C-V Characteristics of MOS Capacitors Containing Nanosized High-κ Ta2O5 Dielectrics

    Directory of Open Access Journals (Sweden)

    Nenad Novkovski

    2017-01-01

    Full Text Available Capacitance of metal–insulator–Si structures containing high permittivity dielectric exhibits complicated behaviour when voltage and frequency dependencies are studied. From our study on metal (Al, Au, W–Ta2O5/SiO2–Si structures, we identify serial C-R measurement mode to be more convenient for use than the parallel one usually used in characterization of similar structures. Strong frequency dependence that is not due to real variations in the dielectric permittivity of the layers is observed. Very high capacitance at low frequencies is due to the leakage in Ta2O5 layer. We found that the above observation is mainly due to different leakage current mechanisms in the two different layers composing the stack. The effect is highly dependent on the applied voltage, since the leakage currents are strongly nonlinear functions of the electric field in the layers. Additionally, at low frequencies, transition currents influence the measured value of the capacitance. From the capacitance measurements several parameters are extracted, such as capacitance in accumulation, effective dielectric constant, and oxide charges. Extracting parameters of the studied structures by standard methods in the case of high-κ/interfacial layer stacks can lead to substantial errors. Some cases demonstrating these deficiencies of the methods are presented and solutions for obtaining better results are proposed.

  9. Ultrathin ZnO interfacial passivation layer for atomic layer deposited ZrO2 dielectric on the p-In0.2Ga0.8As substrate

    Science.gov (United States)

    Liu, Chen; Lü, Hongliang; Yang, Tong; Zhang, Yuming; Zhang, Yimen; Liu, Dong; Ma, Zhenqiang; Yu, Weijian; Guo, Lixin

    2018-06-01

    Interfacial and electrical properties were investigated on metal-oxidesemiconductor capacitors (MOSCAPs) fabricated with bilayer ZnO/ZrO2 films by atomic layer deposition (ALD) on p-In0.2Ga0.8As substrates. The ZnO passivated In0.2Ga0.8As MOSCAPs have exhibited significantly improved capacitance-voltage (C-V) characteristics with the suppressed "stretched out" effect, increased accumulation capacitance and reduced accumulation frequency dispersion as well as the lower gate leakage current. In addition, the interface trap density (Dit) estimated by the Terman method was decreased dramatically for ZnO passivated p-In0.2Ga0.8As. The inherent mechanism is attributed to the fact that an ultrathin ZnO IPL employed by ALD prior to ZrO2 dielectric deposition can effectively suppress the formation of defect-related low-k oxides and As-As dimers at the interface, thus effectively improving the interface quality by largely removing the border traps aligned near the valence band edge of the p-In0.2Ga0.8As substrate.

  10. Atomic-scale microstructures, Raman spectra and dielectric properties of cubic pyrochlore-typed Bi1.5MgNb1.5O7 dielectric ceramics

    KAUST Repository

    Li, Yangyang

    2014-07-01

    Single-phase cubic pyrochlore-typed Bi1.5MgNb 1.5O7 (BMN) dielectric ceramics were synthesized at temperatures of 1050-1200 °C by solid-state reaction method. Their atomic-scale microstructures and dielectric properties were investigated. X-ray diffraction patterns revealed that the BMN ceramics had an average cubic pyrochlore structure, whereas the Raman spectra indicated that they had an essentially cubic symmetry with small local deviations at the A and O\\' sites of the cubic pyrochlore structure. This was confirmed by selected electron area diffraction (SAED) patterns, where the reflections of {442} (not allowed in the cubic pyrochlore with Fd3̄m symmetry) were clearly observed. SEM and TEM images revealed that the average grain size was increased with the sintering temperature, and an un-homogeneous grain growth was observed at high temperatures. HRTEM images and SAED patterns revealed the single-crystalline nature of the BMN ceramic grains. Energy dispersive spectroscopy (EDS) elemental mapping studies indicated that the compositional distributions of Bi, Mg, Nb and O elements in the ceramic grains were homogenous, and no elemental precipitation was observed at the grain boundary. Quantitative EDS data on ceramic grains revealed the expected cationic stoichiometry based on the initial composition of Bi1.5MgNb1.5O7. Dielectric constants of all the BMN samples exhibited almost frequency independent characteristic in the frequency range of 102-106 Hz, and the highest value was 195 for the BMN ceramics sintered at sintered at 1150 °C with the highest bulk density. The dielectric losses were stable and less than 0.002 in the frequency range of 102-105 Hz. The high dielectric constants of the present BMN samples can be ascribed to the local atomic deviations at the A and O\\' sites from the ideal atomic positions of the pyrochlore structure, which affect the different polarization mechanisms in the BMN ceramics, and which in turn enhance the dielectric

  11. Atomic-scale microstructures, Raman spectra and dielectric properties of cubic pyrochlore-typed Bi1.5MgNb1.5O7 dielectric ceramics

    KAUST Repository

    Li, Yangyang; Zhu, Xinhua; Al-Kassab, Talaat

    2014-01-01

    Single-phase cubic pyrochlore-typed Bi1.5MgNb 1.5O7 (BMN) dielectric ceramics were synthesized at temperatures of 1050-1200 °C by solid-state reaction method. Their atomic-scale microstructures and dielectric properties were investigated. X-ray diffraction patterns revealed that the BMN ceramics had an average cubic pyrochlore structure, whereas the Raman spectra indicated that they had an essentially cubic symmetry with small local deviations at the A and O' sites of the cubic pyrochlore structure. This was confirmed by selected electron area diffraction (SAED) patterns, where the reflections of {442} (not allowed in the cubic pyrochlore with Fd3̄m symmetry) were clearly observed. SEM and TEM images revealed that the average grain size was increased with the sintering temperature, and an un-homogeneous grain growth was observed at high temperatures. HRTEM images and SAED patterns revealed the single-crystalline nature of the BMN ceramic grains. Energy dispersive spectroscopy (EDS) elemental mapping studies indicated that the compositional distributions of Bi, Mg, Nb and O elements in the ceramic grains were homogenous, and no elemental precipitation was observed at the grain boundary. Quantitative EDS data on ceramic grains revealed the expected cationic stoichiometry based on the initial composition of Bi1.5MgNb1.5O7. Dielectric constants of all the BMN samples exhibited almost frequency independent characteristic in the frequency range of 102-106 Hz, and the highest value was 195 for the BMN ceramics sintered at sintered at 1150 °C with the highest bulk density. The dielectric losses were stable and less than 0.002 in the frequency range of 102-105 Hz. The high dielectric constants of the present BMN samples can be ascribed to the local atomic deviations at the A and O' sites from the ideal atomic positions of the pyrochlore structure, which affect the different polarization mechanisms in the BMN ceramics, and which in turn enhance the dielectric constants of

  12. Edge structures and properties of triangular antidots in single-layer MoS2

    International Nuclear Information System (INIS)

    Gan, Li-Yong; Cheng, Yingchun; Huang, Wei; Schwingenschlögl, Udo; Yao, Yingbang; Zhao, Yong; Zhang, Xi-xiang

    2016-01-01

    Density functional theory and experiments are employed to shed light on the edge structures of antidots in O etched single-layer MoS 2 . The equilibrium morphology is found to be the zigzag Mo edge with each Mo atom bonded to two O atoms, in a wide range of O chemical potentials. Scanning electron microscopy shows that the orientation of the created triangular antidots is opposite to the triangular shape of the single-layer MoS 2 samples, in agreement with the theoretical predictions. Furthermore, edges induced by O etching turn out to be p-doped, suggesting an effective strategy to realize p-type MoS 2 devices.

  13. Pentacene Active Channel Layers Prepared by Spin-Coating and Vacuum Evaporation Using Soluble Precursors for OFET Applications

    OpenAIRE

    Ochiai, Shizuyasu; Palanisamy, Kumar; Kannappan, Santhakumar; Shin, Paik-Kyun

    2012-01-01

    Pentacene OFETs of bottom-gate/bottom-contact were fabricated with three types of pentacene organic semiconductors and cross linked Poly(4-vinylphenol) or polycarbonate as gate dielectric layer. Two different processes were used to prepare the pentacene active channel layers: (1) spin-coating on dielectric layer using two different soluble pentacene precursors of SAP and DMP; (2) vacuum evaporation on PC insulator. X-ray diffraction studies revealed coexistence of thin film and bulk phase of ...

  14. Structural and magneto-dielectric property of (1-x)SBT-xLSMO nanocomposite thin films

    International Nuclear Information System (INIS)

    Maity, Sarmistha; Bhattacharya, D.; Dhar, A.; Ray, S.K.

    2009-01-01

    Full text: In recent years, interest in multiferroic materials has been increasing due to their potential applications. As single-phase multiferroic materials have very low room temperature magnetoelectric coefficient, recent studies have been concentrated on the possibility of attaining a coupling between the two order parameters by designing composites with magnetostrictive and piezoelectric phases via stress mediation. Composite thin films with homogenous matrix, composition spread with terminal layers being ferromagnetic and ferroelectric, layer-by-layer growth, superlattices, as well as epitaxial growth of ferromagnetic and ferroelectric layers on suitable substrates are been currently considered. In the present work, a nanostructured composite thin film of strontium bismuth tantalate (SBT) (ferroelectric layer) and lanthanum strontium manganese oxide (LSMO) (ferromagnetic layer) were fabricated using pulsed laser deposition. Phase separated multiferroic thin films with thickness varying from 50nm to 150nm were deposited from composite target (1-x)SBT-xLSMO with x=0.2, 0.5, 0.8. Grazing angle X-ray diffraction study combined with photo electron spectroscopy with depth profiling was carried out to study the phase separation. Interface quality of the thin film on silicon substrate was studied by Rutherford backscattering spectroscopy. Influence of film thickness and composition (x) on the electrical property of film was examined using impedance spectroscopy. The composite films exhibited ferroelectric as well as ferromagnetic characteristics at room temperature. A small kink in the dielectric spectra near the Neel temperature of LSMO confirmed the magneto-electric effect in the nanocomposite films

  15. Photoluminescence intermittency of semiconductor quantum dots in dielectric environments

    Energy Technology Data Exchange (ETDEWEB)

    Isaac, A.

    2006-08-11

    The experimental studies presented in this thesis deal with the photoluminescence intermittency of semiconductor quantum dots in different dielectric environments. Detailed analysis of intermittency statistics from single capped CdSe/ZnS, uncapped CdSe and water dispersed CdSe/ZnS QDs in different matrices provide experimental evidence for the model of photoionization with a charge ejected into the surrounding matrix as the source of PL intermittency phenomenon. We propose a self-trapping model to explain the increase of dark state lifetimes with the dielectric constant of the matrix. (orig.)

  16. Single-layer group IV-V and group V-IV-III-VI semiconductors: Structural stability, electronic structures, optical properties, and photocatalysis

    Science.gov (United States)

    Lin, Jia-He; Zhang, Hong; Cheng, Xin-Lu; Miyamoto, Yoshiyuki

    2017-07-01

    Recently, single-layer group III monochalcogenides have attracted both theoretical and experimental interest at their potential applications in photonic devices, electronic devices, and solar energy conversion. Excited by this, we theoretically design two kinds of highly stable single-layer group IV-V (IV =Si ,Ge , and Sn; V =N and P) and group V-IV-III-VI (IV =Si ,Ge , and Sn; V =N and P; III =Al ,Ga , and In; VI =O and S) compounds with the same structures with single-layer group III monochalcogenides via first-principles simulations. By using accurate hybrid functional and quasiparticle methods, we show the single-layer group IV-V and group V-IV-III-VI are indirect bandgap semiconductors with their bandgaps and band edge positions conforming to the criteria of photocatalysts for water splitting. By applying a biaxial strain on single-layer group IV-V, single-layer group IV nitrides show a potential on mechanical sensors due to their bandgaps showing an almost linear response for strain. Furthermore, our calculations show that both single-layer group IV-V and group V-IV-III-VI have absorption from the visible light region to far-ultraviolet region, especially for single-layer SiN-AlO and SnN-InO, which have strong absorption in the visible light region, resulting in excellent potential for solar energy conversion and visible light photocatalytic water splitting. Our research provides valuable insight for finding more potential functional two-dimensional semiconductors applied in optoelectronics, solar energy conversion, and photocatalytic water splitting.

  17. Efficient and bright organic light-emitting diodes on single-layer graphene electrodes

    Science.gov (United States)

    Li, Ning; Oida, Satoshi; Tulevski, George S.; Han, Shu-Jen; Hannon, James B.; Sadana, Devendra K.; Chen, Tze-Chiang

    2013-08-01

    Organic light-emitting diodes are emerging as leading technologies for both high quality display and lighting. However, the transparent conductive electrode used in the current organic light-emitting diode technologies increases the overall cost and has limited bendability for future flexible applications. Here we use single-layer graphene as an alternative flexible transparent conductor, yielding white organic light-emitting diodes with brightness and efficiency sufficient for general lighting. The performance improvement is attributed to the device structure, which allows direct hole injection from the single-layer graphene anode into the light-emitting layers, reducing carrier trapping induced efficiency roll-off. By employing a light out-coupling structure, phosphorescent green organic light-emitting diodes exhibit external quantum efficiency >60%, while phosphorescent white organic light-emitting diodes exhibit external quantum efficiency >45% at 10,000 cd m-2 with colour rendering index of 85. The power efficiency of white organic light-emitting diodes reaches 80 lm W-1 at 3,000 cd m-2, comparable to the most efficient lighting technologies.

  18. Single-hidden-layer feed-forward quantum neural network based on Grover learning.

    Science.gov (United States)

    Liu, Cheng-Yi; Chen, Chein; Chang, Ching-Ter; Shih, Lun-Min

    2013-09-01

    In this paper, a novel single-hidden-layer feed-forward quantum neural network model is proposed based on some concepts and principles in the quantum theory. By combining the quantum mechanism with the feed-forward neural network, we defined quantum hidden neurons and connected quantum weights, and used them as the fundamental information processing unit in a single-hidden-layer feed-forward neural network. The quantum neurons make a wide range of nonlinear functions serve as the activation functions in the hidden layer of the network, and the Grover searching algorithm outstands the optimal parameter setting iteratively and thus makes very efficient neural network learning possible. The quantum neuron and weights, along with a Grover searching algorithm based learning, result in a novel and efficient neural network characteristic of reduced network, high efficient training and prospect application in future. Some simulations are taken to investigate the performance of the proposed quantum network and the result show that it can achieve accurate learning. Copyright © 2013 Elsevier Ltd. All rights reserved.

  19. A single hidden layer feedforward network with only one neuron in the hidden layer can approximate any univariate function

    OpenAIRE

    Guliyev , Namig; Ismailov , Vugar

    2016-01-01

    The possibility of approximating a continuous function on a compact subset of the real line by a feedforward single hidden layer neural network with a sigmoidal activation function has been studied in many papers. Such networks can approximate an arbitrary continuous function provided that an unlimited number of neurons in a hidden layer is permitted. In this paper, we consider constructive approximation on any finite interval of $\\mathbb{R}$ by neural networks with only one neuron in the hid...

  20. Dielectric behaviour of (Ba,Sr)TiO3 perovskite borosilicate glass ceramics

    International Nuclear Information System (INIS)

    Yadav, Avadhesh Kumar; Gautam, C.R.

    2013-01-01

    Various perovskite (Ba,Sr)TiO 3 borosilicate glasses were prepared by rapid melt-quench technique in the glass system ((Ba 1-x Sr x ).TiO 3 )-(2SiO 2 .B 2 O 3 )-(K 2 O)-(La 2 O 3 ). On the basis of differential thermal analysis results, glasses were converted into glass ceramic samples by regulated heat treatment schedules. The dielectric behaviour of crystallized barium strontium titanate borosilicate glass ceramic samples shows diffuse phase transition. The study depicts the dielectric behaviour of glass ceramic sample BST5K1L0.2S814. The double relaxation was observed in glass ceramic samples corresponding 80/20% Ba/Sr due to change in crystal structure from orthorhombic to tetragonal and tetragonal to cubic with variation of temperature. The highest value of dielectric constant was found to be 48289 for the glass ceramic sample BST5K1L0.2S814. The high value of dielectric constant attributed to space charge polarization between the glassy phase and perovskite phase. Due to very high value of dielectric constant, such glass ceramics are used for high energy storage devices. La 2 O 3 acts as nucleating agent for crystallization of glass to glass ceramics and enhances the dielectric constant and retarded dielectric loss. Such glass ceramics can be used in high energy storage devices such as barrier layer capacitors, multilayer capacitors etc. (author)