WorldWideScience

Sample records for single layer deposition

  1. Comparison of stress in single and multiple layer depositions of plasma-deposited amorphous silicon dioxide

    International Nuclear Information System (INIS)

    Au, V; Charles, C; Boswell, R W

    2006-01-01

    The stress in a single-layer continuous deposition of amorphous silicon dioxide (SiO 2 ) film is compared with the stress within multiple-layer intermittent or 'stop-start' depositions. The films were deposited by helicon activated reactive evaporation (plasma assisted deposition with electron beam evaporation source) to a 1 μm total film thickness. The relationships for stress as a function of film thickness for single, two, four and eight layer depositions have been obtained by employing the substrate curvature technique on a post-deposition etch-back of the SiO 2 film. At film thicknesses of less than 300 nm, the stress-thickness relationships clearly show an increase in stress in the multiple-layer samples compared with the relationship for the single-layer film. By comparison, there is little variation in the film stress between the samples when it is measured at 1 μm film thickness. Localized variations in stress were not observed in the regions where the 'stop-start' depositions occurred. The experimental results are interpreted as a possible indication of the presence of unstable, strained Si-O-Si bonds in the amorphous SiO 2 film. It is proposed that the subsequent introduction of a 'stop-start' deposition process places additional strain on these bonds to affect the film structure. The experimental stress-thickness relationships were reproduced independently by assuming a linear relationship between the measured bow and film thickness. The constants of the linear model are interpreted as an indication of the density of the amorphous film structure

  2. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  3. Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Golnaz Karbasian

    2017-03-01

    Full Text Available Single electron transistors are nanoscale electron devices that require thin, high-quality tunnel barriers to operate and have potential applications in sensing, metrology and beyond-CMOS computing schemes. Given that atomic layer deposition is used to form CMOS gate stacks with low trap densities and excellent thickness control, it is well-suited as a technique to form a variety of tunnel barriers. This work is a review of our recent research on atomic layer deposition and post-fabrication treatments to fabricate metallic single electron transistors with a variety of metals and dielectrics.

  4. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  5. Synthesis of few layer single crystal graphene grains on platinum by chemical vapour deposition

    Directory of Open Access Journals (Sweden)

    S. Karamat

    2015-08-01

    Full Text Available The present competition of graphene electronics demands an efficient route which produces high quality and large area graphene. Chemical vapour deposition technique, where hydrocarbons dissociate in to active carbon species and form graphene layer on the desired metal catalyst via nucleation is considered as the most suitable method. In this study, single layer graphene with the presence of few layer single crystal graphene grains were grown on Pt foil via chemical vapour deposition. The higher growth temperature changes the surface morphology of the Pt foil so a delicate process of hydrogen bubbling was used to peel off graphene from Pt foil samples with the mechanical support of photoresist and further transferred to SiO2/Si substrates for analysis. Optical microscopy of the graphene transferred samples showed the regions of single layer along with different oriented graphene domains. Two type of interlayer stacking sequences, Bernal and twisted, were observed in the graphene grains. The presence of different stacking sequences in the graphene layers influence the electronic and optical properties; in Bernal stacking the band gap can be tunable and in twisted stacking the overall sheet resistance can be reduced. Grain boundaries of Pt provides low energy sites to the carbon species, therefore the nucleation of grains are more at the boundaries. The stacking order and the number of layers in grains were seen more clearly with scanning electron microscopy. Raman spectroscopy showed high quality graphene samples due to very small D peak. 2D Raman peak for single layer graphene showed full width half maximum (FWHM value of 30 cm−1. At points A, B and C, Bernal stacked grain showed FWHM values of 51.22, 58.45 and 64.72 cm−1, while twisted stacked grain showed the FWHM values of 27.26, 28.83 and 20.99 cm−1, respectively. FWHM values of 2D peak of Bernal stacked grain showed an increase of 20–30 cm−1 as compare to single layer graphene

  6. Sm-doped CeO2 single buffer layer for YBCO coated conductors by polymer assisted chemical solution deposition (PACSD) method

    International Nuclear Information System (INIS)

    Li, G.; Pu, M.H.; Sun, R.P.; Wang, W.T.; Wu, W.; Zhang, X.; Yang, Y.; Cheng, C.H.; Zhao, Y.

    2008-01-01

    An over 150 nm thick Sm 0.2 Ce 0.8 O 1.9-x (SCO) single buffer layer has been deposited on bi-axially textured NiW (2 0 0) alloy substrate. Highly in-plane and out-of-plane oriented, dense, smooth and crack free SCO single layer has been obtained via a polymer-assisted chemical solution deposition (PACSD) approach. YBCO thin film has been deposited equally via a PACSD route on the SCO-buffered NiW, the as grown YBCO yielding a sharp transition at T c0 = 87 K as well as J c (0 T, 77 K) ∼ 1 MA/cm 2 . These results indicates that RE (lanthanides other than Ce) doping may be an effective approach to improve the critical thickness of solution derived CeO 2 film, which renders it a promising candidate as single buffer layer for YBCO coated conductors

  7. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  8. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    Science.gov (United States)

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  9. Sm-doped CeO{sub 2} single buffer layer for YBCO coated conductors by polymer assisted chemical solution deposition (PACSD) method

    Energy Technology Data Exchange (ETDEWEB)

    Li, G.; Pu, M.H.; Sun, R.P.; Wang, W.T.; Wu, W.; Zhang, X.; Yang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Zhao, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia)], E-mail: yzhao@home.swjtu.edu.cn

    2008-10-20

    An over 150 nm thick Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} (SCO) single buffer layer has been deposited on bi-axially textured NiW (2 0 0) alloy substrate. Highly in-plane and out-of-plane oriented, dense, smooth and crack free SCO single layer has been obtained via a polymer-assisted chemical solution deposition (PACSD) approach. YBCO thin film has been deposited equally via a PACSD route on the SCO-buffered NiW, the as grown YBCO yielding a sharp transition at T{sub c0} = 87 K as well as J{sub c}(0 T, 77 K) {approx} 1 MA/cm{sup 2}. These results indicates that RE (lanthanides other than Ce) doping may be an effective approach to improve the critical thickness of solution derived CeO{sub 2} film, which renders it a promising candidate as single buffer layer for YBCO coated conductors.

  10. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  11. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, Mohamed N.; Wang, Q. X.; Alshareef, Husam N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling

  12. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  13. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  14. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  15. High quality single atomic layer deposition of hexagonal boron nitride on single crystalline Rh(111) four-inch wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hemmi, A.; Bernard, C.; Cun, H.; Roth, S.; Klöckner, M.; Kälin, T.; Osterwalder, J.; Greber, T., E-mail: greber@physik.uzh.ch [Physik-Institut, Universität Zürich, CH-8057 Zürich (Switzerland); Weinl, M.; Gsell, S.; Schreck, M. [Institut für Physik, Universität Augsburg, D-86135 Augsburg (Germany)

    2014-03-15

    The setup of an apparatus for chemical vapor deposition (CVD) of hexagonal boron nitride (h-BN) and its characterization on four-inch wafers in ultra high vacuum (UHV) environment is reported. It provides well-controlled preparation conditions, such as oxygen and argon plasma assisted cleaning and high temperature annealing. In situ characterization of a wafer is accomplished with target current spectroscopy. A piezo motor driven x-y stage allows measurements with a step size of 1 nm on the complete wafer. To benchmark the system performance, we investigated the growth of single layer h-BN on epitaxial Rh(111) thin films. A thorough analysis of the wafer was performed after cutting in atmosphere by low energy electron diffraction, scanning tunneling microscopy, and ultraviolet and X-ray photoelectron spectroscopies. The apparatus is located in a clean room environment and delivers high quality single layers of h-BN and thus grants access to large area UHV processed surfaces, which had been hitherto restricted to expensive, small area single crystal substrates. The facility is versatile enough for customization to other UHV-CVD processes, e.g., graphene on four-inch wafers.

  16. A Complete Reporting of MCNP6 Validation Results for Electron Energy Deposition in Single-Layer Extended Media for Source Energies <= 1-MeV

    Energy Technology Data Exchange (ETDEWEB)

    Dixon, David A. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Hughes, Henry Grady [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2016-05-04

    In this paper, we expand on previous validation work by Dixon and Hughes. That is, we present a more complete suite of validation results with respect to to the well-known Lockwood energy deposition experiment. Lockwood et al. measured energy deposition in materials including beryllium, carbon, aluminum, iron, copper, molybdenum, tantalum, and uranium, for both single- and multi-layer 1-D geometries. Source configurations included mono-energetic, mono-directional electron beams with energies of 0.05-MeV, 0.1-MeV, 0.3- MeV, 0.5-MeV, and 1-MeV, in both normal and off-normal angles of incidence. These experiments are particularly valuable for validating electron transport codes, because they are closely represented by simulating pencil beams incident on 1-D semi-infinite slabs with and without material interfaces. Herein, we include total energy deposition and energy deposition profiles for the single-layer experiments reported by Lockwood et al. (a more complete multi-layer validation will follow in another report).

  17. Scalable control program for multiprecursor flow-type atomic layer deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Selvaraj, Sathees Kannan [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Takoudis, Christos G., E-mail: takoudis@uic.edu [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 and Department of Bioengineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-01-01

    The authors report the development and implementation of a scalable control program to control flow type atomic layer deposition (ALD) reactor with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner. The programming logic is conceived such that to facilitate scale up for depositing more layers with multiple precursors and scale down for using single layer with any one precursor in the ALD reactor. The program takes precursor and oxidizer exposure and purging times as input and controls the sequential opening and closing of the valves to facilitate the complex ALD process in cyclic manner. The program could be used to deposit materials from any single line or in tandem with other lines in any combination and in any sequence.

  18. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  19. Textured strontium titanate layers on platinum by atomic layer deposition

    International Nuclear Information System (INIS)

    Blomberg, T.; Anttila, J.; Haukka, S.; Tuominen, M.; Lukosius, M.; Wenger, Ch.; Saukkonen, T.

    2012-01-01

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2–1 μm) and low X-ray reflectivity roughness (∼ 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu 3 Cp) 2 , Ti(OMe) 4 and O 3 precursors at 250 °C were used to deposit Sr rich STO on Pt/Ti/SiO 2 /Si ∅200 mm substrates. After crystallization post deposition annealing at 600 °C in air, most of the STO grains showed a preferential orientation of the {001} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {111} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O 3 ) shows a promising path towards the formation of single oriented STO film. - Highlights: ► Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. ► Single crystal domains in 60 nm STO film were 0.2–1 μm wide. ► Most STO grains were {001} oriented.

  20. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  1. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  2. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  3. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  4. Controlling single and few-layer graphene crystals growth in a solid carbon source based chemical vapor deposition

    International Nuclear Information System (INIS)

    Papon, Remi; Sharma, Subash; Shinde, Sachin M.; Vishwakarma, Riteshkumar; Tanemura, Masaki; Kalita, Golap

    2014-01-01

    Here, we reveal the growth process of single and few-layer graphene crystals in the solid carbon source based chemical vapor deposition (CVD) technique. Nucleation and growth of graphene crystals on a polycrystalline Cu foil are significantly affected by the injection of carbon atoms with pyrolysis rate of the carbon source. We observe micron length ribbons like growth front as well as saturated growth edges of graphene crystals depending on growth conditions. Controlling the pyrolysis rate of carbon source, monolayer and few-layer crystals and corresponding continuous films are obtained. In a controlled process, we observed growth of large monolayer graphene crystals, which interconnect and merge together to form a continuous film. On the other hand, adlayer growth is observed with an increased pyrolysis rate, resulting few-layer graphene crystal structure and merged continuous film. The understanding of monolayer and few-layer crystals growth in the developed CVD process can be significant to grow graphene with controlled layer numbers.

  5. Textured strontium titanate layers on platinum by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Blomberg, T., E-mail: tom.blomberg@asm.com [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Anttila, J.; Haukka, S.; Tuominen, M. [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Lukosius, M.; Wenger, Ch. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Saukkonen, T. [Aalto University, Puumiehenkuja 3, 02150 Espoo (Finland)

    2012-08-31

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2-1 {mu}m) and low X-ray reflectivity roughness ({approx} 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu{sub 3}Cp){sub 2}, Ti(OMe){sub 4} and O{sub 3} precursors at 250 Degree-Sign C were used to deposit Sr rich STO on Pt/Ti/SiO{sub 2}/Si Empty-Set 200 mm substrates. After crystallization post deposition annealing at 600 Degree-Sign C in air, most of the STO grains showed a preferential orientation of the {l_brace}001{r_brace} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {l_brace}111{r_brace} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O{sub 3}) shows a promising path towards the formation of single oriented STO film. - Highlights: Black-Right-Pointing-Pointer Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. Black-Right-Pointing-Pointer Single crystal domains in 60 nm STO film were 0.2-1 {mu}m wide. Black-Right-Pointing-Pointer Most STO grains were {l_brace}001{r_brace} oriented.

  6. Single-Step Electrophoretic Deposition of Non-noble Metal Catalyst Layer with Low Onset Voltage for Ethanol Electro-oxidation.

    Science.gov (United States)

    Ahmadi Daryakenari, Ahmad; Hosseini, Davood; Ho, Ya-Lun; Saito, Takumi; Apostoluk, Aleksandra; Müller, Christoph R; Delaunay, Jean-Jacques

    2016-06-29

    A single-step electrophoretic deposition (EPD) process is used to fabricate catalyst layers which consist of nickel oxide nanoparticles attached on the surface of nanographitic flakes. Magnesium ions present in the colloid charge positively the flake's surface as they attach on it and are also used to bind nanographitic flakes together. The fabricated catalyst layers showed a very low onset voltage (-0.2 V vs Ag/AgCl) in the electro-oxidation of ethanol. To clarify the occurring catalytic mechanism, we performed annealing treatment to produce samples having a different electrochemical behavior with a large onset voltage. Temperature dependence measurements of the layer conductivity pointed toward a charge transport mechanism based on hopping for the nonannealed layers, while the drift transport is observed in the annealed layers. The hopping charge transport is responsible for the appearance of the low onset voltage in ethanol electro-oxidation.

  7. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  8. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  9. Laser detritiation and co-deposited layer characterisation for future ITER Installation

    International Nuclear Information System (INIS)

    Semerok, Alexandre; Brygo, Francois; Fomichev, Sergey V.; Champonnois, Francois; Weulersse, Jean-Marc; Thro, Pierre-Yves; Fichet, Pascal; Grisolia, Christian

    2006-01-01

    The experimental equipment in combination with pulsed Nd-YAG lasers was developed and applied to investigate co-deposited layer characterisation and ablation. Heating and ablation regimes were distinguished by ablation threshold fluence that was determined experimentally for graphite samples from TexTor (Germany) and TORE SUPRA (France) tokamaks. With 100 ns pulses, the ablation threshold for graphite substrate (2.5±0.5 J/cm 2 ) was much higher than the one for co-deposited layer (0.4±0.1 J cm -2 ). These threshold features are very promising to ensure self-controlled laser cleaning without substrate surface damage. The obtained optimal conditions (laser fluence F=1-2 J/cm 2 , 10-20 kHz repetition rate) were applied for co-deposited layer cleaning. The TexTor 50 μm thickness layer was almost completely removed after a single scanning without any damage of the graphite substrate. Cleaning rate of 0.2 m 2 /hour was demonstrated experimentally for 20 W mean laser power. A theoretical model of a complex surface heating (graphite or metal with a co-deposited layer) was developed to explain the experimental results and to obtain laser cleaning optimisation. A good agreement of the theoretical data with the experimental results was obtained. The studies on LIBS method for co-deposited layer characterisation have determined the analytical spectral lines for hydrogen, carbon, and other impurities (B, Fe, Si, and Cu) in TexTor graphite tile. The obtained results should be regarded optimistic for co-deposited layers characterisation by LIBS method. The development of certain laser methods and their application for in-situ detritiation and co-deposited layer characterisation are presented and discussed. (authors)

  10. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  11. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  12. Moisture barrier properties of single-layer graphene deposited on Cu films for Cu metallization

    Science.gov (United States)

    Gomasang, Ploybussara; Abe, Takumi; Kawahara, Kenji; Wasai, Yoko; Nabatova-Gabain, Nataliya; Thanh Cuong, Nguyen; Ago, Hiroki; Okada, Susumu; Ueno, Kazuyoshi

    2018-04-01

    The moisture barrier properties of large-grain single-layer graphene (SLG) deposited on a Cu(111)/sapphire substrate are demonstrated by comparing with the bare Cu(111) surface under an accelerated degradation test (ADT) at 85 °C and 85% relative humidity (RH) for various durations. The change in surface color and the formation of Cu oxide are investigated by optical microscopy (OM) and X-ray photoelectron spectroscopy (XPS), respectively. First-principle simulation is performed to understand the mechanisms underlying the barrier properties of SLG against O diffusion. The correlation between Cu oxide thickness and SLG quality are also analyzed by spectroscopic ellipsometry (SE) measured on a non-uniform SLG film. SLG with large grains shows high performance in preventing the Cu oxidation due to moisture during ADT.

  13. Deposition of thin layer (monoatomic layer) of barium on gold single crystal surfaces and studies of its oxidation employing X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ahmad, H.; Ahmad, R.; Khalid, M.; Alvi, R.A.

    2007-01-01

    Due to the high reactivity of barium with oxygen, some oxygen diffuse into the bulk to form bulk oxide and it is very difficult to differentiate the oxide over layer and the bulk oxide. To study the oxidation of barium surface layer, a thin layer (monolayer) of barium is developed over gold single crystal surface. Gold is selected as support because it is one of the least reactive metal in transition metal group and have very low probability of reaction with oxygen at room temperature (300K). Nitrous oxide (N/sub 2/O) was used as oxidant. Thin layer of barium was deposited on Au(100) surface. The barium coverage on gold surface was calculated that varied from 0.4 to 1.4 monolayer (ML). Photoelectron spectra for O(ls), N(ls), Ba (3d), and Au (4f) have been recorded on X-ray photoelectron spectrometer at different binding energy region specific for each element. The decomposition of nitrous oxide has been observed in all cases. It has found that nitrogen is evolved in the gaseous state and oxygen is adsorbed/chemisorbed on barium over layer. (author)

  14. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  15. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  16. Optical and Electrical Characteristics of Graphene Double Layer Formed by a Double Transfer of Graphene Single Layers.

    Science.gov (United States)

    Kim, Young Jun; Bae, Gi Yoon; Chun, Sungwoo; Park, Wanjun

    2016-03-01

    We demonstrate formation of double layer graphene by means of a double transfer using two single graphene layers grown by a chemical vapor deposition method. It is observed that shiftiness and broadness in the double-resonance of Raman scattering are much weaker than those of bilayer graphene formed naturally. Transport characteristics examined from transmission line measurements and field effect transistors show the similar behavior with those of single layer graphene. It indicates that interlayer separation, in electrical view, is large enough to avoid correlation between layers for the double layer structure. It is also observed from a transistor with the double layer graphene that molecules adsorpted on two inner graphene surfaces in the double layered structure are isolated and conserved from ambient environment.

  17. Transparent thin-film transistor exploratory development via sequential layer deposition and thermal annealing

    International Nuclear Information System (INIS)

    Hong, David; Chiang, Hai Q.; Presley, Rick E.; Dehuff, Nicole L.; Bender, Jeffrey P.; Park, Cheol-Hee; Wager, John F.; Keszler, Douglas A.

    2006-01-01

    A novel deposition methodology is employed for exploratory development of a class of high-performance transparent thin-film transistor (TTFT) channel materials involving oxides composed of heavy-metal cations with (n - 1)d 10 ns 0 (n ≥ 4) electronic configurations. The method involves sequential radio-frequency sputter deposition of thin, single cation oxide layers and subsequent post-deposition annealing in order to obtain a multi-component oxide thin film. The viability of this rapid materials development methodology is demonstrated through the realization of high-performance TTFTs with channel layers composed of zinc oxide/tin oxide, and tin oxide/indium oxide

  18. Strong piezoelectricity in single-layer graphene deposited on SiO2 grating substrates.

    Science.gov (United States)

    da Cunha Rodrigues, Gonçalo; Zelenovskiy, Pavel; Romanyuk, Konstantin; Luchkin, Sergey; Kopelevich, Yakov; Kholkin, Andrei

    2015-06-25

    Electromechanical response of materials is a key property for various applications ranging from actuators to sophisticated nanoelectromechanical systems. Here electromechanical properties of the single-layer graphene transferred onto SiO2 calibration grating substrates is studied via piezoresponse force microscopy and confocal Raman spectroscopy. The correlation of mechanical strains in graphene layer with the substrate morphology is established via Raman mapping. Apparent vertical piezoresponse from the single-layer graphene supported by underlying SiO2 structure is observed by piezoresponse force microscopy. The calculated vertical piezocoefficient is about 1.4 nm V(-1), that is, much higher than that of the conventional piezoelectric materials such as lead zirconate titanate and comparable to that of relaxor single crystals. The observed piezoresponse and achieved strain in graphene are associated with the chemical interaction of graphene's carbon atoms with the oxygen from underlying SiO2. The results provide a basis for future applications of graphene layers for sensing, actuating and energy harvesting.

  19. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  20. Single and multi-layered core-shell structures based on ZnO nanorods obtained by aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sáenz-Trevizo, A.; Amézaga-Madrid, P.; Pizá-Ruiz, P.; Antúnez-Flores, W.; Ornelas-Gutiérrez, C.; Miki-Yoshida, M., E-mail: mario.miki@cimav.edu.mx

    2015-07-15

    Core–shell nanorod structures were prepared by a sequential synthesis using an aerosol assisted chemical vapor deposition technique. Several samples consisting of ZnO nanorods were initially grown over TiO{sub 2} film-coated borosilicate glass substrates, following the synthesis conditions reported elsewhere. Later on, a uniform layer consisting of individual Al, Ni, Ti or Fe oxides was grown onto ZnO nanorod samples forming the so-called single MO{sub x}/ZnO nanorod core–shell structures, where MO{sub x} was the metal oxide shell. Additionally, a three-layer core–shell sample was developed by growing Fe, Ti and Fe oxides alternately, onto the ZnO nanorods. The microstructure of the core–shell materials was characterized by grazing incidence X-ray diffraction, scanning and transmission electron microscopy. Energy dispersive X-ray spectroscopy was employed to corroborate the formation of different metal oxides. X-ray diffraction outcomes for single core–shell structures showed solely the presence of ZnO as wurtzite and TiO{sub 2} as anatase. For the multi-layered shell sample, the existence of Fe{sub 2}O{sub 3} as hematite was also detected. Morphological observations suggested the existence of an outer material grown onto the nanorods and further microstructural analysis by HR-STEM confirmed the development of core–shell structures in all cases. These studies also showed that the individual Al, Fe, Ni and Ti oxide layers are amorphous; an observation that matched with X-ray diffraction analysis where no apparent extra oxides were detected. For the multi-layered sample, the development of a shell consisting of three different oxide layers onto the nanorods was found. Overall results showed that no alteration in the primary ZnO core was produced during the growth of the shells, indicating that the deposition technique used herein was and it is suitable for the synthesis of homogeneous and complex nanomaterials high in quality and purity. In addition

  1. Atomic layer deposition of Al{sub 2}O{sub 3} for single electron transistors utilizing Pt oxidation and reduction

    Energy Technology Data Exchange (ETDEWEB)

    McConnell, Michael S., E-mail: mmcconn5@nd.edu; Schneider, Louisa C.; Karbasian, Golnaz; Rouvimov, Sergei; Orlov, Alexei O.; Snider, Gregory L. [Department of Electrical Engineering, University of Notre Dame, 275 Fitzpatrick Hall, Notre Dame, Indiana 46556 (United States)

    2016-01-15

    This work describes the fabrication of single electron transistors using electron beam lithography and atomic layer deposition to form nanoscale tunnel transparent junctions of alumina (Al{sub 2}O{sub 3}) on platinum nanowires using either water or ozone as the oxygen precursor and trimethylaluminum as the aluminum precursor. Using room temperature, low frequency conductance measurements between the source and drain, it was found that devices fabricated using water had higher conductance than devices fabricated with ozone. Subsequent annealing caused both water- and ozone-based devices to increase in conductance by more than 2 orders of magnitude. Furthermore, comparison of devices at low temperatures (∼4 K) showed that annealed devices displayed much closer to the ideal behavior (i.e., constant differential conductance) outside of the Coulomb blockade region and that untreated devices showed nonlinear behavior outside of the Coulomb blockade region (i.e., an increase in differential conductance with source-drain voltage bias). Transmission electron microscopy cross-sectional images showed that annealing did not significantly change device geometry, but energy dispersive x-ray spectroscopy showed an unusually large amount of oxygen in the bottom platinum layer. This suggests that the atomic layer deposition process results in the formation of a thin platinum surface oxide, which either decomposes or is reduced during the anneal step, resulting in a tunnel barrier without the in-series native oxide contribution. Furthermore, the difference between ozone- and water-based devices suggests that ozone promotes atomic layer deposition nucleation by oxidizing the surface but that water relies on physisorption of the precursors. To test this theory, devices were exposed to forming gas at room temperature, which also reduces platinum oxide, and a decrease in resistance was observed, as expected.

  2. An Experimental Study on Slurry Erosion Resistance of Single and Multilayered Deposits of Ni-WC Produced by Laser-Based Powder Deposition Process

    Science.gov (United States)

    Balu, Prabu; Hamid, Syed; Kovacevic, Radovan

    2013-11-01

    Single and multilayered deposits containing different mass fractions of tungsten carbide (WC) in nickel (Ni)-matrix (NT-20, NT-60, NT-80) are deposited on a AISI 4140 steel substrate using a laser-based powder deposition process. The transverse cross section of the coupons reveals that the higher the mass fraction of WC in Ni-matrix leads to a more uniform distribution through Ni-matrix. The slurry erosion resistance of the fabricated coupons is tested at three different impingement angles using an abrasive water jet cutting machine, which is quantified based on the erosion rate. The top layer of a multilayered deposit (i.e., NT-60 in a two-layer NT-60 over NT-20 deposit) exhibits better erosion resistance at all three tested impingement angles when compared to a single-layer (NT-60) deposit. A definite increase in the erosion resistance is noted with an addition of nano-size WC particles. The relationship between the different mass fractions of reinforcement (WC) in the deposited composite material (Ni-WC) and their corresponding matrix (Ni) hardness on the erosion rate is studied. The eroded surface is analyzed in the light of a three-dimensional (3-D) profilometer and a scanning electron microscope (SEM). The results show that a volume fraction of approximately 62% of WC with a Ni-matrix hardness of 540 HV resulting in the gouging out of WC from the Ni-matrix by the action of slurry. It is concluded that the slurry erosion resistance of the AISI 4140 steel can be significantly enhanced by introducing single and multilayered deposits of Ni-WC composite material fabricated by the laser-based powder deposition process.

  3. Bandgap tunability at single-layer molybdenum disulphide grain boundaries

    KAUST Repository

    Huang, Yu Li

    2015-02-17

    Two-dimensional transition metal dichalcogenides have emerged as a new class of semiconductor materials with novel electronic and optical properties of interest to future nanoelectronics technology. Single-layer molybdenum disulphide, which represents a prototype two-dimensional transition metal dichalcogenide, has an electronic bandgap that increases with decreasing layer thickness. Using high-resolution scanning tunnelling microscopy and spectroscopy, we measure the apparent quasiparticle energy gap to be 2.40±0.05 eV for single-layer, 2.10±0.05 eV for bilayer and 1.75±0.05 eV for trilayer molybdenum disulphide, which were directly grown on a graphite substrate by chemical vapour deposition method. More interestingly, we report an unexpected bandgap tunability (as large as 0.85±0.05 eV) with distance from the grain boundary in single-layer molybdenum disulphide, which also depends on the grain misorientation angle. This work opens up new possibilities for flexible electronic and optoelectronic devices with tunable bandgaps that utilize both the control of two-dimensional layer thickness and the grain boundary engineering.

  4. Molecular Doping of the Hole-Transporting Layer for Efficient, Single-Step Deposited Colloidal Quantum Dot Photovoltaics

    KAUST Repository

    Kirmani, Ahmad R.

    2017-07-31

    Employment of thin perovskite shells and metal halides as surface-passivants for colloidal quantum dots (CQDs) have been important, recent developments in CQD optoelectronics. These have opened the route to single-step deposited high-performing CQD solar cells. These promising architectures employ a QD hole-transporting layer (HTL) whose intrinsically shallow Fermi level (EF) restricts band-bending at maximum power-point during solar cell operation limiting charge collection. Here, we demonstrate a generalized approach to effectively balance band-edge energy levels of the main CQD absorber and charge-transport layer for these high-performance solar cells. Briefly soaking the QD HTL in a solution of the metal-organic p-dopant, molybdenum tris(1-(trifluoroacetyl)-2-(trifluoromethyl)ethane-1,2-dithiolene), effectively deepens its Fermi level, resulting in enhanced band bending at the HTL:absorber junction. This blocks the back-flow of photo-generated electrons, leading to enhanced photocurrent and fill factor compared to undoped devices. We demonstrate 9.0% perovskite-shelled and 9.5% metal-halide-passivated CQD solar cells, both achieving ca. 10% relative enhancements over undoped baselines.

  5. Polyelectrolyte layer-by-layer deposition in cylindrical nanopores.

    Science.gov (United States)

    Lazzara, Thomas D; Lau, K H Aaron; Abou-Kandil, Ahmed I; Caminade, Anne-Marie; Majoral, Jean-Pierre; Knoll, Wolfgang

    2010-07-27

    Layer-by-layer (LbL) deposition of polyelectrolytes within nanopores in terms of the pore size and the ionic strength was experimentally studied. Anodic aluminum oxide (AAO) membranes, which have aligned, cylindrical, nonintersecting pores, were used as a model nanoporous system. Furthermore, the AAO membranes were also employed as planar optical waveguides to enable in situ monitoring of the LbL process within the nanopores by optical waveguide spectroscopy (OWS). Structurally well-defined N,N-disubstituted hydrazine phosphorus-containing dendrimers of the fourth generation, with peripherally charged groups and diameters of approximately 7 nm, were used as the model polyelectrolytes. The pore diameter of the AAO was varied between 30-116 nm and the ionic strength was varied over 3 orders of magnitude. The dependence of the deposited layer thickness on ionic strength within the nanopores is found to be significantly stronger than LbL deposition on a planar surface. Furthermore, deposition within the nanopores can become inhibited even if the pore diameter is much larger than the diameter of the G4-polyelectrolyte, or if the screening length is insignificant relative to the dendrimer diameter at high ionic strengths. Our results will aid in the template preparation of polyelectrolyte multilayer nanotubes, and our experimental approach may be useful for investigating theories regarding the partitioning of nano-objects within nanopores where electrostatic interactions are dominant. Furthermore, we show that the enhanced ionic strength dependence of polyelectrolyte transport within the nanopores can be used to selectively deposit a LbL multilayer atop a nanoporous substrate.

  6. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  7. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  8. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  9. Stability of nanocrystalline electrochemically deposited layers

    DEFF Research Database (Denmark)

    Pantleon, Karen; Somers, Marcel A. J.

    2009-01-01

    have different microstructure and properties compared to bulk materials and the thermodynamic non-equilibrium state of as-deposited layers frequently results in changes of the microstructure as a function of time and/or temperature. The evolving microstructure affects the functionality and reliability......The technological demand for manufacturing components with complex geometries of micrometer or sub-micrometer dimensions and ambitions for ongoing miniaturization have attracted particular attention to electrochemical deposition methods. Thin layers of electrochemically deposited metals and alloys...... of electrodeposited components, which can be beneficial, as for the electrical conductivity of copper interconnect lines, or detrimental, as for reduced strength of nickel in MEMS applications. The present work reports on in-situ studies of the microstructure stability of as-deposited nanocrystalline Cu-, Ag- and Ni...

  10. Layer-by-layer deposition of nanostructured CsPbBr3 perovskite thin films

    Science.gov (United States)

    Reshetnikova, A. A.; Matyushkin, L. B.; Andronov, A. A.; Sokolov, V. S.; Aleksandrova, O. A.; Moshnikov, V. A.

    2017-11-01

    Layer-by-layer deposition of nanostructured perovskites cesium lead halide thin films is described. The method of deposition is based on alternate immersion of the substrate in the precursor solutions or colloidal solution of nanocrystals and methyl acetate/lead nitrate solution using the device for deposition of films by SILAR and dip-coating techniques. An example of obtaining a photosensitive structure based on nanostructures of ZnO nanowires and layers of CsBbBr3 nanocrystals is also shown.

  11. Mechanical characteristics of a tool steel layer deposited by using direct energy deposition

    Science.gov (United States)

    Baek, Gyeong Yun; Shin, Gwang Yong; Lee, Eun Mi; Shim, Do Sik; Lee, Ki Yong; Yoon, Hi-Seak; Kim, Myoung Ho

    2017-07-01

    This study focuses on the mechanical characteristics of layered tool steel deposited using direct energy deposition (DED) technology. In the DED technique, a laser beam bonds injected metal powder and a thin layer of substrate via melting. In this study, AISI D2 substrate was hardfaced with AISI H13 and M2 metal powders for mechanical testing. The mechanical and metallurgical characteristics of each specimen were investigated via microstructure observation and hardness, wear, and impact tests. The obtained characteristics were compared with those of heat-treated tool steel. The microstructures of the H13- and M2-deposited specimens show fine cellular-dendrite solidification structures due to melting and subsequent rapid cooling. Moreover, the cellular grains of the deposited M2 layer were smaller than those of the H13 structure. The hardness and wear resistance were most improved in the M2-deposited specimen, yet the H13-deposited specimen had higher fracture toughness than the M2-deposited specimen and heat-treated D2.

  12. Graphene crystal growth by thermal precipitation of focused ion beam induced deposition of carbon precursor via patterned-iron thin layers

    Directory of Open Access Journals (Sweden)

    Rius Gemma

    2014-01-01

    Full Text Available Recently, relevant advances on graphene as a building block of integrated circuits (ICs have been demonstrated. Graphene growth and device fabrication related processing has been steadily and intensively powered due to commercial interest; however, there are many challenges associated with the incorporation of graphene into commercial applications which includes challenges associated with the synthesis of this material. Specifically, the controlled deposition of single layer large single crystal graphene on arbitrary supports, is particularly challenging. Previously, we have reported the first demonstration of the transformation of focused ion beam induced deposition of carbon (FIBID-C into patterned graphitic layers by metal-assisted thermal treatment (Ni foils. In this present work, we continue exploiting the FIBID-C approach as a route for graphene deposition. Here, thin patterned Fe layers are used for the catalysis of graphenization and graphitization. We demonstrate the formation of high quality single and few layer graphene, which evidences, the possibility of using Fe as a catalyst for graphene deposition. The mechanism is understood as the minute precipitation of atomic carbon after supersaturation of some iron carbides formed under a high temperature treatment. As a consequence of the complete wetting of FIBID-C and patterned Fe layers, which enable graphene growth, the as-deposited patterns do not preserve their original shape after the thermal treatment

  13. Deposition and Characterization of TRISO Coating Layers

    International Nuclear Information System (INIS)

    Kim, Do Kyung; Kim, Min Woo; Lee, Hyeon Keun; Choi, Doo Jin; Kim, Jun Kyu; Cho, Sung Hyuk

    2008-03-01

    Both ZrC and SiC layers are crucial layers in TRISO coated fuel particles since they prevent diffusion of fission products and provide mechanical strength for the fuel particle. However, each layer has its own defects, so the purpose of this study is to complement such defects of these layers. In this study, we carried out thermodynamic simulations before actual experiments. With these simulation results, we deposited the ZrC layers on SiC/graphite substrates through CVD process. SiC films on graphite have different microstructures which are a hemispherical angular, domed top and faceted structure at different deposition temperature, respectively. According to the microstructures of SiC, preferred orientation, hardness and elastic modules of deposited ZrC layer were changed. TRISO particles. The fracture the SiC coating layer occurred by the tensile stress due to the traditional pressure vessel failure criteria. It is important to find fracture stress of SiC coating layer by the internal pressurization test method. The finite-element analysis was carried out to obtain the empirical equation of strength evaluation. By using this empirical equation, the mechanical properties of several types of SiC coating film with different microstructure and thicknesses will discussed

  14. Deposition and Characterization of TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do Kyung; Kim, Min Woo; Lee, Hyeon Keun [KAIST, Daejeon (Korea, Republic of); Choi, Doo Jin; Kim, Jun Kyu; Cho, Sung Hyuk [Younsei University, Seoul (Korea, Republic of)

    2008-03-15

    Both ZrC and SiC layers are crucial layers in TRISO coated fuel particles since they prevent diffusion of fission products and provide mechanical strength for the fuel particle. However, each layer has its own defects, so the purpose of this study is to complement such defects of these layers. In this study, we carried out thermodynamic simulations before actual experiments. With these simulation results, we deposited the ZrC layers on SiC/graphite substrates through CVD process. SiC films on graphite have different microstructures which are a hemispherical angular, domed top and faceted structure at different deposition temperature, respectively. According to the microstructures of SiC, preferred orientation, hardness and elastic modules of deposited ZrC layer were changed. TRISO particles. The fracture the SiC coating layer occurred by the tensile stress due to the traditional pressure vessel failure criteria. It is important to find fracture stress of SiC coating layer by the internal pressurization test method. The finite-element analysis was carried out to obtain the empirical equation of strength evaluation. By using this empirical equation, the mechanical properties of several types of SiC coating film with different microstructure and thicknesses will discussed.

  15. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Peng [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Hudak, Michael R.; Lerner, Allan [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Grubbs, Robert K. [Sandia National Laboratories, P.O. Box 5800, Albuquerque, NM 87185 (United States); Wang, Shanmin [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Zhang, Zhan; Karapetrova, Evguenia [Advance Photon Source, Argonne National Laboratory, 9700S Cass Ave, Argonne, IL 60439 (United States); Hickmott, Donald [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Majewski, Jaroslaw, E-mail: jarek@lanl.gov [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States)

    2014-08-28

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO{sub 3}) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al{sub 2}O{sub 3} buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al{sub 2}O{sub 3} buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial

  16. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    International Nuclear Information System (INIS)

    Wang, Peng; Hudak, Michael R.; Lerner, Allan; Grubbs, Robert K.; Wang, Shanmin; Zhang, Zhan; Karapetrova, Evguenia; Hickmott, Donald; Majewski, Jaroslaw

    2014-01-01

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO 3 ) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al 2 O 3 buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al 2 O 3 buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial interactions

  17. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  18. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  19. Topography and stratigraphy of Martian polar layered deposits

    Science.gov (United States)

    Blasius, K. R.; Cutts, J. A.; Howard, A. D.

    1982-01-01

    The first samples of high resolution Viking Orbiter topographic and stratigraphic data for the layered polar deposits of Mars are presented, showing that these deposits are with respect to both slopes and angular relief similar to those in the south. It is also demonstrated that, in conjunction with stereophotogrammetry, photoclinometry holds promise as a tool for detailed layered deposit studies. The spring season photography, which lends itself to photoclinometric analysis, covers the entire area of the north polar deposits. Detailed tests of layered terrain evolution hypotheses will be made, upon refinement of the data by comparison with stereo data. A more promising refining technique will make use of averaging perpendicular to selected sections to enhance SNR. Local reliefs of 200-800 m, and slopes of 1-8 deg, lead to initial calculations of average layer thickness which yields results of 14-46 m, linearly correlated with slope.

  20. Plasma-assisted atomic layer deposition of TiN/Al2O3 stacks for metal-oxide-semiconductor capacitor applications

    NARCIS (Netherlands)

    Hoogeland, D.; Jinesh, K.B.; Roozeboom, F.; Besling, W.F.A.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2009-01-01

    By employing plasma-assisted atomic layer deposition, thin films of Al2O3 and TiN are subsequently deposited in a single reactor at a single substrate temperature with the objective of fabricating high-quality TiN/Al2O3 / p-Si metal-oxide-semiconductor capacitors. Transmission electron microscopy

  1. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    Energy Technology Data Exchange (ETDEWEB)

    Guzman, L., E-mail: luisg47@gmail.com [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy); Vettoruzzo, F. [Ronda High Tech, via Vegri 83, 36010 Zane’, Vicenza (Italy); Laidani, N. [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy)

    2016-02-29

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al{sub 2}O{sub 3}, TiO{sub 2}) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al{sub 2}O{sub 3}, TiO{sub 2}, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and

  2. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    International Nuclear Information System (INIS)

    Guzman, L.; Vettoruzzo, F.; Laidani, N.

    2016-01-01

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al_2O_3, TiO_2) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al_2O_3, TiO_2, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and optically tested. • An

  3. Local Electronic Structure of a Single-Layer Porphyrin-Containing Covalent Organic Framework

    KAUST Repository

    Chen, Chen; Joshi, Trinity; Li, Huifang; Chavez, Anton D.; Pedramrazi, Zahra; Liu, Pei-Nian; Li, Hong; Dichtel, William R.; Bredas, Jean-Luc; Crommie, Michael F.

    2017-01-01

    We have characterized the local electronic structure of a porphyrin-containing single-layer covalent organic framework (COF) exhibiting a square lattice. The COF monolayer was obtained by the deposition of 2,5-dimethoxybenzene-1,4-dicarboxaldehyde

  4. Low-Temperature Deposition of Layered SnSe2 for Heterojunction Diodes

    KAUST Repository

    Serna, Martha I.

    2018-04-27

    Tin diselenide (SnSe) has been recently investigated as an alternative layered metal dichalcogenide due to its unique electrical and optoelectronics properties. Although there are several reports on the deposition of layered crystalline SnSe films by chemical and physical methods, synthesis methods like pulsed laser deposition (PLD) are not reported. An attractive feature of PLD is that it can be used to grow 2D films over large areas. In this report, a deposition process to grow stoichiometric SnSe on different substrates such as single crystals (Sapphire) and amorphous oxides (SiO and HfO) is reported. A detailed process flow for the growth of 2D SnSe at temperatures of 300 °C is presented, which is substantially lower than temperatures used in chemical vapor deposition and molecular beam epitaxy. The 2D SnSe films exhibit a mobility of ≈4.0 cm V s, and are successfully used to demonstrate SnSe/p-Si heterojunction diodes. The diodes show I /I ratios of 10-10 with a turn on voltage of <0.5 V, and ideality factors of 1.2-1.4, depending on the SnSe film growth conditions.

  5. Protecting nickel with graphene spin-filtering membranes: A single layer is enough

    Energy Technology Data Exchange (ETDEWEB)

    Martin, M.-B.; Dlubak, B.; Piquemal-Banci, M.; Collin, S.; Petroff, F.; Anane, A.; Fert, A.; Seneor, P. [Unité Mixte de Physique CNRS/Thales, 1 Avenue Augustin Fresnel, 91767 Palaiseau, France and Université Paris Sud, 91405 Orsay (France); Weatherup, R. S.; Hofmann, S.; Robertson, J. [Department of Engineering, University of Cambridge, Cambridge CB21PZ (United Kingdom); Yang, H. [IBS Center for Integrated Nanostructure Physics (CINAP), Institute for Basic Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Blume, R. [Helmholtz-Zentrum Berlin fur Materialien und Energie, 12489 Berlin (Germany); Schloegl, R. [Department of Inorganic Chemistry, Fritz Haber Institute of the Max Planck Society, Faradayweg 4-6, 14195 Berlin (Germany)

    2015-07-06

    We report on the demonstration of ferromagnetic spin injectors for spintronics which are protected against oxidation through passivation by a single layer of graphene. The graphene monolayer is directly grown by catalytic chemical vapor deposition on pre-patterned nickel electrodes. X-ray photoelectron spectroscopy reveals that even with its monoatomic thickness, monolayer graphene still efficiently protects spin sources against oxidation in ambient air. The resulting single layer passivated electrodes are integrated into spin valves and demonstrated to act as spin polarizers. Strikingly, the atom-thick graphene layer is shown to be sufficient to induce a characteristic spin filtering effect evidenced through the sign reversal of the measured magnetoresistance.

  6. Fabrication of a single layer graphene by copper intercalation on a SiC(0001) surface

    International Nuclear Information System (INIS)

    Yagyu, Kazuma; Tochihara, Hiroshi; Tomokage, Hajime; Suzuki, Takayuki; Tajiri, Takayuki; Kohno, Atsushi; Takahashi, Kazutoshi

    2014-01-01

    Cu atoms deposited on a zero layer graphene grown on a SiC(0001) substrate, intercalate between the zero layer graphene and the SiC substrate after the thermal annealing above 600 °C, forming a Cu-intercalated single layer graphene. On the Cu-intercalated single layer graphene, a graphene lattice with superstructure due to moiré pattern is observed by scanning tunneling microscopy, and specific linear dispersion at the K ¯ point as well as a characteristic peak in a C 1s core level spectrum, which is originated from a free-standing graphene, is confirmed by photoemission spectroscopy. The Cu-intercalated single layer graphene is found to be n-doped

  7. Selective exfoliation of single-layer graphene from non-uniform graphene grown on Cu

    International Nuclear Information System (INIS)

    Lim, Jae-Young; Lee, Jae-Hyun; Jang, Hyeon-Sik; Whang, Dongmok; Joo, Won-Jae; Hwang, SungWoo

    2015-01-01

    Graphene growth on a copper surface via metal-catalyzed chemical vapor deposition has several advantages in terms of providing high-quality graphene with the potential for scale-up, but the product is usually inhomogeneous due to the inability to control the graphene layer growth. The non-uniform regions strongly affect the reliability of the graphene in practical electronic applications. Herein, we report a novel graphene transfer method that allows for the selective exfoliation of single-layer graphene from non-uniform graphene grown on a Cu foil. Differences in the interlayer bonding energy are exploited to mechanically separate only the top single-layer graphene and transfer this to an arbitrary substrate. The dry-transferred single-layer graphene showed electrical characteristics that were more uniform than those of graphene transferred using conventional wet-etching transfer steps. (paper)

  8. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  9. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  10. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  11. Improvement of carrier transport and luminous efficiency of organic light emitting diodes by introducing a co-deposited active layer

    Energy Technology Data Exchange (ETDEWEB)

    Ohtani, Naoki; Murata, Masaya; Kashiwabara, Keiichiro; Kurata, Kazunori, E-mail: ohtani@mail.doshisha.ac.j [Department of Electronics, Doshisha University, 3-1 Tatara-Miyakodani, Kyotanabe-shi, Kyoto 610-0321 (Japan)

    2009-11-15

    We evaluated carrier transport and luminous efficiency of organic light-emitting diodes (OLEDs) whose active regions consist of a single co-deposited layer. One organic material is a hole transport material N,N'-Bis(3-methylphenyl)-N,N'-diphenylbenzidine (TPD), while the other is an electron transport/emissive material Tris(8-hydroxyquinolinato)-aluminum (Alq3). It was found that the luminous efficiency strongly depends on the thickness and the ratio of the TPD:Alq3 co-deposited layer. This indicates that the carrier balance in the active region can be improved by changing the co-deposited layers. In addition, we performed the dye-doping method to clarify the recombination region. As a result, we found that the radiative recombination is caused in the whole TPD:Alq3 co-deposited layer.

  12. Hydraulic Stability of Single-Layer Dolos and Accropode Armour Layers

    DEFF Research Database (Denmark)

    Christensen, M.; Burcharth, H. F.

    1995-01-01

    A new design for Dolos breakwater armour layers is presented: Dolos armour units are placed in a selected geometric pattern in a single layer. A series of model tests have been performed in order to determine the stability of such single-layer Dolos armour layers. The test results are presented...... and compared to the stability formula for the traditional double-layer, randomly placed Dolos armour layer design presented by Burcharth (1992). The results of a series of stability tests performed with Accropode® armour layers is presented and compared to the test results obtained with single-layer Dolos...... armour layers. Run-up and reflection are presented for both single-layer Dolos armour and Accropode armour....

  13. Deuterium trapping in tungsten deposition layers formed by deuterium plasma sputtering

    International Nuclear Information System (INIS)

    Alimov, V.Kh.; Roth, J.; Shu, W.M.; Komarov, D.A.; Isobe, K.; Yamanishi, T.

    2010-01-01

    A study of the influence of the deposition conditions on the surface morphology and deuterium (D) concentration in tungsten (W) deposition layers formed by magnetron sputtering and in the linear plasma generator has been carried out. Thick W layers (≥0.4 μm) deposited onto copper substrates demonstrate areas of pilling and, after post-deposition heating to 1300 K, flaking-off and fracturing. For thin W layers (≤80 nm) deposited onto stainless steel (SS) and W substrates, no areas of flaking-off and fracturing exist both after deposition and after post-deposition heating to 673 K for the SS substrate and to 1300 K for the W substrate. The concentration of deuterium in the W layers was found to decrease with increasing substrate temperature and with increasing tungsten deposition rate. For layers with relatively high concentration of oxygen (0.20-0.60 O/W), a decrease of the D concentration with increasing substrate temperature is more pronounced than that for layers deposited in good vacuum conditions. To describe the evolution of the D/W ratio with the substrate temperature and the tungsten deposition rate, an empirical equation proposed by De Temmerman and Doerner [J. Nucl. Mater. 389 (2009) 479] but with alternative parameters has been used.

  14. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  15. Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition

    NARCIS (Netherlands)

    Mackus, A.J.M.; Mulders, J.J.L.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    An approach for direct-write fabrication of high-purity platinum nanostructures has been developed by combining nanoscale lateral patterning by electron beam induced deposition (EBID) with area-selective deposition of high quality material by atomic layer deposition (ALD). Because virtually pure,

  16. Hydrogen intercalation of single and multiple layer graphene synthesized on Si-terminated SiC(0001) surface

    International Nuclear Information System (INIS)

    Sołtys, Jakub; Piechota, Jacek; Ptasinska, Maria; Krukowski, Stanisław

    2014-01-01

    Ab initio density functional theory simulations were used to investigate the influence of hydrogen intercalation on the electronic properties of single and multiple graphene layers deposited on the SiC(0001) surface (Si-face). It is shown that single carbon layer, known as a buffer layer, covalently bound to the SiC substrate, is liberated after hydrogen intercalation, showing characteristic Dirac cones in the band structure. This is in agreement with the results of angle resolved photoelectron spectroscopy measurements of hydrogen intercalation of SiC-graphene samples. In contrast to that hydrogen intercalation has limited impact on the multiple sheet graphene, deposited on Si-terminated SiC surface. The covalently bound buffer layer is liberated attaining its graphene like structure and dispersion relation typical for multilayer graphene. Nevertheless, before and after intercalation, the four layer graphene preserved the following dispersion relations in the vicinity of K point: linear for (AAAA) stacking, direct parabolic for Bernal (ABAB) stacking and “wizard hat” parabolic for rhombohedral (ABCA) stacking

  17. Deposits of the Peruvian Pisco Formation compared to layered deposits on Mars

    Science.gov (United States)

    Sowe, M.; Bishop, J. L.; Gross, C.; Walter, S.

    2013-09-01

    Deposits of the Peruvian Pisco Formation are morphologically similar to the mounds of Juventae Chasma at the equatorial region on Mars (Fig. 1). By analyzing these deposits, we hope to gain information about the environmental conditions that prevailed during sediment deposition and erosion, hence conditions that might be applicable to the Martian layered and hydrated deposits. Mariner 9 data of the Martian mid-latitudes have already shown evidence of the wind-sculptured landforms that display the powerful prevailing eolian regime [1]. In addition, [2] reported on similarities between Martian erosional landforms and those of the rainless coastal desert of central Peru from the Paracas peninsula to the Rio Ica. As indicated by similar erosional patterns, hyper-arid conditions and unidirectional winds must have dominated at least after deposition of the sediments, which are intermixed volcaniclastic materials and evaporate minerals at both locations. Likewise, variations in composition are displayed by alternating layers of different competence. The Pisco formation bears yardangs on siltstones, sandstones and clays with volcaniclastic admixtures [3] whereas the presence of sulphate minerals and the omnipresent mafic mineralogy has been reported for the layered mounds of Juventae Chasma equally [4]. Likewise, a volcanic airfall deposition and lacustrine formation have been proposed for the sulphate-rich deposits of Juventae Chasma [5,6]. In order to find out about potential spectral similarities, we performed a detailed spectral analysis of the surface by using LANDSAT and Advanced Spaceborne Thermal Emission and Reflection Radiometer (ASTER) VNIR/ SWIR data (visible to near-infrared and shortwave infrared region).

  18. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  19. Stratigraphy and erosional landforms of layered deposits in Valles Marineris, Mars

    Science.gov (United States)

    Komatsu, G.; Geissler, P. E.; Strom, R. G.; Singer, R. B.

    1993-01-01

    Satellite imagery is used to identify stratigraphy and erosional landforms of 13 layered deposits in the Valles Marineris region of Mars (occurring, specifically, in Gangis, Juventae, Hebes, Ophir-Candor, Melas, and Capri-Eos Chasmata), based on albedo and erosional styles. Results of stratigraphic correlations show that the stratigraphy of layered deposits in the Hebes, Juventae, and Gangis Chasmata are not well correlated, indicating that at least these chasmata had isolated depositional environments resulting in different stratigraphic sequences. On the other hand, the layered deposits in Ophir-Candor and Melas Chasmata appear to have been connected in each chasma. Some of the layered deposits display complexities which indicate changes in space and time in the dominant source materials.

  20. Chitosan Derivatives/Calcium Carbonate Composite Capsules Prepared by the Layer-by-Layer Deposition Method

    Directory of Open Access Journals (Sweden)

    Takashi Sasaki

    2008-01-01

    Full Text Available Core/shell capsules composed of calcium carbonate whisker core (rod-like shape and chitosan/chitosansulfate shell were prepared by the layer-by-layer deposition technique. Two chitosan samples of different molecular weights (Mw=9.7×104 and 1.09×106g·mol-1 were used as original materials. Hollow capsules were also obtained by dissolution of the core in hydrochloric acid. Electron microscopy revealed that the surface of the shell is rather ragged associated with some agglomerates. The shell thickness l obeys a linear relation with respect to the number of deposited layers m as l=md+a(a>0. The values of d (thickness per layer were 4.0 and 1.0 nm for the higher and lower Mw chitosan materials, respectively, both of which are greater than the thickness of the monolayer. The results suggest that the feature of the deposition does not obey an ideal homogeneous monolayer-by-monolayer deposition mechanism. Shell crosslinked capsules were also prepared via photodimerization reaction of cinnamoyl groups after a deposition of cinnamoyl chitosan to the calcium carbonate whisker core. The degree of crosslink was not enough to stabilize the shell structure, and hollow capsule was not obtained.

  1. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    Science.gov (United States)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  2. Gastroesophageal anastomosis: single-layer versus double-layer technique

    International Nuclear Information System (INIS)

    Aslam, V.A.; Bilal, A.; Khan, A.; Ahmed, M.

    2008-01-01

    Considerable controversy exists regarding the optimum technique for gastroesophageal anastomosis. Double layer technique has long been considered important for safe healing but there is evidence that single layer technique is also safe and can be performed in much shorter time. The purpose of this study was to compare the outcome of single layer and double layer techniques for gastroesophageal anastomosis. A prospective randomized study was conducted in cardiothoracic unit, Lady Reading Hospital from Jan 2006 to Jan 2008. Fifty patients with oesophageal carcinoma undergoing subtotal oesophagectomy were randomized to have the anastomosis by single layer continuous or double layer continuous technique (group A (n=24) and B (n=26) respectively). The demographic data, operative and anastomosis time, postoperative complications and hospital mortality were recorded on a proforma and analyzed on SPSS 10. There was no significant difference between group A and B in terms of age, gender, postoperative complications and duration of hospital stay. Anastomotic leak occurred in 4.2% patients in group A and 7.7% in group B (p=NS). Mean anastomosis time was 10.04 minutes in group A and 19.2 minutes in group B (p=0.0001). Mean operative time was 163.83 minutes and 170.96 minutes in group A and B respectively. Overall hospital mortality was 2%; no deaths occurred due to anastomotic leak. Single layer continuous technique is equally safe and can be performed in shorter time and at a lower cost than the double layer technique. (author)

  3. From Single Atoms to Nanoparticles: Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder.

    Science.gov (United States)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A I; Kovalgin, Alexey Y; Kooyman, Patricia; Kreutzer, Michiel T; van Ommen, Jan Rudolf

    2018-05-10

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO 2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O 2 as the coreactant is presented. The growth follows a pathway from single atoms to NPs as a function of the oxygen exposure (P O2 × time). The growth kinetics is modeled by accounting for the autocatalytic combustion of the precursor ligands via a variant of the Finke-Watzky two-step model. Even at relatively high oxygen exposures ( 120 mbar s. The deposition of more Pt leads to the formation of NPs that can be as large as 6 nm. Crucially, high P O2 (≥5 mbar) hinders metal aggregation, thus leading to narrow particle size distributions. The results show that ALD of Pt NPs is reproducible across small and large surface areas if the precursor ligands are removed at high P O2 . © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Polar layered deposits on Mars: Inner structure and relation to the climate record

    Science.gov (United States)

    Kreslavsky, M.; Head, J.

    generally domical shape of the PLD. When such steps are covered with later layers, unconformities with steeper dip, but still east-west strike are formed. The deposition/ablation balance depends on surface slopes, because tilted surfaces are exposed to a smaller portion of cold sky, and equator-facing slopes receive greater insolation. Thus, for tilted surfaces, the balance is shifted toward ablation. This is consistent with the fact that we see lower albedo in the present-day troughs, especially on their equator-facing walls. This slope effect causes formation of troughs at the 1 places where the steps were formed due to the albedo feedback. The troughs eat away the steep-dip unconformities associated with the steps. Simple climate-controlled balance of sublimation and ablation with albedo feedback and slope effect explains many characteristic properties of the PLD, namely: (1) the general dome-shaped PLD topography, (2) thin outliers, (3) the presence of troughs and the general "shadow-like" character of their topography, (4) the shallow dip of the layers through the PLD (5) the identical section of layers within a single trough wall over long distances, (6) the absence of apparent unconformities on the trough walls over long distances, (7) non-identical sections of layers in neighboring troughs, (8) the presence of identical segments of the sections of layers in neighboring troughs, (9) the character and location of rarely observed unconformities, (10) the albedo pattern of the PLD. Our very simple considerations do not explain some other characteristic features of the PLD, namely, the spiral trough pattern and the particular values of slope of trough walls; some additional processes and/or effects must be considered to account for them. If our mechanism is correct, then the flow of the PLD material is minor, trough migration does not exceed a few km, and the layers climate record is readable in principle. Although each layer almost certainly corresponds to some

  5. Electron beam deposition system causing little damage to organic layers

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Minoru [Research Center for Solar Energy Chemistry, Osaka University, 1-3 Machikaneyama, Toyonaka, Osaka 560-8531 (Japan); Business Incubation Department, Hitachi Zosen Corporation, 2-11 Funamachi 2-Chome, Taisho-ku, Osaka 551-0022 (Japan); Matsumura, Michio, E-mail: matsu@chem.es.osaka-u.ac.jp [Research Center for Solar Energy Chemistry, Osaka University, 1-3 Machikaneyama, Toyonaka, Osaka 560-8531 (Japan); Maeda, Yasuhiro [Business Incubation Department, Hitachi Zosen Corporation, 2-11 Funamachi 2-Chome, Taisho-ku, Osaka 551-0022 (Japan)

    2011-07-29

    Conditions for deposition of an aluminum (Al) layer on an organic light-emitting layer with an electron beam (EB) deposition system were optimized with respect to deposition rate and damage to organic layers. The damage to the organic layers was found to be mostly caused by X-rays emitted from a target bombarded with accelerated electrons. In order to decrease the X-ray intensity while maintaining a high deposition rate, we used an EB source which emits high-density EB at low acceleration voltage. In addition, we inserted a heat reflector and a sintered-carbon liner between the Al target and copper crucible to improve heat insulation. As a result, the voltage needed for the deposition of Al electrodes at a rate of about 8 nm/s was lowered from normal voltages of 2.0 kV or higher to as low as 1.5 kV. To reduce the number of electrons hitting the substrate, we set pole pieces near the target and an electron trap in the chamber. The devices on which Al electrodes were deposited with the EB system showed almost the same properties as those of devices on which the Al electrodes were deposited by a resistive-heating method.

  6. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  7. Large-area few-layer MoS 2 deposited by sputtering

    KAUST Repository

    Huang, Jyun-Hong

    2016-06-06

    Direct magnetron sputtering of transition metal dichalcogenide targets is proposed as a new approach for depositing large-area two-dimensional layered materials. Bilayer to few-layer MoS2 deposited by magnetron sputtering followed by post-deposition annealing shows superior area scalability over 20 cm(2) and layer-by-layer controllability. High crystallinity of layered MoS2 was confirmed by Raman, photo-luminescence, and transmission electron microscopy analysis. The sputtering temperature and annealing ambience were found to play an important role in the film quality. The top-gate field-effect transistor by using the layered MoS2 channel shows typical n-type characteristics with a current on/off ratio of approximately 10(4). The relatively low mobility is attributed to the small grain size of 0.1-1 mu m with a trap charge density in grain boundaries of the order of 10(13) cm(-2).

  8. Preparation and characterization of tempered tungsten layers on single crystalline silicon

    International Nuclear Information System (INIS)

    Nitzsche, K.; Knedlik, C.; Tippmann, H.; Spiess, L.; Harman, R.; Vanek, O.; Tvarozek, V.

    1984-01-01

    Tungsten layers have been deposited on single crystalline silicon by sputtering and characterized by measurements of the sheet resistance by a linear four point method and the van der Pauw method. The influence of tempering under argon on the resistance has been studied. By means of the RBS spectroscopy it was found that the increase in the specific resistance is caused by interdiffusion

  9. Control of thermal deformation in dielectric mirrors using mechanical design and atomic layer deposition.

    Science.gov (United States)

    Gabriel, Nicholas T; Kim, Sangho S; Talghader, Joseph J

    2009-07-01

    A mechanical design technique for optical coatings that simultaneously controls thermal deformation and optical reflectivity is reported. The method requires measurement of the refractive index and thermal stress of single films prior to the design. Atomic layer deposition was used for deposition because of the high repeatability of the film constants. An Al2O3/HfO2 distributed Bragg reflector was deposited with a predicted peak reflectivity of 87.9% at 542.4 nm and predicted edge deformation of -360 nm/K on a 10 cm silicon substrate. The measured peak reflectivity was 85.7% at 541.7 nm with an edge deformation of -346 nm/K.

  10. Hydrogen retention in carbon-tungsten co-deposition layer formed by hydrogen RF plasma

    International Nuclear Information System (INIS)

    Katayama, K.; Kawasaki, T.; Manabe, Y.; Nagase, H.; Takeishi, T.; Nishikawa, M.

    2006-01-01

    Carbon-tungsten co-deposition layers (C-W layers) were formed by sputtering method using hydrogen or deuterium RF plasma. The deposition rate of the C-W layer by deuterium plasma was faster than that by hydrogen plasma, where the increase of deposition rate of tungsten was larger than that of carbon. This indicates that the isotope effect on sputtering-depositing process for tungsten is larger than that for carbon. The release curve of hydrogen from the C-W layer showed two peaks at 400 deg. C and 700 deg. C. Comparing the hydrogen release from the carbon deposition layer and the tungsten deposition layer, it is considered that the increase of the release rate at 400 deg. C is affected by tungsten and that at 700 deg. C is affected by carbon. The obtained hydrogen retention in the C-W layers which have over 60 at.% of carbon was in the range between 0.45 and 0.16 as H/(C + W)

  11. Effect of layer thickness on the thermal release from Be-D co-deposited layers

    Science.gov (United States)

    Baldwin, M. J.; Doerner, R. P.

    2014-08-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967-70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D2 release from co-deposited Be-(0.05)D layers produced at ˜323 K. Bake desorption of layers of thickness 0.2-0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be-D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction.

  12. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  13. Anomalous photoluminescence thermal quenching of sandwiched single layer MoS_2

    KAUST Repository

    Tangi, Malleswararao

    2017-09-22

    We report an unusual thermal quenching of the micro-photoluminescence (µ-PL) intensity for a sandwiched single-layer (SL) MoS2. For this study, MoS2 layers were chemical vapor deposited on molecular beam epitaxial grown In0.15Al0.85N lattice matched templates. Later, to accomplish air-stable sandwiched SL-MoS2, a thin In0.15Al0.85N cap layer was deposited on the MoS2/In0.15Al0.85N heterostructure. We confirm that the sandwiched MoS2 is a single layer from optical and structural analyses using µ-Raman spectroscopy and scanning transmission electron microscopy, respectively. By using high-resolution X-ray photoelectron spectroscopy, no structural phase transition of MoS2 is noticed. The recombination processes of bound and free excitons were analyzed by the power-dependent µ-PL studies at 77 K and room temperature (RT). The temperature-dependent micro photoluminescence (TDPL) measurements were carried out in the temperature range of 77 – 400 K. As temperature increases, a significant red-shift is observed for the free-exciton PL peak, revealing the delocalization of carriers. Further, we observe unconventional negative thermal quenching behavior, the enhancement of the µ-PL intensity with increasing temperatures up to 300K, which is explained by carrier hopping transitions that take place between shallow localized states to the band-edges. Thus, this study renders a fundamental insight into understanding the anomalous thermal quenching of µ-PL intensity of sandwiched SL-MoS2.

  14. Anomalous photoluminescence thermal quenching of sandwiched single layer MoS_2

    KAUST Repository

    Tangi, Malleswararao; Shakfa, Mohammad Khaled; Mishra, Pawan; Li, Ming-Yang; Chiu, Ming-Hui; Ng, Tien Khee; Li, Lain-Jong; Ooi, Boon S.

    2017-01-01

    We report an unusual thermal quenching of the micro-photoluminescence (µ-PL) intensity for a sandwiched single-layer (SL) MoS2. For this study, MoS2 layers were chemical vapor deposited on molecular beam epitaxial grown In0.15Al0.85N lattice matched templates. Later, to accomplish air-stable sandwiched SL-MoS2, a thin In0.15Al0.85N cap layer was deposited on the MoS2/In0.15Al0.85N heterostructure. We confirm that the sandwiched MoS2 is a single layer from optical and structural analyses using µ-Raman spectroscopy and scanning transmission electron microscopy, respectively. By using high-resolution X-ray photoelectron spectroscopy, no structural phase transition of MoS2 is noticed. The recombination processes of bound and free excitons were analyzed by the power-dependent µ-PL studies at 77 K and room temperature (RT). The temperature-dependent micro photoluminescence (TDPL) measurements were carried out in the temperature range of 77 – 400 K. As temperature increases, a significant red-shift is observed for the free-exciton PL peak, revealing the delocalization of carriers. Further, we observe unconventional negative thermal quenching behavior, the enhancement of the µ-PL intensity with increasing temperatures up to 300K, which is explained by carrier hopping transitions that take place between shallow localized states to the band-edges. Thus, this study renders a fundamental insight into understanding the anomalous thermal quenching of µ-PL intensity of sandwiched SL-MoS2.

  15. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  16. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  17. Growth of thick La2Zr2O7 buffer layers for coated conductors by polymer-assisted chemical solution deposition

    International Nuclear Information System (INIS)

    Zhang, Xin; Zhao, Yong; Xia, Yudong; Guo, Chunsheng; Cheng, C.H.; Zhang, Yong; Zhang, Han

    2015-01-01

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La 2 Zr 2 O 7 (LZO) epitaxial films have been deposited on LaAlO 3 (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa 2 Cu 3 O 7−x (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm 2 at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors

  18. Compositional characterization of atomic layer deposited alumina

    International Nuclear Information System (INIS)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev

    2014-01-01

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al 2 O 3 is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra

  19. Compositional characterization of atomic layer deposited alumina

    Energy Technology Data Exchange (ETDEWEB)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev [Department of Instrumentation, Cochin University of Science and Technology, Cochin-22, Kerala (India)

    2014-01-28

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al{sub 2}O{sub 3} is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra.

  20. Effect of layer thickness on the thermal release from Be–D co-deposited layers

    International Nuclear Information System (INIS)

    Baldwin, M.J.; Doerner, R.P.

    2014-01-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967–70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D 2 release from co-deposited Be–(0.05)D layers produced at ∼323 K. Bake desorption of layers of thickness 0.2–0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be–D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction. (paper)

  1. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  2. The first step in layer-by-layer deposition: Electrostatics and/or non-electrostatics?

    NARCIS (Netherlands)

    Lyklema, J.; Deschênes, L.

    2011-01-01

    A critical discussion is presented on the properties and prerequisites of adsorbed polyelectrolytes that have to function as substrates for further layer-by-layer deposition. The central theme is discriminating between the roles of electrostatic and non-electrostatic interactions. In order to

  3. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  4. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  5. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  6. Hydrogen gas driven permeation through tungsten deposition layer formed by hydrogen plasma sputtering

    International Nuclear Information System (INIS)

    Uehara, Keiichiro; Katayama, Kazunari; Date, Hiroyuki; Fukada, Satoshi

    2015-01-01

    Highlights: • H permeation tests for W layer formed by H plasma sputtering are performed. • H permeation flux through W layer is larger than that through W bulk. • H diffusivity in W layer is smaller than that in W bulk. • The equilibrium H concentration in W layer is larger than that in W bulk. - Abstract: It is important to evaluate the influence of deposition layers formed on plasma facing wall on tritium permeation and tritium retention in the vessel of a fusion reactor from a viewpoint of safety. In this work, tungsten deposition layers having different thickness and porosity were formed on circular nickel plates by hydrogen RF plasma sputtering. Hydrogen permeation experiment was carried out at the temperature range from 250 °C to 500 °C and at hydrogen pressure range from 1013 Pa to 101,300 Pa. The hydrogen permeation flux through the nickel plate with tungsten deposition layer was significantly smaller than that through a bare nickel plate. This indicates that a rate-controlling step in hydrogen permeation was not permeation through the nickel plate but permeation though the deposition layer. The pressure dependence on the permeation flux differed by temperature. Hydrogen permeation flux through tungsten deposition layer is larger than that through tungsten bulk. From analysis of the permeation curves, it was indicated that hydrogen diffusivity in tungsten deposition layer is smaller than that in tungsten bulk and the equilibrium hydrogen concentration in tungsten deposition layer is enormously larger than that in tungsten bulk at same hydrogen pressure.

  7. Corrosion behaviour in saline environments of single-layer titanium and aluminium coatings, and of Ti/Al alternated multi-layers elaborated by a multi-beam PVD technique

    International Nuclear Information System (INIS)

    Merati, Abdenacer

    1994-01-01

    This research thesis reports the characterization of anti-corrosion titanium and aluminium coatings deposited on a 35CD4 steel under the form of mono-metallic layers or alternated Ti/Al multi-layers, and obtained by a multibeam PVD technique. The influence of different parameters is studied: single-layer thickness (5, 15 or 30 micro-metres), multi-layer distribution (5 to 6) and substrate (smooth or threaded). Layer nature and microstructure are studied by optical microscopy and scanning electron microscopy (SEM), as well as corrosion toughness in aqueous saline environments. Coated threaded samples have been studied after tightening tests. It appears that titanium layers are denser and more uniform than aluminium layers, and that multi-layer coatings provide a better protection than single-layer coatings. The best behaviour is obtained when titanium is in contact with steel, and aluminium is the outer layer in contact with the corroding environment [fr

  8. Relative influence of deposition and diagenesis on carbonate reservoir layering

    Energy Technology Data Exchange (ETDEWEB)

    Poli, Emmanuelle [Total E and P, Courbevoie (France); Javaux, Catherine [Total E and P, Pointe Noire (Congo)

    2008-07-01

    The architecture heterogeneities and petrophysical properties of carbonate reservoirs result from a combination of platform morphology, related depositional environments, relative sea level changes and diagenetic events. The reservoir layering built for static and dynamic modelling purposes should reflect the key heterogeneities (depositional or diagenetic) which govern the fluid flow patterns. The layering needs to be adapted to the goal of the modelling, ranging from full field computations of hydrocarbon volumes, to sector-based fine-scale simulations to test the recovery improvement. This paper illustrates various reservoir layering types, including schemes dominated by depositional architecture, and those more driven by the diagenetic overprint. The examples include carbonate platform reservoirs from different stratigraphic settings (Tertiary, Cretaceous, Jurassic and Permian) and different regions (Europe, Africa and Middle East areas). This review shows how significant stratigraphic surfaces (such as sequence boundaries or maximum flooding) with their associated facies shifts, can be often considered as key markers to constrain the reservoir layering. Conversely, how diagenesis (dolomitization and karst development), resulting in units with particular poroperm characteristics, may significantly overprint the primary reservoir architecture by generating flow units which cross-cut depositional sequences. To demonstrate how diagenetic processes can create reservoir bodies with geometries that cross-cut the depositional fabric, different types of dolomitization and karst development are illustrated. (author)

  9. 11-GHz waveguide Nd:YAG laser CW mode-locked with single-layer graphene.

    Science.gov (United States)

    Okhrimchuk, Andrey G; Obraztsov, Petr A

    2015-06-08

    We report stable, passive, continuous-wave (CW) mode-locking of a compact diode-pumped waveguide Nd:YAG laser with a single-layer graphene saturable absorber. The depressed cladding waveguide in the Nd:YAG crystal is fabricated with an ultrafast laser inscription method. The saturable absorber is formed by direct deposition of CVD single-layer graphene on the output coupler. The few millimeter-long cavity provides generation of 16-ps pulses with repetition rates in the GHz range (up to 11.3 GHz) and 12 mW average power. Stable CW mode-locking operation is achieved by controlling the group delay dispersion in the laser cavity with a Gires-Tournois interferometer.

  10. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers

    International Nuclear Information System (INIS)

    Costa e Silva, Danilo Lopes

    2015-01-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  11. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  12. Simulation of the Dynamics of Isothermal Growth of Single-Layer Graphene on a Copper Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2018-01-01

    A new kinetic model of isothermal growth of single-layer graphene on a copper catalyst as a result of the chemical vapor deposition of hydrocarbons on it at a low pressure has been developed on the basis of in situ measurements of the growth of graphene in the process of its synthesis. This model defines the synthesis of graphene with regard for the chemisorption and catalytic decomposition of ethylene on the surface of a copper catalyst, the diffusion of carbon atoms in the radial direction to the nucleation centers within the thin melted near-surface copper layer, and the nucleation and autocatalytic growth of graphene domains. It is shown that the time dependence of the rate of growth of a graphene domain has a characteristic asymmetrical bell-like shape. The dependences of the surface area and size of a graphene domain and the rate of its growth on the time at different synthesis temperatures and ethylene concentrations have been obtained. Time characteristics of the growth of graphene domains depending on the parameters of their synthesis were calculated. The results obtained can be used for determining optimum regimes of synthesis of graphene in the process of chemical vapor deposition of hydrocarbons on different catalysts with a low solubility of carbon.

  13. Epitaxial TiN(001) wetting layer for growth of thin single-crystal Cu(001)

    Energy Technology Data Exchange (ETDEWEB)

    Chawla, J. S.; Zhang, X. Y.; Gall, D. [Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)

    2011-08-15

    Single-crystal Cu(001) layers, 4-1400 nm thick, were deposited on MgO(001) with and without a 2.5-nm-thick TiN(001) buffer layer. X-ray diffraction and reflection indicate that the TiN(001) surface suppresses Cu-dewetting, yielding a 4 x lower defect density and a 9 x smaller surface roughness than if grown on MgO(001) at 25 deg. C. In situ and low temperature electron transport measurements indicate that ultra-thin (4 nm) Cu(001) remains continuous and exhibits partial specular scattering at the Cu-vacuum boundary with a Fuchs-Sondheimer specularity parameter p = 0.6 {+-} 0.2, suggesting that the use of epitaxial wetting layers is a promising approach to create low-resistivity single-crystal Cu nanoelectronic interconnects.

  14. Study of the mechanical properties of single- layer and multi-layer metallic coatings with protective-decorative applications

    Directory of Open Access Journals (Sweden)

    Cherneva Sabina

    2018-01-01

    Full Text Available Single thin coating of matt nickel (Nimat, a mirror bright copper (Cubright, a mirror bright nickel (Nibright and their combinations were electrochemically deposited on brass substrate with thickness 500 μm. The basic aim was electrodeposition of two-layer Cubright/Nimat and Nibright/Cubright systems, and three-layer Nibright Cubrigh/Nimat system, which are among the most widely applied protective and decorative systems in light and medium operating conditions of corrosion. The thicknesses of the obtained films varied from 1 μm to 3.25 μm. They were investigated via nanoindentation experiments, in order to characterize their basic physical and mechanical characteristics, related with their good adhesion and corrosion protective ability, as well as ensuring the integrity of the system “protective coating/substrate” to possible mechanical, dynamic and/or thermal stresses. As a result, load-displacement curves were obtained and indentation hardness and indentation modulus were calculated using the Oliver & Pharr approximation method. The dependence of the indentation modulus and the indentation hardness on the depth of the indentation, surface morphology and structure of the obtained coatings, their texture and surface roughness were investigated too. The obtained results showed that the three-layer Nibright/Cubright /Niimat/CuZn37 system has highest indentation modulus and indentation hardness, following by two-layer Nibright/Cubright system and single layer coatings.

  15. Tritium decontamination from co-deposited layer on tungsten substrate by ultra violet lamp and laser

    International Nuclear Information System (INIS)

    Oya, Yasuhisa; Tadokoro, Takahiro; Shu, Wataru; Hayashi, Takumi; O'hira, Shigeru; Nishi, Masataka

    2001-01-01

    Tritium decontamination using ultra violet (UV) lamp and laser was performed. Simulated co-deposited layer on tungsten substrate was deposited by C 2 H 2 or C 2 D 2 glow discharge. The co-deposited layer was irradiated to UV lights from a xenon excimer lamp (172 nm) or ArF excimer laser (193 nm) and the in-situ decontamination behavior was evaluated by a mass spectrometer. After the UV irradiation, the hydrogen concentration in the co-deposited layer was evaluated by elastic recoil detection analysis (ERDA) and the depth profile was analyzed by secondary ion mass spectrometry (SIMS). For the co-deposited layer formed by C 2 D 2 glow discharge, it was found that M/e 3 (HD) gas was released mainly during the UV lamp irradiation while both M/e 3 (HD) and M/e 4 (D 2 ) gases were detected during the UV laser irradiation. Though the co-deposited layer was not removed by UV lamp irradiation, almost all the co-deposited layer was removed by UV laser irradiation within 1 min. The ratio of hydrogen against carbon in the co-deposited layer was estimated to be 0.53 by ERDA and the number of photon needed for removing 1 μm thick co-deposited layer was calculated to be 3.7x10 18 cm -2 for the UV laser by SIMS measurement. It is concluded that C-H (C-D) bond on the co-deposited layer were dissociated by irradiation of UV lamp while the co-deposited layer itself was removed by the UV laser irradiation. (author)

  16. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  17. Investigation of vanadium and nitride alloys thin layers deposited by PVD

    Directory of Open Access Journals (Sweden)

    Nouveau C.

    2012-06-01

    Full Text Available In this work we present the technique of magnetron vapor deposition and the effect of several deposition parameters on the structural and morphological properties of prepared thin films. It was noted that the deposition time has an effect on the crystallinity, mechanical properties such as residual stress, roughness surface and the layer composition from target products. Studies were carried out on layers of vanadium (V and the nitride vanadium (VN.

  18. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  19. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  20. Microscopic Characterization of Individual Submicron Bubbles during the Layer-by-Layer Deposition: Towards Creating Smart Agents

    Directory of Open Access Journals (Sweden)

    Riku Kato

    2015-07-01

    Full Text Available We investigated the individual properties of various polyion-coated bubbles with a mean diameter ranging from 300 to 500 nm. Dark field microscopy allows one to track the individual particles of the submicron bubbles (SBs encapsulated by the layer-by-layer (LbL deposition of cationic and anionic polyelectrolytes (PEs. Our focus is on the two-step charge reversals of PE-SB complexes: the first is a reversal from negatively charged bare SBs with no PEs added to positive SBs encapsulated by polycations (monolayer deposition, and the second is overcharging into negatively charged PE-SB complexes due to the subsequent addition of polyanions (double-layer deposition. The details of these phenomena have been clarified through the analysis of a number of trajectories of various PE-SB complexes that experience either Brownian motion or electrophoresis. The contrasted results obtained from the analysis were as follows: an amount in excess of the stoichiometric ratio of the cationic polymers was required for the first charge-reversal, whereas the stoichiometric addition of the polyanions lead to the electrical neutralization of the PE-SB complex particles. The recovery of the stoichiometry in the double-layer deposition paves the way for fabricating multi-layered SBs encapsulated solely with anionic and cationic PEs, which provides a simple protocol to create smart agents for either drug delivery or ultrasound contrast imaging.

  1. Microscopic Characterization of Individual Submicron Bubbles during the Layer-by-Layer Deposition: Towards Creating Smart Agents

    Science.gov (United States)

    Kato, Riku; Frusawa, Hiroshi

    2015-07-01

    We investigated the individual properties of various polyion-coated bubbles with a mean diameter ranging from 300 to 500 nm. Dark field microscopy allows one to track the individual particles of the submicron bubbles (SBs) encapsulated by the layer-by-layer (LbL) deposition of cationic and anionic polyelectrolytes (PEs). Our focus is on the two-step charge reversals of PE-SB complexes: the first is a reversal from negatively charged bare SBs with no PEs added to positive SBs encapsulated by polycations (monolayer deposition), and the second is overcharging into negatively charged PE-SB complexes due to the subsequent addition of polyanions (double-layer deposition). The details of these phenomena have been clarified through the analysis of a number of trajectories of various PE-SB complexes that experience either Brownian motion or electrophoresis. The contrasted results obtained from the analysis were as follows: an amount in excess of the stoichiometric ratio of the cationic polymers was required for the first charge-reversal, whereas the stoichiometric addition of the polyanions lead to the electrical neutralization of the PE-SB complex particles. The recovery of the stoichiometry in the double-layer deposition paves the way for fabricating multi-layered SBs encapsulated solely with anionic and cationic PEs, which provides a simple protocol to create smart agents for either drug delivery or ultrasound contrast imaging.

  2. Inorganic-Organic Coating via Molecular Layer Deposition Enables Long Life Sodium Metal Anode.

    Science.gov (United States)

    Zhao, Yang; Goncharova, Lyudmila V; Zhang, Qian; Kaghazchi, Payam; Sun, Qian; Lushington, Andrew; Wang, Biqiong; Li, Ruying; Sun, Xueliang

    2017-09-13

    Metallic Na anode is considered as a promising alternative candidate for Na ion batteries (NIBs) and Na metal batteries (NMBs) due to its high specific capacity, and low potential. However, the unstable solid electrolyte interphase layer caused by serious corrosion and reaction in electrolyte will lead to big challenges, including dendrite growth, low Coulombic efficiency and even safety issues. In this paper, we first demonstrate the inorganic-organic coating via advanced molecular layer deposition (alucone) as a protective layer for metallic Na anode. By protecting Na anode with controllable alucone layer, the dendrites and mossy Na formation have been effectively suppressed and the lifetime has been significantly improved. Moreover, the molecular layer deposition alucone coating shows better performances than the atomic layer deposition Al 2 O 3 coating. The novel design of molecular layer deposition protected Na metal anode may bring in new opportunities to the realization of the next-generation high energy-density NIBs and NMBs.

  3. Deposition of Chitosan Layers on NiTi Shape Memory Alloy

    Directory of Open Access Journals (Sweden)

    Kowalski P.

    2015-04-01

    Full Text Available The NiTi shape memory alloys have been known from their application in medicine for implants as well as parts of medical devices. However, nickel belongs to the family of elements, which are toxic. Apart from the fact that nickel ions are bonded with titanium into intermetallic phase, their presence may cause allergy. In order to protect human body against release of nickel ions a surface of NiTi alloy can be modified with use of titanium nitrides, oxides or diamond-like layers. On the one hand the layers can play protective role but on the other hand they may influence shape memory behavior. Too stiff or too brittle layer can lead to limiting or completely blocking of the shape recovery. It was the reason to find more elastic covers for NiTi surface protection. This feature is characteristic for polymers, especially, biocompatible ones, which originate in nature. In the reported paper, the chitosan was applied as a deposited layer on surface of the NiTi shape memory alloy. Due to the fact that nature of shape memory effect is sensitive to thermo and/or mechanical treatments, the chitosan layer was deposited with use of electrophoresis carried out at room temperature. Various deposition parameters were checked and optimized. In result of that thin chitosan layer (0.45µm was received on the NiTi alloy surface. The obtained layers were characterized by means of chemical and phase composition, as well as surface quality. It was found that smooth, elastic surface without cracks and/or inclusions can be produced applying 10V and relatively short deposition time - 30 seconds.

  4. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  5. Experience with single-layer rectal anastomosis.

    OpenAIRE

    Khubchandani, M; Upson, J

    1981-01-01

    Anastomotic dehiscence following resection of the large intestine is a serious complication. Satisfactory results of single-layer anastomosis depend upon meticulous technique and a scrupulously clean colon. Out of 65 single-layer anastomoses involving the rectum, significant leakage occurred in 4 patients. The results are reported in order to draw attention to the safety and efficacy of one-layer anastomosis.

  6. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  7. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  8. Atomic layer deposition precursor step repetition and surface plasma pretreatment influence on semiconductor–insulator–semiconductor heterojunction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Talkenberg, Florian, E-mail: florian.talkenberg@ipht-jena.de; Illhardt, Stefan; Schmidl, Gabriele; Schleusener, Alexander; Sivakov, Vladimir [Leibniz Institute of Photonic Technology, Albert-Einstein-Str. 9, D-07745 Jena (Germany); Radnóczi, György Zoltán; Pécz, Béla [Centre for Energy Research, Institute of Technical Physics and Materials Science, Konkoly-Thege Miklós u. 29-33, H-1121 Budapest (Hungary); Dikhanbayev, Kadyrjan; Mussabek, Gauhar [Department of Physics and Engineering, al-Farabi Kazakh National University, 71 al-Farabi Ave., 050040 Almaty (Kazakhstan); Gudovskikh, Alexander [Nanotechnology Research and Education Centre, St. Petersburg Academic University, Russian Academy of Sciences, Hlopina Str. 8/3, 194021 St. Petersburg (Russian Federation)

    2015-07-15

    Semiconductor–insulator–semiconductor heterojunction solar cells were prepared using atomic layer deposition (ALD) technique. The silicon surface was treated with oxygen and hydrogen plasma in different orders before dielectric layer deposition. A plasma-enhanced ALD process was applied to deposit dielectric Al{sub 2}O{sub 3} on the plasma pretreated n-type Si(100) substrate. Aluminum doped zinc oxide (Al:ZnO or AZO) was deposited by thermal ALD and serves as transparent conductive oxide. Based on transmission electron microscopy studies the presence of thin silicon oxide (SiO{sub x}) layer was detected at the Si/Al{sub 2}O{sub 3} interface. The SiO{sub x} formation depends on the initial growth behavior of Al{sub 2}O{sub 3} and has significant influence on solar cell parameters. The authors demonstrate that a hydrogen plasma pretreatment and a precursor dose step repetition of a single precursor improve the initial growth behavior of Al{sub 2}O{sub 3} and avoid the SiO{sub x} generation. Furthermore, it improves the solar cell performance, which indicates a change of the Si/Al{sub 2}O{sub 3} interface states.

  9. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  10. Granular nanocrystalline zirconia electrolyte layers deposited on porous SOFC cathode substrates

    International Nuclear Information System (INIS)

    Seydel, Johannes; Becker, Michael; Ivers-Tiffee, Ellen; Hahn, Horst

    2009-01-01

    Thin granular yttria-stabilized zirconia (YSZ) electrolyte layers were prepared by chemical vapor synthesis and deposition (CVD/CVS) on a porous substoichiometric lanthanum-strontium-manganite (ULSM) solid oxide fuel cell cathode substrate. The substrate porosity was optimized with a screen printed fine porous buffer layer. Structural analysis by scanning electron microscopy showed a homogeneous, granular nanocrystalline layer with a microstructure that was controlled via reactor settings. The CVD/CVS gas-phase process enabled the deposition of crack-free granular YSZ films on porous ULSM substrates. The electrolyte layers characterized with impedance spectroscopy exhibited enhanced grain boundary conductivity.

  11. The mechanism of the nano-CeO2 films deposition by electrochemistry method as coated conductor buffer layers

    International Nuclear Information System (INIS)

    Lu, Yuming; Cai, Shuang; Liang, Ying; Bai, Chuanyi; Liu, Zhiyong; Guo, Yanqun; Cai, Chuanbing

    2015-01-01

    Highlights: • Crack-free CeO 2 film thicker than 200 nm was prepared on NiW substrate by ED method. • Different electrochemical processes as hydroxide/metal mechanisms were identified. • The CeO 2 precursor films deposited by ED method were in nano-scales. - Abstract: Comparing with conventional physical vapor deposition methods, electrochemistry deposition technique shows a crack suppression effect by which the thickness of CeO 2 films on Ni–5 at.%W substrate can reach a high value up to 200 nm without any cracks, make it a potential single buffer layer for coated conductor. In the present work, the processes of CeO 2 film deposited by electrochemistry method are detailed investigated. A hydroxide reactive mechanism and an oxide reactive mechanism are distinguished for dimethyl sulfoxide and aqueous solution, respectively. Before heat treatment to achieve the required bi-axial texture performance of buffer layers, the precursor CeO 2 films are identified in nanometer scales. The crack suppression for electrochemistry deposited CeO 2 films is believed to be attributed to the nano-effects of the precursors

  12. Structural and interfacial defects in c-axis oriented LiNbO3 thin films grown by pulsed laser deposition on Si using Al : ZnO conducting layer

    Science.gov (United States)

    Shandilya, Swati; Tomar, Monika; Sreenivas, K.; Gupta, Vinay

    2009-05-01

    Highly c-axis oriented LiNbO3 films are deposited using pulsed laser deposition on a silicon substrate using a transparent conducting Al doped ZnO layer. X-ray diffraction and Raman spectroscopic analysis show the fabrication of single phase and oriented LiNbO3 films under the optimized deposition condition. An extra peak at 905 cm-1 was observed in the Raman spectra of LiNbO3 film deposited at higher substrate temperature and higher oxygen pressure, and attributed to the presence of niobium antisite defects in the lattice. Dielectric constant and ac conductivity of oriented LiNbO3 films deposited under the static and rotating substrate modes have been studied. Films deposited under the rotating substrate mode exhibit dielectric properties close to the LiNbO3 single crystal. The cause of deviation in the dielectric properties of the film deposited under the static substrate mode, in comparison with the bulk, are discussed in the light of the possible formation of an interdiffusion layer at the interface of the LiNbO3 film and the Al : ZnO layer.

  13. Structural and interfacial defects in c-axis oriented LiNbO3 thin films grown by pulsed laser deposition on Si using Al : ZnO conducting layer

    International Nuclear Information System (INIS)

    Shandilya, Swati; Sreenivas, K; Gupta, Vinay; Tomar, Monika

    2009-01-01

    Highly c-axis oriented LiNbO 3 films are deposited using pulsed laser deposition on a silicon substrate using a transparent conducting Al doped ZnO layer. X-ray diffraction and Raman spectroscopic analysis show the fabrication of single phase and oriented LiNbO 3 films under the optimized deposition condition. An extra peak at 905 cm -1 was observed in the Raman spectra of LiNbO 3 film deposited at higher substrate temperature and higher oxygen pressure, and attributed to the presence of niobium antisite defects in the lattice. Dielectric constant and ac conductivity of oriented LiNbO 3 films deposited under the static and rotating substrate modes have been studied. Films deposited under the rotating substrate mode exhibit dielectric properties close to the LiNbO 3 single crystal. The cause of deviation in the dielectric properties of the film deposited under the static substrate mode, in comparison with the bulk, are discussed in the light of the possible formation of an interdiffusion layer at the interface of the LiNbO 3 film and the Al : ZnO layer.

  14. To what extent can intracrater layered deposits that lack clear sedimentary textures be used to infer depositional environments?

    Science.gov (United States)

    Cadieux, Sarah B.; Kah, Linda C.

    2015-03-01

    Craters within Arabia Terra, Mars, contain hundreds of meters of layered strata showing systematic alternation between slope- and cliff-forming units, suggesting either rhythmic deposition of distinct lithologies or similar lithologies that experienced differential cementation. On Earth, rhythmically deposited strata can be examined in terms of stratal packaging, wherein the interplay of tectonics, sediment deposition, and base level (i.e., the position above which sediment accumulation is expected to be temporary) result in changes in the amount of space available for sediment accumulation. These predictable patterns of sediment deposition can be used to infer changes in basin accommodation regardless of the mechanism of deposition (e.g. fluvial, lacustrine, or aeolian). Here, we analyze sedimentary deposits from three craters (Becquerel Crater, Danielson Crater, Crater A) in Arabia Terra. Each crater contains layered deposits that are clearly observed in orbital images. Although orbital images are insufficient to specifically determine the origin of sedimentary deposits, depositional couplets can be interpreted in terms of potential accommodation space available for deposition, and changes in the distribution of couplet thickness through stratigraphy can be interpreted in terms of changing base level and the production of new accommodation space. Differences in stratal packaging in these three craters suggest varying relationships between sedimentary influx, sedimentary base level, and concomitant changes in accommodation space. Previous groundwater upwelling models hypothesize that layered sedimentary deposits were deposited under warm climate conditions of early Mars. Here, we use observed stacking patterns to propose a model for deposition under cold climate conditions, wherein episodic melting of ground ice could raise local base level, stabilize sediment deposition, and result in differential cementation of accumulated strata. Such analysis demonstrates that

  15. Automatic settlement analysis of single-layer armour layers

    NARCIS (Netherlands)

    Hofland, B.; van gent, Marcel

    2016-01-01

    A method to quantify, analyse, and present the settlement of single-layer concrete armour layers of coastal structures is presented. The use of the image processing technique for settlement analysis is discussed based on various modelling
    studies performed over the years. The accuracy of the

  16. Single Layered Versus Double Layered Intestinal Anastomosis: A Randomized Controlled Trial

    Science.gov (United States)

    Mohapatra, Vandana; Singh, Surendra; Rath, Pratap Kumar; Behera, Tapas Ranjan

    2017-01-01

    Introduction Gastrointestinal anastomosis is one of the most common procedures being performed in oesophagogastric, hepatobiliary, bariatric, small bowel and colorectal surgery; however, the safety and efficacy of single layer or double layer anastomotic technique is still unclear. Aim To assess and compare the efficacy, safety and cost effectiveness of single layered versus double layered intestinal anastomosis. Materials and Methods This prospective, double-blind, randomized controlled comparative study comprised of patients who underwent intestinal resection and anastomosis. They were randomly assigned to undergo either single layered extra-mucosal anastomosis (Group-A) or double layered intestinal anastomosis (Group-B). Primary outcome measures included average time taken for anastomosis, postoperative complications, mean duration of hospital stay and cost of suture material used; secondary outcome measures assessed the postoperative return of bowel function. Statistical analysis was done by Chi-square test and student t-test. Results A total of 97 participants were randomized. Fifty patients were allocated to single layered extramucosal continuous anastomosis (Group-A) and 47 patients to double layered anastomosis (Group-B). The patients in each group were well matched for age, sex and diagnosis. The mean time taken for anastomosis (15.12±2.27 minutes in Group-A versus 24.38±2.26 minutes in Group-B) and the length of hospital stay (5.90±1.43 days in Group-A versus 7.29±1.89 days in Group-B) was significantly shorter in Group-A {p-value anastomosis. However, there was no significant difference in the complication rates between the two groups. Conclusion It can be concluded that single layered extramucosal continuous intestinal anastomosis is equally safe and perhaps more cost effective than the conventional double layered method and may represent the optimal choice for routine surgical practice. PMID:28764239

  17. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  18. Spatial atmospheric atomic layer deposition of alxzn1-xo

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Wu, Y.; Roozeboom, F.; Poodt, P.

    2013-01-01

    The possibility of growing multicomponent oxides by spatial atmospheric atomic layer deposition has been investigated. To this end, Al xZn1-xO films have been deposited using diethyl zinc (DEZ), trimethyl aluminum (TMA), and water as Zn, Al, and O precursors, respectively. When the metal precursors

  19. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  20. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  1. Selective deposition contact patterning using atomic layer deposition for the fabrication of crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik

    2014-01-01

    Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%

  2. Improved Efficiency of Polymer Solar Cells by means of Coating Hole Transporting Layer as Double Layer Deposition

    Science.gov (United States)

    Chonsut, T.; Kayunkid, N.; Rahong, S.; Rangkasikorn, A.; Wirunchit, S.; Kaewprajak, A.; Kumnorkaew, P.; Nukeaw, J.

    2017-09-01

    Polymer solar cells is one of the promising technologies that gain tremendous attentions in the field of renewable energy. Optimization of thickness for each layer is an important factor determining the efficiency of the solar cells. In this work, the optimum thickness of Poly(3,4-ethylenedioxythione): poly(styrenesulfonate) (PEDOT:PSS), a famous polymer widely used as hole transporting layer in polymer solar cells, is determined through the analyzing of device’s photovoltaic parameters, e.g. short circuit current density (Jsc), open circuit voltage (Voc), fill factor (FF) as well as power conversion efficiency (PCE). The solar cells were prepared with multilayer of ITO/PEDOT:PSS/PCDTBT:PC70BM/TiOx/Al by rapid convective deposition. In such preparation technique, the thickness of the thin film is controlled by the deposition speed. The faster deposition speed is used, the thicker film is obtained. Furthermore, double layer deposition of PEDOT:PSS was introduced as an approach to improve solar cell efficiency. The results obviously reveal that, with the increase of PEDOT:PSS thickness, the increments of Jsc and FF play the important role to improve PCE from 3.21% to 4.03%. Interestingly, using double layer deposition of PEDOT:PSS shows the ability to enhance the performance of the solar cells to 6.12% under simulated AM 1.5G illumination of 100 mW/cm2.

  3. AB stacked few layer graphene growth by chemical vapor deposition on single crystal Rh(1 1 1) and electronic structure characterization

    International Nuclear Information System (INIS)

    Kordatos, Apostolis; Kelaidis, Nikolaos; Giamini, Sigiava Aminalragia; Marquez-Velasco, Jose; Xenogiannopoulou, Evangelia; Tsipas, Polychronis; Kordas, George; Dimoulas, Athanasios

    2016-01-01

    Highlights: • Growth of non-defective few layer graphene on Rh(1 1 1) substrates using an ambient- pressure CVD method. • Control of graphene stacking order via the cool-down rate. • Graphene is grown with a mainly AB-stacking geometry on single-crystalline Rhodium for a slow cool-down rate and non-AB for a very fast cool-down. • Good epitaxial orientation of the surface is presented through the RHEED data and confirmed with ARPES characterization for the lower cool-down rate, where graphene's ΓK direction a perfectly aligned with the ΓK direction of the Rh(1 1 1) single crystal. - Abstract: Graphene synthesis on single crystal Rh(1 1 1) catalytic substrates is performed by Chemical Vapor Deposition (CVD) at 1000 °C and atmospheric pressure. Raman analysis shows full substrate coverage with few layer graphene. It is found that the cool-down rate strongly affects the graphene stacking order. When lowered, the percentage of AB (Bernal) -stacked regions increases, leading to an almost full AB stacking order. When increased, the percentage of AB-stacked graphene regions decreases to a point where almost a full non AB-stacked graphene is grown. For a slow cool-down rate, graphene with AB stacking order and good epitaxial orientation with the substrate is achieved. This is indicated mainly by Raman characterization and confirmed by Reflection high-energy electron diffraction (RHEED) imaging. Additional Scanning Tunneling Microscopy (STM) topography data confirm that the grown graphene is mainly an AB-stacked structure. The electronic structure of the graphene/Rh(1 1 1) system is examined by Angle resolved Photo-Emission Spectroscopy (ARPES), where σ and π bands of graphene, are observed. Graphene's ΓK direction is aligned with the ΓK direction of the substrate, indicating no significant contribution from rotated domains.

  4. AB stacked few layer graphene growth by chemical vapor deposition on single crystal Rh(1 1 1) and electronic structure characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kordatos, Apostolis [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); Kelaidis, Nikolaos, E-mail: n.kelaidis@inn.demokritos.gr [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); Giamini, Sigiava Aminalragia [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); University of Athens, Department of Physics, Section of Solid State Physics, Athens, 15684 Greece (Greece); Marquez-Velasco, Jose [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece); National Technical University of Athens, Department of Physics, Athens, 15784 Greece (Greece); Xenogiannopoulou, Evangelia; Tsipas, Polychronis; Kordas, George; Dimoulas, Athanasios [National Center for Scientific Research “Demokritos”, Athens, 15310 (Greece)

    2016-04-30

    Highlights: • Growth of non-defective few layer graphene on Rh(1 1 1) substrates using an ambient- pressure CVD method. • Control of graphene stacking order via the cool-down rate. • Graphene is grown with a mainly AB-stacking geometry on single-crystalline Rhodium for a slow cool-down rate and non-AB for a very fast cool-down. • Good epitaxial orientation of the surface is presented through the RHEED data and confirmed with ARPES characterization for the lower cool-down rate, where graphene's ΓK direction a perfectly aligned with the ΓK direction of the Rh(1 1 1) single crystal. - Abstract: Graphene synthesis on single crystal Rh(1 1 1) catalytic substrates is performed by Chemical Vapor Deposition (CVD) at 1000 °C and atmospheric pressure. Raman analysis shows full substrate coverage with few layer graphene. It is found that the cool-down rate strongly affects the graphene stacking order. When lowered, the percentage of AB (Bernal) -stacked regions increases, leading to an almost full AB stacking order. When increased, the percentage of AB-stacked graphene regions decreases to a point where almost a full non AB-stacked graphene is grown. For a slow cool-down rate, graphene with AB stacking order and good epitaxial orientation with the substrate is achieved. This is indicated mainly by Raman characterization and confirmed by Reflection high-energy electron diffraction (RHEED) imaging. Additional Scanning Tunneling Microscopy (STM) topography data confirm that the grown graphene is mainly an AB-stacked structure. The electronic structure of the graphene/Rh(1 1 1) system is examined by Angle resolved Photo-Emission Spectroscopy (ARPES), where σ and π bands of graphene, are observed. Graphene's ΓK direction is aligned with the ΓK direction of the substrate, indicating no significant contribution from rotated domains.

  5. Compact Layers of Hybrid Halide Perovskites Fabricated via the Aerosol Deposition Process-Uncoupling Material Synthesis and Layer Formation.

    Science.gov (United States)

    Panzer, Fabian; Hanft, Dominik; Gujar, Tanaji P; Kahle, Frank-Julian; Thelakkat, Mukundan; Köhler, Anna; Moos, Ralf

    2016-04-08

    We present the successful fabrication of CH₃NH₃PbI₃ perovskite layers by the aerosol deposition method (ADM). The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.

  6. Interface control of atomic layer deposited oxide coatings by filtered cathodic arc deposited sublayers for improved corrosion protection

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, Emma, E-mail: emma.harkonen@helsinki.fi [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland); Tervakangas, Sanna; Kolehmainen, Jukka [DIARC-Technology Inc., Espoo (Finland); Díaz, Belén; Światowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe [Laboratoire de Physico-Chimie des Surfaces, CNRS (UMR 7075) – Chimie ParisTech (ENSCP), F-75005 Paris (France); Fenker, Martin [FEM Research Institute, Precious Metals and Metals Chemistry, D-73525 Schwäbisch Gmünd (Germany); Tóth, Lajos; Radnóczi, György [Research Centre for Natural Sciences HAS, (MTA TKK), Budapest (Hungary); Ritala, Mikko [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland)

    2014-10-15

    Sublayers grown with filtered cathodic arc deposition (FCAD) were added under atomic layer deposited (ALD) oxide coatings for interface control and improved corrosion protection of low alloy steel. The FCAD sublayer was either Ta:O or Cr:O–Ta:O nanolaminate, and the ALD layer was Al{sub 2}O{sub 3}–Ta{sub 2}O{sub 5} nanolaminate, Al{sub x}Ta{sub y}O{sub z} mixture or graded mixture. The total thicknesses of the FCAD/ALD duplex coatings were between 65 and 120 nm. Thorough analysis of the coatings was conducted to gain insight into the influence of the FCAD sublayer on the overall coating performance. Similar characteristics as with single FCAD and ALD coatings on steel were found in the morphology and composition of the duplex coatings. However, the FCAD process allowed better control of the interface with the steel by reducing the native oxide and preventing its regrowth during the initial stages of the ALD process. Residual hydrocarbon impurities were buried in the interface between the FCAD layer and steel. This enabled growth of ALD layers with improved electrochemical sealing properties, inhibiting the development of localized corrosion by pitting during immersion in acidic NaCl and enhancing durability in neutral salt spray testing. - Highlights: • Corrosion protection properties of ALD coatings were improved by FCAD sublayers. • The FCAD sublayer enabled control of the coating-substrate interface. • The duplex coatings offered improved sealing properties and durability in NSS. • The protective properties were maintained during immersion in a corrosive solution. • The improvements were due to a more ideal ALD growth on the homogeneous FCAD oxide.

  7. Carbon nanotubes/ceria composite layers deposited on surface acoustic wave devices for gas detection at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    David, M., E-mail: marjorie.david@univ-tln.fr [Universite du Sud Toulon, Var, IM2NP, UMR CNRS 6242, BP 20132. F 83 957 LA GARDE (France); Arab, M.; Martino, C. [Universite du Sud Toulon, Var, IM2NP, UMR CNRS 6242, BP 20132. F 83 957 LA GARDE (France); Delmas, L. [SENSeOR, Sophia Antipolis, 06250 Mougins (France); Guinneton, F.; Gavarri, J.-R. [Universite du Sud Toulon, Var, IM2NP, UMR CNRS 6242, BP 20132. F 83 957 LA GARDE (France)

    2012-05-01

    Surface acoustic wave (SAW) sensor on ATquartz piezoelectric substrate has been designed and fabricated. Test devices were based on asynchronous single-port resonators operating near the 434-MHz-centered industrial, scientific, and medical band. Multi-Walled Carbon Nanotubes/Ceria (MWNTs/CeO{sub 2}) nanocomposites were used as sensitive layers. The MWNTs were synthesized by catalytic chemical vapor deposition method and coated with nanosized ceria oxide. The composites were deposited on SAW quartz resonator using air-brush technique. MWNTs/CeO{sub 2} nanocomposites were characterized using X-ray diffraction, transmission electron and atomic force microscopy. The sensor responses were tested under acetone (C{sub 3}H{sub 5}OH) and ethanol (C{sub 2}H{sub 5}OH) gases. The output signal was done by S{sub 11} parameter of the SAW device and was monitored using a network analyzer. Frequency changes were observed under acetone and ethanol vapors. These changes depended on the surface conductivity of the nanocomposites deposited on the sensor. The single-port SAW gas sensor coated with the MWNTs/CeO{sub 2} presented the highest sensitivity in the case of acetone vapor interacting with these layers, with a frequency shift of 200 kHz at room temperature.

  8. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  9. Single layered flexible photo-detector based on perylene/graphene composite through printed technology

    Science.gov (United States)

    Ali, Shawkat; Bae, Jinho; Lee, Chong Hyun

    2015-07-01

    In this paper, a single layered passive photo sensor based on perylene/graphene composite is proposed, which is deposited in comb type silver electrodes separated as 50 μm spacing. To increase an electrical conductivity of the proposed sensor, perylene and graphene are blended. Photo sensing layer (120nm thick) and Silver electrodes (50 μm width, 350 nm thick) are deposited on poly(ethylene terephthalate) (PET) substrate through electro-hydrodynamic (EHD) system. The proposed photo sensor detects a terminal resistance inversely varied by an incident light in the range between 78 GΩ in dark and 25 GΩ at light intensity of 400lux. The device response is maximum at 465 nm ~ 535 nm wavelength range at blue light. The device exhibited bendability up to 4mm diameter for 1000 endurance cycles. The surface morphology analysis is carried out with FE-SEM and microscope.

  10. Structural and interfacial defects in c-axis oriented LiNbO{sub 3} thin films grown by pulsed laser deposition on Si using Al : ZnO conducting layer

    Energy Technology Data Exchange (ETDEWEB)

    Shandilya, Swati; Sreenivas, K; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Tomar, Monika [Miranda House, University of Delhi, Delhi 110007 (India)

    2009-05-07

    Highly c-axis oriented LiNbO{sub 3} films are deposited using pulsed laser deposition on a silicon substrate using a transparent conducting Al doped ZnO layer. X-ray diffraction and Raman spectroscopic analysis show the fabrication of single phase and oriented LiNbO{sub 3} films under the optimized deposition condition. An extra peak at 905 cm{sup -1} was observed in the Raman spectra of LiNbO{sub 3} film deposited at higher substrate temperature and higher oxygen pressure, and attributed to the presence of niobium antisite defects in the lattice. Dielectric constant and ac conductivity of oriented LiNbO{sub 3} films deposited under the static and rotating substrate modes have been studied. Films deposited under the rotating substrate mode exhibit dielectric properties close to the LiNbO{sub 3} single crystal. The cause of deviation in the dielectric properties of the film deposited under the static substrate mode, in comparison with the bulk, are discussed in the light of the possible formation of an interdiffusion layer at the interface of the LiNbO{sub 3} film and the Al : ZnO layer.

  11. Analysis of Strain and Intermixing in a Single Layer Ge/Si dots using polarized Raman Spectroscopy

    OpenAIRE

    PEROVA, TANIA; MOORE, ROBERT

    2006-01-01

    PUBLISHED The built-in strain and composition of as-grown and Si-capped single layers of Ge?Si dots grown at various temperatures (460?800 ?C) are studied by a comparative analysis of the Ge-Ge and Si-Ge modes in the polarized Raman spectra of the dots. A pronounced reduction of the strain and Ge content in the dots after deposition of the cap layer at low temperatures is observed, indicating that strain-induced Si diffusion from the cap layer is occurring. For large dots grown at 700?800...

  12. Compact Layers of Hybrid Halide Perovskites Fabricated via the Aerosol Deposition Process—Uncoupling Material Synthesis and Layer Formation

    Directory of Open Access Journals (Sweden)

    Fabian Panzer

    2016-04-01

    Full Text Available We present the successful fabrication of CH3NH3PbI3 perovskite layers by the aerosol deposition method (ADM. The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.

  13. Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Wei-Cheng; Tsai, Meng-Chen; Yang, Jason; Hsu, Chuck; Chen, Miin-Jang

    2015-05-20

    In this study, efficient nanotextured black silicon (NBSi) solar cells composed of silicon nanowire arrays and an Al2O3/TiO2 dual-layer passivation stack on the n(+) emitter were fabricated. The highly conformal Al2O3 and TiO2 surface passivation layers were deposited on the high-aspect-ratio surface of the NBSi wafers using atomic layer deposition. Instead of the single Al2O3 passivation layer with a negative oxide charge density, the Al2O3/TiO2 dual-layer passivation stack treated with forming gas annealing provides a high positive oxide charge density and a low interfacial state density, which are essential for the effective field-effect and chemical passivation of the n(+) emitter. In addition, the Al2O3/TiO2 dual-layer passivation stack suppresses the total reflectance over a broad range of wavelengths (400-1000 nm). Therefore, with the Al2O3/TiO2 dual-layer passivation stack, the short-circuit current density and efficiency of the NBSi solar cell were increased by 11% and 20%, respectively. In conclusion, a high efficiency of 18.5% was achieved with the NBSi solar cells by using the n(+)-emitter/p-base structure passivated with the Al2O3/TiO2 stack.

  14. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  15. Liquid-phase exfoliation of chemical vapor deposition-grown single layer graphene and its application in solution-processed transparent electrodes for flexible organic light-emitting devices

    International Nuclear Information System (INIS)

    Wu, Chaoxing; Li, Fushan; Wu, Wei; Chen, Wei; Guo, Tailiang

    2014-01-01

    Efficient and low-cost methods for obtaining high performance flexible transparent electrodes based on chemical vapor deposition (CVD)-grown graphene are highly desirable. In this work, the graphene grown on copper foil was exfoliated into micron-size sheets through controllable ultrasonication. We developed a clean technique by blending the exfoliated single layer graphene sheets with conducting polymer to form graphene-based composite solution, which can be spin-coated on flexible substrate, forming flexible transparent conducting film with high conductivity (∼8 Ω/□), high transmittance (∼81% at 550 nm), and excellent mechanical robustness. In addition, CVD-grown-graphene-based polymer light emitting diodes with excellent bendable performances were demonstrated

  16. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  17. Effect of Cu buffer layer on magnetic anisotropy of cobalt thin films deposited on MgO(001 substrate

    Directory of Open Access Journals (Sweden)

    Syed Sheraz Ahmad

    2016-11-01

    Full Text Available Cobalt thin films with 5 nm thickness were prepared on single-crystal MgO (001 substrates with different thickness Cu buffer (0 nm, 5 nm, 10 nm, 20 nm. The structure, magnetic properties and transport behaviors were investigated by employing low-energy-electron-diffraction (LEED, magneto-optical Kerr effect (MOKE and anisotropic magnetoresistance (AMR. By comparing the magnetic properties of the sample as-deposited (without Cu buffer layer one with those having the buffer Cu, we found that the magnetic anisotropy was extremely affected by the Cu buffer layer. The magnetic anisotropy of the as-deposited, without buffer layer, sample shows the uniaxial magnetic anisotropy (UMA. We found that the symmetry of the magnetic anisotropy is changed from UMA to four-fold when the thickness of the Cu buffer layer reaches to 20 nm. Meanwhile, the coercivity increased from 49 Oe (without buffer layer to 300 Oe (with 20 nm Cu buffer, in the easy axis direction, as the thickness of the buffer layer increases. Moreover, the magnitudes of various magnetic anisotropy constants were determined from torque curves on the basis of AMR results. These results support the phenomenon shown in the MOKE.

  18. Layer-by-layer deposition of superconducting Sr-Ca-Cu-O films by the spray pyrolysis technique

    International Nuclear Information System (INIS)

    Pawar, S.H.; Pawaskar, P.N.; Ubale, M.J.; Kulkarni, S.B.

    1995-01-01

    Layer-by-layer deposition of Sr-Ca-Cu-O films has been carried out using the spray pyrolysis technique. Reagent-grade nitrates of strontium, calcium and copper were used to prepare starting solutions for spray pyrolysis. A two-step procedure was used for every layer of the constituents in the sequence Sr-Cu-Ca-Cu-Sr: first, deposition onto silver substrate at 350 C, then firing at T≥450 C, both at atmospheric pressure. The films were 2-3 μm thick and showed adequate adhesion to the substrate. The films were then characterised by studying their electron micrographs, X-ray diffraction patterns and electrical resistivity. The films showed superconductivity below 104 K. ((orig.))

  19. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  20. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  1. Tritium recovery from co-deposited layers using 193-nm laser

    Science.gov (United States)

    Shu, W. M.; Kawakubo, Y.; Nishi, M. F.

    Recovery of tritium from co-deposited layers formed in deuterium-tritium plasma operations of the TFTR (Tokamak Fusion Test Reactor) was investigated by the use of an ArF excimer laser operating at the wavelength of 193 nm. At the laser energy density of 0.1 J/cm2, a transient spike of the tritium-release rate was observed at initial irradiation. Hydrogen isotopes were released in the form of hydrogen-isotope molecules during the laser irradiation in vacuum, suggesting that tritium can be recovered readily from the released gases. In a second experiment, hydrogen (tritium) recovery from the co-deposited layers on JT-60 tiles that had experienced hydrogen-plasma operations was investigated by laser ablation with a focused beam of the excimer laser. The removal rate of the co-deposited layers was quite low when the laser energy density was smaller than the ablation threshold (1.0 J/cm2), but reached 1.1 μm/pulse at the laser energy density of 7.6 J/cm2. The effective absorption coefficient in the co-deposited layers at the laser wavelength was determined to be 1.9 μm-1. The temperature of the surface during the irradiation at the laser energy density of 0.5 J/cm2 was measured on the basis of Planck's law of radiation, and the maximum temperature during the irradiation decreased from 3570 K at the initial irradiation to 2550 K at the 1000th pulse of the irradiation.

  2. Charge-collection efficiency of GaAs field effect transistors fabricated with a low temperature grown buffer layer: dependence on charge deposition profile

    International Nuclear Information System (INIS)

    McMorrow, D.; Knudson, A.R.; Melinger, J.S.; Buchner, S.

    1999-01-01

    The results presented here reveal a surprising dependence of the charge-collection efficiency of LT GaAs FETs (field effect transistors) on the depth profile of the deposited charge. Investigation of the temporal dependence of the signal amplitude, carrier density contours, and potential contours reveals different mechanisms for charge collection arising from carriers deposited above and below the LT GaAs buffer layer, respectively. In particular, carriers deposited below the LT GaAs buffer layer dissipate slowly and give rise to a persistent charge collection that is associated with a bipolar-like gain process. These results may be of significance in understanding the occurrence of single-event upsets from protons, neutrons, and large-angle, glancing heavy-ion strikes. (authors)

  3. Nanoparticle layer deposition for highly controlled multilayer formation based on high-coverage monolayers of nanoparticles

    International Nuclear Information System (INIS)

    Liu, Yue; Williams, Mackenzie G.; Miller, Timothy J.; Teplyakov, Andrew V.

    2016-01-01

    This paper establishes a strategy for chemical deposition of functionalized nanoparticles onto solid substrates in a layer-by-layer process based on self-limiting surface chemical reactions leading to complete monolayer formation within the multilayer system without any additional intermediate layers — nanoparticle layer deposition (NPLD). This approach is fundamentally different from previously established traditional layer-by-layer deposition techniques and is conceptually more similar to well-known atomic and molecular layer deposition processes. The NPLD approach uses efficient chemical functionalization of the solid substrate material and complementary functionalization of nanoparticles to produce a nearly 100% coverage of these nanoparticles with the use of “click chemistry”. Following this initial deposition, a second complete monolayer of nanoparticles is deposited using a copper-catalyzed “click reaction” with the azide-terminated silica nanoparticles of a different size. This layer-by-layer growth is demonstrated to produce stable covalently-bound multilayers of nearly perfect structure over macroscopic solid substrates. The formation of stable covalent bonds is confirmed spectroscopically and the stability of the multilayers produced is tested by sonication in a variety of common solvents. The 1-, 2- and 3-layer structures are interrogated by electron microscopy and atomic force microscopy and the thickness of the multilayers formed is fully consistent with that expected for highly efficient monolayer formation with each cycle of growth. This approach can be extended to include a variety of materials deposited in a predesigned sequence on different substrates with a highly conformal filling. - Highlights: • We investigate the formation of high-coverage monolayers of nanoparticles. • We use “click chemistry” to form these monolayers. • We form multiple layers based on the same strategy. • We confirm the formation of covalent bonds

  4. Stacking layered structure of polymer light emitting diodes prepared by evaporative spray deposition using ultradilute solution for improving carrier balance

    International Nuclear Information System (INIS)

    Aoki, Youichi; Shakutsui, Masato; Fujita, Katsuhiko

    2009-01-01

    Polymer light-emitting diodes (PLEDs) with staking layered structures are prepared by the evaporative spray deposition using ultradilute solution (ESDUS) method, which has enabled forming a polymer layer onto another polymer layer even if both polymers are soluble in a solvent used for the preparation. By this method, polymers having various HOMO and LUMO levels can be stacked as a hole transport layer, an emitting layer and an electron transport layer as commonly employed in small molecule-based organic light emitting diodes. Here we demonstrated that a PLED having a tri-layer structure using three kinds of polymers showed significant improvement in quantum efficiency compared with those having a single or bi-layer structure of corresponding polymers.

  5. Simulating Porous Magnetite Layer Deposited on Alloy 690TT Steam Generator Tubes.

    Science.gov (United States)

    Jeon, Soon-Hyeok; Son, Yeong-Ho; Choi, Won-Ik; Song, Geun Dong; Hur, Do Haeng

    2018-01-02

    In nuclear power plants, the main corrosion product that is deposited on the outside of steam generator tubes is porous magnetite. The objective of this study was to simulate porous magnetite that is deposited on thermally treated (TT) Alloy 690 steam generator tubes. A magnetite layer was electrodeposited on an Alloy 690TT substrate in an Fe(III)-triethanolamine solution. After electrodeposition, the dense magnetite layer was immersed to simulate porous magnetite deposits in alkaline solution for 50 days at room temperature. The dense morphology of the magnetite layer was changed to a porous structure by reductive dissolution reaction. The simulated porous magnetite layer was compared with flakes of steam generator tubes, which were collected from the secondary water system of a real nuclear power plant during sludge lancing. Possible nuclear research applications using simulated porous magnetite specimens are also proposed.

  6. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  7. Growth of thick La{sub 2}Zr{sub 2}O{sub 7} buffer layers for coated conductors by polymer-assisted chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xin, E-mail: xzhang@my.swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Electrical Engineering, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhao, Yong, E-mail: yzhao@swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Xia, Yudong [State Key Lab of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Guo, Chunsheng [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Cheng, C.H. [School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Zhang, Yong [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhang, Han [Department of Physics, Peking University, Beijing 100871 (China)

    2015-06-15

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La{sub 2}Zr{sub 2}O{sub 7} (LZO) epitaxial films have been deposited on LaAlO{sub 3} (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa{sub 2}Cu{sub 3}O{sub 7−x} (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm{sup 2} at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors.

  8. Tuning the mechanical properties of vertical graphene sheets through atomic layer deposition

    International Nuclear Information System (INIS)

    Davami, Keivan; Jiang, Yijie; Cortes, John; Lin, Chen; Turner, Kevin T; Bargatin, Igor; Shaygan, Mehrdad

    2016-01-01

    We report the fabrication and characterization of graphene nanostructures with mechanical properties that are tuned by conformal deposition of alumina. Vertical graphene (VG) sheets, also called carbon nanowalls (CNWs), were grown on copper foil substrates using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique and conformally coated with different thicknesses of alumina (Al_2O_3) using atomic layer deposition (ALD). Nanoindentation was used to characterize the mechanical properties of pristine and alumina-coated VG sheets. Results show a significant increase in the effective Young’s modulus of the VG sheets with increasing thickness of deposited alumina. Deposition of only a 5 nm thick alumina layer on the VG sheets nearly triples the effective Young’s modulus of the VG structures. Both energy absorption and strain recovery were lower in VG sheets coated with alumina than in pure VG sheets (for the same peak force). This may be attributed to the increase in bending stiffness of the VG sheets and the creation of connections between the sheets after ALD deposition. These results demonstrate that the mechanical properties of VG sheets can be tuned over a wide range through conformal atomic layer deposition, facilitating the use of VG sheets in applications where specific mechanical properties are needed. (paper)

  9. Characterisation by optical spectroscopy of a plasma of depositions of thins layers

    International Nuclear Information System (INIS)

    Chouan, Yannick

    1984-01-01

    This research thesis reports a work which, by correlating emission and absorption spectroscopic measurements with properties of deposited thin layers, aimed at being a complement to works undertaken by a team in charge of the realisation of a flat screen. In a first part, the author reports the study of a cathodic pulverisation of a silicon target. He describes the experimental set-up, presents correlations obtained between plasma electric properties (target self-polarisation voltage), emission spectroscopic measurements (line profile and intensity) and absorption spectroscopic measurements (density of metastables), and the composition of deposited thin layers for two reactive pulverisation plasmas (Ar-H_2 and Ar-CH_4). The second part addresses the relationship between experimental conditions and spectroscopic characteristics (emission and absorption lines, excitation and rotation temperature) of a He-SiH_4 plasma. The author also determined the most adapted spectroscopic measurements to the 'control' of deposition, and which result in an optimisation of electronic properties and of the deposition rate for the hydrogenated amorphous silicon. The third part reports the characterisation of depositions. Electric and optic measurements are reported. Then, for both deposition techniques, the author relates the influence of experimental conditions to deposition properties and to spectroscopic diagnosis. The author finally presents static characteristics of a thin-layer-based transistor

  10. Effect of Al 2 O 3 Recombination Barrier Layers Deposited by Atomic Layer Deposition in Solid-State CdS Quantum Dot-Sensitized Solar Cells

    KAUST Repository

    Roelofs, Katherine E.

    2013-03-21

    Despite the promise of quantum dots (QDs) as a light-absorbing material to replace the dye in dye-sensitized solar cells, quantum dot-sensitized solar cell (QDSSC) efficiencies remain low, due in part to high rates of recombination. In this article, we demonstrate that ultrathin recombination barrier layers of Al2O3 deposited by atomic layer deposition can improve the performance of cadmium sulfide (CdS) quantum dot-sensitized solar cells with spiro-OMeTAD as the solid-state hole transport material. We explored depositing the Al2O3 barrier layers either before or after the QDs, resulting in TiO2/Al2O3/QD and TiO 2/QD/Al2O3 configurations. The effects of barrier layer configuration and thickness were tracked through current-voltage measurements of device performance and transient photovoltage measurements of electron lifetimes. The Al2O3 layers were found to suppress dark current and increase electron lifetimes with increasing Al 2O3 thickness in both configurations. For thin barrier layers, gains in open-circuit voltage and concomitant increases in efficiency were observed, although at greater thicknesses, losses in photocurrent caused net decreases in efficiency. A close comparison of the electron lifetimes in TiO2 in the TiO2/Al2O3/QD and TiO2/QD/Al2O3 configurations suggests that electron transfer from TiO2 to spiro-OMeTAD is a major source of recombination in ss-QDSSCs, though recombination of TiO2 electrons with oxidized QDs can also limit electron lifetimes, particularly if the regeneration of oxidized QDs is hindered by a too-thick coating of the barrier layer. © 2013 American Chemical Society.

  11. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  12. Properties of electrophoretically deposited single wall carbon nanotube films

    International Nuclear Information System (INIS)

    Lim, Junyoung; Jalali, Maryam; Campbell, Stephen A.

    2015-01-01

    This paper describes techniques for rapidly producing a carbon nanotube thin film by electrophoretic deposition at room temperature and determines the film mass density and electrical/mechanical properties of such films. The mechanism of electrophoretic deposition of thin layers is explained with experimental data. Also, film thickness is measured as a function of time, electrical field and suspension concentration. We use Rutherford backscattering spectroscopy to determine the film mass density. Films created in this manner have a resistivity of 2.14 × 10 −3 Ω·cm, a mass density that varies with thickness from 0.12 to 0.54 g/cm 3 , and a Young's modulus between 4.72 and 5.67 GPa. The latter was found to be independent of thickness from 77 to 134 nm. We also report on fabricating free-standing films by removing the metal seed layer under the CNT film, and selectively etching a sacrificial layer. This method could be extended to flexible photovoltaic devices or high frequency RF MEMS devices. - Highlights: • We explain the electrophoretic deposition process and mechanism of thin SWCNT film deposition. • Characterization of the SWCNT film properties including density, resistivity, transmittance, and Young's modulus. • The film density and resistivity are found to be a function of the film thickness. • Techniques developed to create free standing layers of SW-CNTs for flexible electronics and mechanical actuators

  13. Influence of co-deposited active layers on carrier transport and luminescent properties in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Murata, Masaya; Yamamoto, Takayuki; Haishi, Motoki; Ohtani, Naoki [Department of Electronics, Doshisha University, Tatara-Miyakodani, Kyotanabe-shi, Kyoto (Japan); Ando, Taro [Central Research Laboratory, Hamamatsu Photonics, Hirakuchi, Hamakita-ku, Hamamatsu-shi, Shizuoka (Japan)

    2009-01-15

    We have investigated the influence of a co-deposited active layer in organic light-emitting diodes (OLEDs) on carrier transport and optical properties to improve radiative characteristics of OLEDs. The co-deposited layer consists of two organic materials; one is a hole transport material (TPD) and the other is an electron transport/emissive material (Alq3). We evaluated current-voltage characteristics and electroluminescence (EL) properties of various samples in which the thicknesses and compound ratios of the co-deposited layers are different. The results indicate that the devices consisting of TPD:Alq3 co-deposited layer sandwiched between TPD and Alq3 layers exhibit lower starting voltages for the light emission than the sample of simple TPD/Alq3 heterojunction structure. In addition, the starting voltage is independent of the thickness of TPD:Alq3 co-deposited layer. These samples have two interfaces at both surfaces of TPD:Alq3 co-deposited layer. Thus, we estimated the radiative recombination occurs at the interfaces. Nevertheless, we found that the radiative recombination occurs only at the interface of TPD:Alq3 co-deposited layer and Alq3 layer. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. From Single Atoms to Nanoparticles : Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder

    NARCIS (Netherlands)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A.I.; Kovalgin, Alexey Y.; Kooyman, Patricia; Kreutzer, Michiel T.; van Ommen, Jan Rudolf

    2018-01-01

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O2 as the coreactant

  15. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  16. Deposition of titanium nitride layers by electric arc – Reactive plasma spraying method

    International Nuclear Information System (INIS)

    Şerban, Viorel-Aurel; Roşu, Radu Alexandru; Bucur, Alexandra Ioana; Pascu, Doru Romulus

    2013-01-01

    Highlights: ► Titanium nitride layers deposited by electric arc – reactive plasma spraying method. ► Deposition of titanium nitride layers on C45 steel at different spraying distances. ► Characterization of the coatings hardness as function of the spraying distances. ► Determination of the corrosion behavior of titanium nitride layers obtained. - Abstract: Titanium nitride (TiN) is a ceramic material which possesses high mechanical properties, being often used in order to cover cutting tools, thus increasing their lifetime, and also for covering components which are working in corrosive environments. The paper presents the experimental results on deposition of titanium nitride coatings by a new combined method (reactive plasma spraying and electric arc thermal spraying). In this way the advantages of each method in part are combined, obtaining improved quality coatings in the same time achieving high productivity. Commercially pure titanium wire and C45 steel as substrate were used for experiments. X-ray diffraction analysis shows that the deposited coatings are composed of titanium nitride (TiN, Ti 2 N) and small amounts of Ti 3 O. The microstructure of the deposited layers, investigated both by optical and scanning electron microscopy, shows that the coatings are dense, compact, without cracks and with low porosity. Vickers microhardness of the coatings presents maximum values of 912 HV0.1. The corrosion tests in 3%NaCl solution show that the deposited layers have a high corrosion resistance compared to unalloyed steel substrate.

  17. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    International Nuclear Information System (INIS)

    Valdueza-Felip, S.; Ibáñez, J.; Monroy, E.; González-Herráez, M.; Artús, L.; Naranjo, F.B.

    2012-01-01

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of ∼ 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at ∼ 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: ► Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. ► Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. ► Room-temperature photoluminescence emission at 1.58 eV. ► InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  18. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Valdueza-Felip, S., E-mail: sirona.valdueza@depeca.uah.es [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Ibanez, J. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Monroy, E. [CEA-Grenoble, INAC/SP2M/NPSC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Gonzalez-Herraez, M. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Artus, L. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Naranjo, F.B. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain)

    2012-01-31

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of {approx} 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at {approx} 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: Black-Right-Pointing-Pointer Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. Black-Right-Pointing-Pointer Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. Black-Right-Pointing-Pointer Room-temperature photoluminescence emission at 1.58 eV. Black-Right-Pointing-Pointer InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  19. Optical properties of single-layer, double-layer, and bulk MoS2

    Energy Technology Data Exchange (ETDEWEB)

    Molina-Sanchez, Alejandro; Wirtz, Ludger [University of Luxembourg (Luxembourg); Hummer, Kerstin [University of Vienna, Vienna (Austria)

    2013-07-01

    The rise of graphene has brought attention also to other layered materials that can complement graphene or that can be an alternative in applications as transistors. Single-layer MoS{sub 2} has shown interesting electronic and optical properties such as as high electron mobility at room temperature and an optical bandgap of 1.8 eV. This makes the material suitable for transistors or optoelectronic devices. We present a theoretical study of the optical absorption and photoluminescence spectra of single-layer, double-layer and bulk MoS{sub 2}. The excitonic states have been calculated in the framework of the Bethe-Salpeter equation, taking into account the electron-hole interaction via the screened Coulomb potential. In addition to the step-function like behaviour that is typical for the joint-density of states of 2D materials with parabolic band dispersion, we find a bound excitonic peak that is dominating the luminescence spectra. The peak is split due to spin-orbit coupling for the single-layer and split due to layer-layer interaction for few-layer and bulk MoS{sub 2}. We discuss the changes of the optical bandgap and of the exciton binding energy with the number of layers, comparing our results with the reported experimental data.

  20. Pt–Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    International Nuclear Information System (INIS)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; Wijngaart, Wouter van der; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al 2 O 3 ) on Pt in nanopores to form a metal–insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al 2 O 3 layer on such a Pt film forms a metal–insulator–electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al 2 O 3 using ALD. (paper)

  1. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    Science.gov (United States)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  2. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  3. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  4. A single α-cobalt hydroxide/sodium alginate bilayer layer-by-layer assembly for conferring flame retardancy to flexible polyurethane foams

    Energy Technology Data Exchange (ETDEWEB)

    Mu, Xiaowei [State Key Laboratory of Fire Science, University of Science and Technology of China, Hefei 230026 (China); Yuan, Bihe [School of Resources and Environmental Engineering, Wuhan University of Technology, Wuhan 430070 (China); Pan, Ying; Feng, Xiaming; Duan, Lijin [State Key Laboratory of Fire Science, University of Science and Technology of China, Hefei 230026 (China); Zong, Ruowen, E-mail: zongrw@ustc.edu.cn [State Key Laboratory of Fire Science, University of Science and Technology of China, Hefei 230026 (China); Hu, Yuan, E-mail: yuanhu@ustc.edu.cn [State Key Laboratory of Fire Science, University of Science and Technology of China, Hefei 230026 (China); National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230026 (China)

    2017-04-15

    A layer-by-layer (LBL) assembly coating composed of α-cobalt hydroxide (α-Co(OH){sub 2}) and sodium alginate (SA) is deposited on flexible polyurethane (FPU) foam to reduce its flammability. Scanning electron microscopy (SEM), X-ray diffraction (XRD) and Fourier transform infrared spectroscopy (FTIR) are employed to prove the LBL assembly process. It is obvious from SEM results that a uniform and rough coating is deposited on FPU foam compared with that of untreated one. The peak intensity of methylene of SA in FITR spectra and typical (003) diffraction peak of α-Co(OH){sub 2} nanosheets at 11.0° in XRD patterns increases gradually with increment of bilayer number. Combustion behavior and toxicity suppression property of samples are characterized by cone calorimeter (under an irradiance of 35 kW m{sup −2}) and Thermogravimetry/Fourier transform infrared spectroscopy. The one and two bilayers (BL) coating on FPU foam can achieve excellent flame retardancy. Compared with untreated sample, the peak heat release rate of the coated FPU foam containing only one BL coating is reduced by 58.7%. The content of gaseous toxic substances during pyrolysis of FPU foam deposited with a single bilayer coating, such as CO and NCO-containing compounds, are reduced by 20.0% and 9.2%, respectively. Besides, the flame retardant mechanism of the coated FPU foam is also revealed. - Highlights: • The α-Co(OH){sub 2} nanosheets are firstly employed in LBL assembly. • A single α-cobalt hydroxide/sodium alginate bilayer LBL assembly for conferring excellent flame retardancy to FPU foam. • The flame retardant mechanism of LBL assembly FPU foam is displayed.

  5. Transparent Conductive In and Ga Doped ZnO/Cu Bi-Layered Films Deposited by DC and RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Moon, Hyun-Joo; Song, Young-Hwan; Oh, Jung-Hyun; Heo, Sung-Bo; Kim, Daeil

    2016-01-01

    In- and Ga-doped ZnO (IGZO) films were deposited on 5 nm thick Cu film buffered poly-carbonate substrates with RF magnetron sputtering and the effects of the Cu buffer layer on the optical and electrical properties of the films were investigated. The IGZO single layer films exhibited an electrical resistivity of 1.2×10"-1 Ω cm while the IGZO/Cu bi-layered films exhibited a lower resistivity of 1.6×10"-3 Ω cm. With respect to optical properties, the optical band gap of the IGZO films appeared to decrease as a result of an increasing carrier concentration due to the Cu buffer layer. In addition, the RMS roughness (8.2 nm) of the IGZO films also decreased to 6.8 nm by a Cu buffer layer in AFM observation. Although the optical transmittance in the range of visible wavelengths was deteriorated by the Cu buffer layer, the IGZO films with a 5 nm thick Cu buffer layer exhibited a higher figure of merit of 2.6×10"-4 Ω"-1 compared with the IGZO single layer films due to enhanced optoelectrical performance.

  6. Transparent Conductive In and Ga Doped ZnO/Cu Bi-Layered Films Deposited by DC and RF Magnetron Sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Moon, Hyun-Joo; Song, Young-Hwan; Oh, Jung-Hyun; Heo, Sung-Bo; Kim, Daeil [University of Ulsan, Ulsan (Korea, Republic of)

    2016-06-15

    In- and Ga-doped ZnO (IGZO) films were deposited on 5 nm thick Cu film buffered poly-carbonate substrates with RF magnetron sputtering and the effects of the Cu buffer layer on the optical and electrical properties of the films were investigated. The IGZO single layer films exhibited an electrical resistivity of 1.2×10{sup -}1 Ω cm while the IGZO/Cu bi-layered films exhibited a lower resistivity of 1.6×10{sup -}3 Ω cm. With respect to optical properties, the optical band gap of the IGZO films appeared to decrease as a result of an increasing carrier concentration due to the Cu buffer layer. In addition, the RMS roughness (8.2 nm) of the IGZO films also decreased to 6.8 nm by a Cu buffer layer in AFM observation. Although the optical transmittance in the range of visible wavelengths was deteriorated by the Cu buffer layer, the IGZO films with a 5 nm thick Cu buffer layer exhibited a higher figure of merit of 2.6×10{sup -}4 Ω{sup -}1 compared with the IGZO single layer films due to enhanced optoelectrical performance.

  7. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.; Alshareef, Husam N.

    2013-01-01

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  8. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.

    2013-01-09

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  9. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  10. Breakwater stability with damaged single layer armour units

    OpenAIRE

    De Rover, R.; Verhagen, H.J.; Van den Berge, A.; Reedijk, B.

    2008-01-01

    The effect of single layer interlocking armour unit breakage on the hydraulic armour layer stability and potential damage progression is addressed in this paper. A 2-dimensional scale model of a rubble mound breakwater with an armour layer consisting of Xbloc armour units was tested. The residual armour layer stability with broken units was determined. The armour unit displacement and damage progression was assessed. According to the test series breakage of the single layer armour units has a...

  11. Magmatic ore deposits in layered intrusions - Descriptive model for reef-type PGE and contact-type Cu-Ni-PGE deposits

    Science.gov (United States)

    Zientek, Michael L.

    2012-01-01

    Layered, ultramafic to mafic intrusions are uncommon in the geologic record, but host magmatic ore deposits containing most of the world's economic concentrations of platinum-group elements (PGE) (figs. 1 and 2). These deposits are mined primarily for their platinum, palladium, and rhodium contents (table 1). Magmatic ore deposits are derived from accumulations of crystals of metallic oxides, or immiscible sulfide, or oxide liquids that formed during the cooling and crystallization of magma, typically with mafic to ultramafic compositions. "PGE reefs" are stratabound PGE-enriched lode mineralization in mafic to ultramafic layered intrusions. The term "reef" is derived from Australian and South African literature for this style of mineralization and used to refer to (1) the rock layer that is mineralized and has distinctive texture or mineralogy (Naldrett, 2004), or (2) the PGE-enriched sulfide mineralization that occurs within the rock layer. For example, Viljoen (1999) broadly defined the Merensky Reef as "a mineralized zone within or closely associated with an unconformity surface in the ultramafic cumulate at the base of the Merensky Cyclic Unit." In this report, we will use the term PGE reef to refer to the PGE-enriched mineralization, not the host rock layer. Within a layered igneous intrusion, reef-type mineralization is laterally persistent along strike, extending for the length of the intrusion, typically tens to hundreds of kilometers. However, the mineralized interval is thin, generally centimeters to meters thick, relative to the stratigraphic thickness of layers in an intrusion that vary from hundreds to thousands of meters. PGE-enriched sulfide mineralization is also found near the contacts or margins of layered mafic to ultramafic intrusions (Iljina and Lee, 2005). This contact-type mineralization consists of disseminated to massive concentrations of iron-copper-nickel-PGE-enriched sulfide mineral concentrations in zones that can be tens to hundreds

  12. Memory Effect of Metal-Oxide-Silicon Capacitors with Self-Assembly Double-Layer Au Nanocrystals Embedded in Atomic-Layer-Deposited HfO2 Dielectric

    International Nuclear Information System (INIS)

    Yue, Huang; Hong-Yan, Gou; Qing-Qing, Sun; Shi-Jin, Ding; Wei, Zhang; Shi-Li, Zhang

    2009-01-01

    We report the chemical self-assembly growth of Au nanocrystals on atomic-layer-deposited HfO 2 films aminosilanized by (3-Aminopropyl)-trimethoxysilane aforehand for memory applications. The resulting Au nanocrystals show a density of about 4 × 10 11 cm −2 and a diameter range of 5–8nm. The metal-oxide-silicon capacitor with double-layer Au nanocrystals embedded in HfO 2 dielectric exhibits a large C – V hysteresis window of 11.9V for ±11 V gate voltage sweeps at 1 MHz, a flat-band voltage shift of 1.5 V after the electrical stress under 7 V for 1 ms, a leakage current density of 2.9 × 10 −8 A/cm −2 at 9 V and room temperature. Compared to single-layer Au nanocrystals, the double-layer Au nanocrystals increase the hysteresis window significantly, and the underlying mechanism is thus discussed

  13. Characteristics of a-IGZO/ITO hybrid layer deposited by magnetron sputtering.

    Science.gov (United States)

    Bang, Joon-Ho; Park, Hee-Woo; Cho, Sang-Hyun; Song, Pung-Keun

    2012-04-01

    Transparent a-IGZO (In-Ga-Zn-O) films have been actively studied for use in the fabrication of high-quality TFTs. In this study, a-IGZO films and a-IGZO/ITO double layers were deposited by DC magnetron sputtering under various oxygen flow rates. The a-IGZO films showed an amorphous structure up to 500 degrees C. The deposition rate of these films decreased with an increase in the amount of oxygen gas. The amount of indium atoms in the film was confirmed to be 11.4% higher than the target. The resistivity of double layer follows the rules for parallel DC circuits The maximum Hall mobility of the a-IGZO/ITO double layers was found to be 37.42 cm2/V x N s. The electrical properties of the double layers were strongly dependent on their thickness ratio. The IGZO/ITO double layer was subjected to compressive stress, while the ITO/IGZO double layer was subjected to tensile stress. The bending tolerance was found to depend on the a-IGZO thickness.

  14. Plasma-assisted atomic layer deposition of TiO2 compact layers for flexible mesostructured perovskite solar cells

    NARCIS (Netherlands)

    Zardetto, V.; Di Giacomo, F.; Lucarelli, G.; Kessels, W.M.M.; Brown, T.M.; Creatore, M.

    2017-01-01

    In mesostructured perovskite solar cell devices, charge recombination processes at the interface between the transparent conductive oxide, perovskite and hole transport layer are suppressed by depositing an efficient compact TiO2 blocking layer. In this contribution we investigate the role of the

  15. Modifying of Cotton Fabric Surface with Nano-ZnO Multilayer Films by Layer-by-Layer Deposition Method

    Directory of Open Access Journals (Sweden)

    Sarıışık Merih

    2010-01-01

    Full Text Available Abstract ZnO nanoparticle–based multilayer nanocomposite films were fabricated on cationized woven cotton fabrics via layer-by-layer molecular self-assembly technique. For cationic surface charge, cotton fabrics were pretreated with 2,3-epoxypropyltrimethylammonium chloride (EP3MAC by pad-batch method. XPS and SEM were used to examine the deposited nano-ZnO multilayer films on the cotton fabrics. The nano-ZnO films deposited on cotton fabrics exhibited excellent antimicrobial activity against Staphylococcus aureus bacteria. The results also showed that the coated fabrics with nano-ZnO multilayer films enhanced the protection of cotton fabrics from UV radiation. Physical tests (tensile strength of weft and warp yarns, air permeability and whiteness values were performed on the fabrics before and after the treatment with ZnO nanoparticles to evaluate the effect of layer-by-layer (LbL process on cotton fabrics properties.

  16. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  17. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  18. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  19. Atomic layer deposition of TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, Massimo; Dessmann, Nils; Staedter, Matthias; Friedrich, Daniel; Michling, Marcel; Schmeisser, Dieter [BTU-Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany)

    2011-07-01

    We present a study of the initial growth of TiO{sub 2} on Si(111) by atomic layer deposition (ALD). The Si substrate was etched with NH{sub 4}F before ALD to remove the native oxide film and to produce a Si-H termination. In-situ experiments by means of photoemission and X-ray absorption spectroscopy were conducted with synchrotron radiation on Ti-oxide films produced using Ti-tetra-iso-propoxide (TTIP) and water as precursors. O 1s, Ti 2p, C 1s, and S i2p core level, and O 1s and Ti 2p absorption edges show the transition of the Ti-oxide properties during the first layers. The growth starts with a very small growth rate (0.03 nm/cycle) due to the growth inhibition of the Si-H termination and proceeds with higher growth rate (0.1 nm/cycle) after 1.5 nm Ti-oxide has been deposited.

  20. Synthesis of single-crystalline Al layers in sapphire

    International Nuclear Information System (INIS)

    Schlosser, W.; Lindner, J.K.N.; Zeitler, M.; Stritzker, B.

    1999-01-01

    Single-crystalline, buried aluminium layers were synthesized by 180 keV high-dose Al + ion implantation into sapphire at 500 deg. C. The approximately 70 nm thick Al layers exhibit in XTEM investigations locally abrupt interfaces to the single-crystalline Al 2 O 3 top layer and bulk, while thickness and depth position are subjected to variations. The layers grow by a ripening process of oriented Al precipitates, which at low doses exist at two different orientations. With increasing dose, precipitates with one out of the two orientations are observed to exist preferentially, finally leading to the formation of a single-crystalline layer. Al outdiffusion to the surface and the formation of spherical Al clusters at the surface are found to be competing processes to buried layer formation. The formation of Al layers is described by Rutherford Backscattering Spectroscopy (RBS), Cross-section transmission electron microscopy (XTEM) and Scanning electron microscopy (SEM) studies as a function of dose, temperature and substrate orientation

  1. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  2. Area-selective atomic layer deposition of platinum using photosensitive polyimide.

    Science.gov (United States)

    Vervuurt, René H J; Sharma, Akhil; Jiao, Yuqing; Kessels, Wilhelmus Erwin M M; Bol, Ageeth A

    2016-10-07

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a reference. The results show that polyimide has excellent selectivity towards the Pt deposition, after 1000 ALD cycles less than a monolayer of Pt is deposited on the polyimide surface. The polyimide film could easily be removed after ALD using a hydrogen plasma, due to a combination of weakening of the polyimide resist during Pt ALD and the catalytic activity of Pt traces on the polyimide surface. Compared to PMMA for AS-ALD of Pt, polyimide has better temperature stability. This resulted in an improved uniformity of the Pt deposits and superior definition of the Pt patterns. In addition, due to the absence of reflow contamination using polyimide the nucleation phase during Pt ALD is drastically shortened. Pt patterns down to 3.5 μm were created with polyimide, a factor of ten smaller than what is possible using PMMA, at the typical Pt ALD processing temperature of 300 °C. Initial experiments indicate that after further optimization of the polyimide process Pt features down to 100 nm should be possible, which makes AS-ALD of Pt using photosensitive polyimide a promising candidate for patterning at the nanoscale.

  3. Defect analysis in low temperature atomic layer deposited Al{sub 2}O{sub 3} and physical vapor deposited SiO barrier films and combination of both to achieve high quality moisture barriers

    Energy Technology Data Exchange (ETDEWEB)

    Maindron, Tony, E-mail: tony.maindron@cea.fr; Jullien, Tony; André, Agathe [Université Grenoble-Alpes, CEA, LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France)

    2016-05-15

    Al{sub 2}O{sub 3} [20 nm, atomic layer deposition (ALD)] and SiO films' [25 nm, physical vacuum deposition (PVD)] single barriers as well as hybrid barriers of the Al{sub 2}O{sub 3}/SiO or SiO/Al{sub 2}O{sub 3} have been deposited onto single 100 nm thick tris-(8-hydroxyquinoline) aluminum (AlQ{sub 3}) organic films made onto silicon wafers. The defects in the different barrier layers could be easily observed as nonfluorescent AlQ{sub 3} black spots, under ultraviolet light on the different systems stored into accelerated aging conditions (85 °C/85% RH, ∼2000 h). It has been observed that all devices containing an Al{sub 2}O{sub 3} layer present a lag time τ from which defect densities of the different systems start to increase significantly. This is coherent with the supposed pinhole-free nature of fresh, ALD-deposited, Al{sub 2}O{sub 3} films. For t > τ, the number of defect grows linearly with storage time. For devices with the single Al{sub 2}O{sub 3} barrier layer, τ has been estimated to be 64 h. For t > τ, the defect occurrence rate has been calculated to be 0.268/cm{sup 2}/h. Then, a total failure of fluorescence of the AlQ{sub 3} film appears between 520 and 670 h, indicating that the Al{sub 2}O{sub 3} barrier has been totally degraded by the hot moisture. Interestingly, the device with the hybrid barrier SiO/Al{sub 2}O{sub 3} shows the same characteristics as the device with the single Al{sub 2}O{sub 3} barrier (τ = 59 h; 0.246/cm{sup 2}/h for t > τ), indicating that Al{sub 2}O{sub 3} ALD is the factor that limits the performance of the barrier system when it is directly exposed to moisture condensation. At the end of the storage period (1410 h), the defect density for the system with the hybrid SiO/Al{sub 2}O{sub 3} barrier is 120/cm{sup 2}. The best sequence has been obtained when Al{sub 2}O{sub 3} is passivated by the SiO layer (Al{sub 2}O{sub 3}/SiO). In that case, a large lag time of 795 h and a very

  4. Carbon decorative coatings by dip-, spin-, and spray-assisted layer-by-layer assembly deposition.

    Science.gov (United States)

    Hong, Jinkee; Kang, Sang Wook

    2011-09-01

    We performed a comparative surface analysis of all-carbon nano-objects (multiwall carbon nanotubes (MWNT) or graphene oxide (GO) sheets) based multilayer coatings prepared using three widely used nanofilm fabrication methods: dip-, spin-, and spray-assisted layer-by-layer (LbL) deposition. The resultant films showed a marked difference in their growth mechanisms and surface morphologies. Various carbon decorative coatings were synthesized with different surface roughness values, despite identical preparation conditions. In particular, smooth to highly rough all-carbon surfaces, as determined by atomic force microscopy (AFM) and scanning electron microscopy (SEM), were readily obtained by manipulating the LbL deposition methods. As was confirmed by the AFM and SEM analyses, this finding indicated the fundamental morphological evolution of one-dimensional nano-objects (MWNT) and two-dimensional nano-objects (GO) by control of the surface roughness through the deposition method. Therefore, an analysis of the three LbL-assembly methods presented herein may offer useful information about the industrial use of carbon decorative coatings and provide an insight into ways to control the structures of multilayer coatings by tuning the morphologies of carbon nano-objects.

  5. Massive CO2 Ice Deposits Sequestered in the South Polar Layered Deposits of Mars

    Science.gov (United States)

    Phillips, Roger J.; Davis, Brian J.; Tanaka, Kenneth L.; Byrne, Shane; Mellon, Michael T.; Putzig, Nathaniel E.; Haberle, Robert M.; Kahre, Melinda A.; Campbell, Bruce A.; Carter, Lynn M.; Smith, Isaac B.; Holt, John W.; Smrekar, Suzanne E.; Nunes, Daniel C.; Plaut, Jeffrey J.; Egan, Anthony F.; Titus, Timothy N.; Seu, Roberto

    2011-01-01

    Shallow Radar soundings from the Mars Reconnaissance Orbiter reveal a buried deposit of carbon dioxide (CO2) ice within the south polar layered deposits of Mars with a volume of 9500 to 12,500 cubic kilometers, about 30 times that previously estimated for the south pole residual cap. The deposit occurs within a stratigraphic unit that is uniquely marked by collapse features and other evidence of interior CO2 volatile release. If released into the atmosphere at times of high obliquity, the CO2 reservoir would increase the atmospheric mass by up to 80%, leading to more frequent and intense dust storms and to more regions where liquid water could persist without boiling.

  6. Layer-by-layer deposition of zirconium oxide films from aqueous solutions for friction reduction in silicon-based microelectromechanical system devices

    International Nuclear Information System (INIS)

    Liu Junfu; Nistorica, Corina; Gory, Igor; Skidmore, George; Mantiziba, Fadziso M.; Gnade, Bruce E.

    2005-01-01

    This work reports layer-by-layer deposition of zirconium oxide on a Si surface from aqueous solutions using the successive ionic layer adsorption and reaction technique. The process consists of repeated cycles of adsorption of zirconium precursors, water rinse, and hydrolysis. The film composition was determined by X-ray photoelectron spectroscopy. The film thickness was determined by Rutherford backscattering spectrometry, by measuring the Zr atom concentration. The average deposition rate from a 0.1 M Zr(SO 4 ) 2 solution on a SiO 2 /Si surface is 0.62 nm per cycle. Increasing the acidity of the zirconium precursor solution inhibits the deposition of the zirconium oxide film. Atomic force microscopy shows that the zirconium oxide film consists of nanoparticles of 10-50 nm in the lateral dimension. The surface roughness increased with increasing number of deposition cycles. Friction measurements made with a microelectromechanical system device reveal a reduction of 45% in the friction coefficient of zirconium oxide-coated surfaces vs. uncoated surfaces in air

  7. Novel thermal barrier coatings based on La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}/8YSZ double-ceramic-layer systems deposited by electron beam physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua, E-mail: zhxuciac@yahoo.com.cn [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Shimei; He Limin; Mu Rende; Huang Guanghong [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Cao Xueqiang [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2011-03-17

    Research highlights: > LZ7C3 and YSZ have good chemical compatibility for the formation of DCL coating. > DCL coating has a longer lifetime than that of single layer coating of LZ7C3 or YSZ. > Similar TECs of LZ7C3 with YSZ coatings and YSZ coating with TGO layer. > Unique growth modes of columns within DCL coating. > Outward diffusion of Cr element (bond coat) into LZ7C3 layer. - Abstract: Double-ceramic-layer (DCL) thermal barrier coatings (TBCs) of La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7} (LZ7C3) and yttria stabilized zirconia (YSZ) were deposited by electron beam-physical vapor deposition (EB-PVD). The thermal cycling test at 1373 K in an air furnace indicates the DCL coating has a much longer lifetime than the single layer LZ7C3 coating, and even longer than that of the single layer YSZ coating. The superior sintering-resistance of LZ7C3 coating, the similar thermal expansion behaviors of YSZ interlayer with LZ7C3 coating and thermally grown oxide (TGO) layer, and the unique growth modes of columns within DCL coating are all very helpful to the prolongation of thermal cycling life of DCL coating. The failure of DCL coating is mainly a result of the reduction-oxidation of cerium oxide, the crack initiation, propagation and extension, the abnormal oxidation of bond coat, the degradation of t'-phase in YSZ coating and the outward diffusion of Cr alloying element into LZ7C3 coating.

  8. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  9. In vitro characterization of hydroxyapatite layers deposited by APS and HVOF thermal spraying methods

    Directory of Open Access Journals (Sweden)

    Radu Alexandru Roşu

    2012-03-01

    Full Text Available Titanium alloys are successfully used in medicine as implants due to their high mechanical properties and good biocompatibility. To improve implant osseointegration of titanium alloys, they are covered with hydroxyapatite because of its bioactive properties. Coating the implants with hydroxyapatite by thermal spraying, due to the temperatures developed during the deposition process, the structure can be degraded, leading to formation of secondary phases, such as TCP, TT CP, CaO. The paper presents the experimental results of hydroxyapatite layers deposition by two thermal spraying methods: Atmospheric Plasma Spraying (APS and High Velocity Oxy-Fuel (HVOF. The microstructure of the deposited layers is characterized by X-ray diffraction analysis and electronic microscopy. The bioactivity of the hydroxyapatite layers was investigated in Simulated Body Fluid (SBF by immersing the covered samples deposited by the two thermal spraying methods. In both cases the coatings did not present defects as cracks or microcracks. X-ray diffraction performed on hydroxyapatite deposited layers shows that the structure was strongly influenced by plasma jet temperature, the structure consisting mainly of TCP (Ca3PO42. The samples deposited by HVO F after immersing in SBF lead to formation of biological hydroxyapatite, certifying the good bioactivity of the coatings.

  10. Microstructural characterization of chemical bath deposited and sputtered Zn(O,S) buffer layers

    International Nuclear Information System (INIS)

    Gautron, E.; Buffière, M.; Harel, S.; Assmann, L.; Arzel, L.; Brohan, L.; Kessler, J.; Barreau, N.

    2013-01-01

    The present work aims at investigating the microstructure of Zn(O,S) buffer layers relative to their deposition route, namely either chemical bath deposition (CBD) or RF co-sputtering process (PVD) under pure Ar. The core of the study consists of cross-sectional transmission electron microscopy (TEM) characterization of the differently grown Zn(O,S) thin films on co-evaporated Cu(In,Ga)Se 2 (CIGSe) absorbers. It shows that the morphology of Zn(O,S) layer deposited on CIGSe using CBD process is made of a thin layer of well oriented ZnS sphalerite-(111) and/or ZnS wurtzite-(0002) planes parallel to CIGSe chalcopyrite-(112) planes at the interface with CIGSe followed by misoriented nanometer-sized ZnS crystallites in an amorphous phase. As far as (PVD)Zn(O,S) is concerned, the TEM analyses reveal two different microstructures depending on the S-content in the films: for [S] / ([O] + [S]) = 0.6, the buffer layer is made of ZnO zincite and ZnS wurtzite crystallites grown nearly coherently to each other, with (0002) planes nearly parallel with CIGSe-(112) planes, while for [S] / ([O] + [S]) = 0.3, it is made of ZnO zincite type crystals with O atoms substituted by S atoms, with (0002) planes perfectly aligned with CIGSe-(112) planes. Such microstructural differences can explain why photovoltaic performances are dependent on the Zn(O,S) buffer layer deposition route. - Highlights: ► Zn(O,S) layers were grown by chemical bath (CBD) or physical vapor (PVD) deposition. ► For CBD, a 3 nm ZnS layer is followed by ZnS nano-crystallites in an amorphous phase. ► For PVD with [S] / ([O] + [S]) = 0.3, the layer has a Zn(O,S) zincite structure. ► For PVD with [S] / ([O] + [S]) = 0.6, ZnS wurtzite and ZnO zincite phases are mixed

  11. Effects of pre-sputtered Al interlayer on the atomic layer deposition of Al{sub 2}O{sub 3} films on Mg–10Li–0.5Zn alloy

    Energy Technology Data Exchange (ETDEWEB)

    Wang, P.C.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, Taipei, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei, Taiwan (China); Lin, K.M. [Department of Materials Science and Engineering, Feng Chia University, Taichung, Taiwan (China); Yeh, M.T. [Amli Materials Technology Co. Ltd., New Taipei, Taiwan (China)

    2013-04-01

    In this study, a dual-layer of Al/Al{sub 2}O{sub 3} films was deposited on the Mg–10Li–0.5Zn substrate using both techniques of magnetron sputtering and atomic layer deposition (ALD). The pre-sputtered Al interlayer has a crystalline structure and the ALD-Al{sub 2}O{sub 3} film is amorphous. The Al interlayer could effectively obstruct the diffusion out of Li atoms from the Mg–10Li–0.5Zn substrate during the deposition of ALD-Al{sub 2}O{sub 3} film. The Mg–10Li–0.5Zn specimen with a dual-layer of Al/Al{sub 2}O{sub 3} films exhibits a much better corrosion resistance than those specimens with a single layer of sputtered Al or ALD-Al{sub 2}O{sub 3}.

  12. Ionically Paired Layer-by-Layer Hydrogels: Water and Polyelectrolyte Uptake Controlled by Deposition Time

    Directory of Open Access Journals (Sweden)

    Victor Selin

    2018-01-01

    Full Text Available Despite intense recent interest in weakly bound nonlinear (“exponential” multilayers, the underlying structure-property relationships of these films are still poorly understood. This study explores the effect of time used for deposition of individual layers of nonlinearly growing layer-by-layer (LbL films composed of poly(methacrylic acid (PMAA and quaternized poly-2-(dimethylaminoethyl methacrylate (QPC on film internal structure, swelling, and stability in salt solution, as well as the rate of penetration of invading polyelectrolyte chains. Thicknesses of dry and swollen films were measured by spectroscopic ellipsometry, film internal structure—by neutron reflectometry (NR, and degree of PMAA ionization—by Fourier-transform infrared spectroscopy (FTIR. The results suggest that longer deposition times resulted in thicker films with higher degrees of swelling (up to swelling ratio as high as 4 compared to dry film thickness and stronger film intermixing. The stronger intermixed films were more swollen in water, exhibited lower stability in salt solutions, and supported a faster penetration rate of invading polyelectrolyte chains. These results can be useful in designing polyelectrolyte nanoassemblies for biomedical applications, such as drug delivery coatings for medical implants or tissue engineering matrices.

  13. Dark material in the polar layered deposits and dunes on Mars

    Science.gov (United States)

    Herkenhoff, Ken E.; Vasavada, Ashwin R.

    1999-07-01

    Viking infrared thermal mapping and bistatic radar data suggest that the bulk density of the north polar erg material is much lower than that of the average Martian surface or of dark dunes at lower latitudes. We have derived a thermal inertia of 245-280Jm-2s-1/2K-1(5.9-6.7×10-3calcm-2s-1/2K-1) for the Proctor dune field and 25-150Jm-2s-1/2K-1(0.6-3.6×10-3calcm-2s-1/2K-1) for the north polar erg. The uniqueness of the thermophysical properties of the north polar erg material may be due to a unique polar process that has created them. The visible and near-infrared spectral reflectance of the erg suggests that the dark material may be composed of basalt or ferrous clays. These data are consistent with the dark material being composed of basaltic ash or filamentary sublimate residue (FSR) particles derived from erosion of the layered deposits. Dark dust may be preferentially concentrated at the surface of the layered deposits by the formation of FSR particles upon sublimation of water ice. Further weathering and erosion of these areas of exposed layered deposits may form the dark, saltating material that is found in both polar regions. Dark FSR particles may saltate for great distances before eventually breaking down into dust grains, re-mixing with the global dust reservoir, and being recycled into the polar layered deposits via atmospheric suspension.

  14. Raman study of supported molybdenum disulfide single layers

    Science.gov (United States)

    Durrer, William; Manciu, Felicia; Afanasiev, Pavel; Berhault, Gilles; Chianelli, Russell

    2008-10-01

    Owing to the increasing demand for clean transportation fuels, highly dispersed single layer transition metal sulfides such as MoS2-based catalysts play an important role in catalytic processes for upgrading and removing sulfur from heavy petroleum feed. In its crystalline bulk form, MoS2 is chemically rather inactive due to a strong tendency to form highly stacked layers, but, when dispersed as single-layer nanoclusters on a support, the MoS2 becomes catalytically active in the hydrogenolysis of sulphur and nitrogen from organic compounds (hydrotreating catalysis). In the present studies alumina-supported MoS2 samples were analyzed by confocal Raman spectroscopy. Evidence of peaks at 152 cm-1, 234 cm-1, and 336 cm-1, normally not seen in the Raman spectrum of the standard bulk crystal, confirms the formation of single layers of MoS2. Furthermore, the presence of the 383 cm-1 Raman line suggests the trigonal prismatic coordination of the formed MoS2 single layers. Depending on the sample preparation method, a restacking of MoS2 layers is also observed, mainly for ex-thiomolybdate samples sulfided at 550 C.

  15. Investigation of Al2O3 barrier film properties made by atomic layer deposition onto fluorescent tris-(8-hydroxyquinoline) aluminium molecular films

    International Nuclear Information System (INIS)

    Maindron, Tony; Aventurier, Bernard; Ghazouani, Ahlem; Jullien, Tony; Rochat, Névine; Simon, Jean-Yves; Viasnoff, Emilie

    2013-01-01

    Al 2 O 3 films have been deposited at 85 °C by atomic layer deposition onto single 100 nm thick tris-(8-hydroxyquinoline) aluminium (AlQ 3 ) films made onto silicon wafers. It has been found that a thick ALD-deposited Al 2 O 3 layer (> 11 nm) greatly prevents the photo-oxidation of AlQ 3 films when exposed to continuous UV irradiation (350 mW/cm 2 ). Thin Al 2 O 3 thicknesses (< 11 nm) on the contrary yield lower barrier performances. Defects in the Al 2 O 3 layer have been easily observed as non-fluorescent AlQ 3 singularities, or black spots, under UV light on the system Si/AlQ 3 /Al 2 O 3 stored into laboratory conditions (22 °C/50% Relative Humidity (RH)) for long time scale (∼ 2000 h). Accelerated aging conditions in a climatic chamber (85 °C/85% RH) also allow faster visualization of the same defects (168 h). The black spot density grows upon time and the black spot density occurrence rates have been calculated to be 0.024 h −1 ·cm −2 and 0.243 h −1 ·cm −2 respectively for the two testing conditions. A detailed investigation of these defects did show that they cannot be ascribed to the presence of a detectable particle. In that sense they are presumably the consequence of the existence of nanometre-scaled defects which cannot be detected onto fresh samples. Interestingly, an additional overcoating of ebeam-deposited SiO 2 onto the Si/AlQ 3 /Al 2 O 3 sample helps to decrease drastically the black spot density occurrence rates down to 0.004 h −1 ·cm −2 and 0.04 h −1 ·cm −2 respectively for 22 °C/50% RH and 85 °C/85% RH testing conditions. These observations highlight the moisture sensitivity of low temperature ALD-deposited Al 2 O 3 films and confirm the general idea that a single Al 2 O 3 ALD film performs as an ultra-high barrier but needs to be overprotected from water condensation by an additional moisture-stable layer. - Highlights: • Thin Al 2 O 3 films have been deposited by atomic layer deposition onto organic films.

  16. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  17. Flaking of co-deposited hydrogenated carbon layers on the TFTR limiter

    International Nuclear Information System (INIS)

    Skinner, C.H.; Gentile, C.A.; Menon, M.M.; Barry, R.E.

    1999-01-01

    Flaking of co-deposited layers on the inner limiter tiles was recently observed in TFTR. This phenomenon was unexpected and has occurred since the termination of plasma operations on 4 April 1997. Flaking affects approximately 15% of the observable tiles and appears on isotropic graphite but not on carbon fibre composite tiles. Photographic images of the flakes and precise measurements of the limiter geometry are reported. The mobilizability of tritium retained in co-deposited layers is an important factor in safety analyses of future DT reactors. A programme to analyse the flakes and tiles is underway. (author). Letter-to-the-editor

  18. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  19. Atomic layer deposition of Al{sub 2}O{sub 3} and Al{sub 2}O{sub 3}/TiO{sub 2} barrier coatings to reduce the water vapour permeability of polyetheretherketone

    Energy Technology Data Exchange (ETDEWEB)

    Ahmadzada, Tamkin, E-mail: tahm4852@uni.sydney.edu.au [School of Aerospace, Mechanical and Mechatronic Engineering, University of Sydney, NSW 2006 (Australia); McKenzie, David R.; James, Natalie L.; Yin, Yongbai [School of Physics, University of Sydney, NSW 2006 (Australia); Li, Qing [School of Aerospace, Mechanical and Mechatronic Engineering, University of Sydney, NSW 2006 (Australia)

    2015-09-30

    We demonstrate significantly enhanced barrier properties of polyetheretherketone (PEEK) against water vapour penetration by depositing Al{sub 2}O{sub 3} or Al{sub 2}O{sub 3}/TiO{sub 2} nanofilms grown by atomic layer deposition (ALD). Nanoindentation analysis revealed good adhesion strength of a bilayer Al{sub 2}O{sub 3}/TiO{sub 2} coating to PEEK, while the single layer Al{sub 2}O{sub 3} coating displayed flaking and delamination. We identified three critical design parameters for achieving the optimum barrier properties of ALD Al{sub 2}O{sub 3}/TiO{sub 2} coatings on PEEK. These are a minimum total thickness dependent on the required water vapour transmission rate, the use of an Al{sub 2}O{sub 3}/TiO{sub 2} bilayer coating and the application of the coating to both sides of the PEEK film. Using these design parameters, we achieved a reduction in moisture permeability of PEEK of over two orders of magnitude while maintaining good adhesion strength of the polymer–thin film system. - Highlights: • Atomic layer deposition of Al{sub 2}O{sub 3}/TiO{sub 2} coatings reduced water vapour permeability. • Bilayer coatings reduced the permeability more than single layer coatings. • Bilayer coatings displayed higher adhesion strength than the single layer coatings. • Double-sided coatings performed better than single-sided coatings. • Correlation was found between total thickness and reduced water vapour permeability.

  20. The nanostructure and microstructure of SiC surface layers deposited by MWCVD and ECRCVD

    Science.gov (United States)

    Dul, K.; Jonas, S.; Handke, B.

    2017-12-01

    Scanning electron microscopy (SEM) and Atomic force microscopy (AFM) have been used to investigate ex-situ the surface topography of SiC layers deposited on Si(100) by Microwave Chemical Vapour Deposition (MWCVD) -S1,S2 layers and Electron Cyclotron Resonance Chemical Vapor Deposition (ECRCVD) - layers S3,S4, using silane, methane, and hydrogen. The effects of sample temperature and gas flow on the nanostructure and microstructure have been investigated. The nanostructure was described by three-dimensional surface roughness analysis based on digital image processing, which gives a tool to quantify different aspects of surface features. A total of 13 different numerical parameters used to describe the surface topography were used. The scanning electron image (SEM) of the microstructure of layers S1, S2, and S4 was similar, however, layer S3 was completely different; appearing like grains. Nonetheless, it can be seen that no grain boundary structure is present in the AFM images.

  1. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  2. Deuterium trapping in ion implanted and co-deposited beryllium oxide layers

    International Nuclear Information System (INIS)

    Markin, A.V.; Gorodetsky, A.E.; Zakharov, A.P.; Wu, C.H.

    2000-01-01

    Deuterium trapping in beryllium oxide films irradiated with 400 eV D ions has been studied by thermal desorption spectroscopy (TDS). It has been found that for thermally grown BeO films implanted in the range 300 - 900 K the total deuterium retention doesn't depend whereas TDS spectra do markedly on irradiation temperature. For R.T. implantation the deuterium is released in a wide range from 500 to 1100 K. At implantation above 600 K the main portion of retained deuterium is released in a single peak centered at about 1000 K. The similar TDS peak is measured for D/BeO co-deposited layer. In addition we correlate our implantation data on BeO with the relevant data on beryllium metal and carbon. The interrelations between deuterium retention and microstructure are discussed. (orig.)

  3. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  4. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov (United States)

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  5. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  6. Improvement of oxidation resistance of copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Lin, M.C. [Research Center for Biomedical Devices and Prototyping Production, Taipei Medical University, No. 250, Wu-Hsing Street, Taipei 110, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China)

    2012-10-01

    Graphical abstract: Results of glancing incident angle diffraction (GIXD) show the bare-Cu specimen was attacked by oxidation, whereas the coated-Cu specimens prevented from this problem. Highlights: Black-Right-Pointing-Pointer Deposition of Al{sub 2}O{sub 3} films on pure copper by an atomic layer deposition (ALD) technique. Black-Right-Pointing-Pointer Analysis of properties of the films coated at various substrate temperatures using the ALD technique. Black-Right-Pointing-Pointer Identification of the improvement of oxidation resistance of pure copper by the ALD-Al{sub 2}O{sub 3} films. Black-Right-Pointing-Pointer Assessment of the durability of the ALD-Al{sub 2}O{sub 3} films by adhesion strength. - Abstract: Al{sub 2}O{sub 3} films were deposited by the atomic layer deposition (ALD) technique onto pure copper at temperatures in the range 100-200 Degree-Sign C. The chemical composition, microstructure, and mechanic properties of the ALD-deposited Al{sub 2}O{sub 3} films were systematically analyzed. The variations in the film characteristics with substrate temperature were observed. Oxidation trials revealed that 20-nm-thick Al{sub 2}O{sub 3} films deposited at a substrate temperature as low as 100 Degree-Sign C suppress oxidative attack on pure copper. The Al{sub 2}O{sub 3} films also showed excellent durability of adhesion strength, according to predictions using the Coffin-Manson model based on the results of accelerated temperature cycling tests. These features indicate that ALD-deposited Al{sub 2}O{sub 3} film is a very promising candidate to be a protective coating for pure copper.

  7. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  8. Chemical vapor deposition growth of boron-carbon-nitrogen layers from methylamine borane thermolysis products

    Science.gov (United States)

    Leardini, Fabrice; Flores, Eduardo; Galvis E, Andrés R.; Ferrer, Isabel J.; Ramón Ares, José; Sánchez, Carlos; Molina, Pablo; van der Meulen, Herko P.; Gómez Navarro, Cristina; López Polin, Guillermo; Urbanos, Fernando J.; Granados, Daniel; García-García, F. Javier; Demirci, Umit B.; Yot, Pascal G.; Mastrangelo, Filippo; Grazia Betti, Maria; Mariani, Carlo

    2018-01-01

    This work investigates the growth of B-C-N layers by chemical vapor deposition using methylamine borane (MeAB) as the single-source precursor. MeAB has been synthesized and characterized, paying particular attention to the analysis of its thermolysis products, which are the gaseous precursors for B-C-N growth. Samples have been grown on Cu foils and transferred onto different substrates for their morphological, structural, chemical, electronic and optical characterizations. The results of these characterizations indicate a segregation of h-BN and graphene-like (Gr) domains. However, there is an important presence of B and N interactions with C at the Gr borders, and of C interacting at the h-BN-edges, respectively, in the obtained nano-layers. In particular, there is a significant presence of C-N bonds, at Gr/h-BN borders and in the form of N doping of Gr domains. The overall B:C:N contents in the layers is close to 1:3:1.5. A careful analysis of the optical bandgap determination of the obtained B-C-N layers is presented, discussed and compared with previous seminal works with samples of similar composition.

  9. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  10. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  11. Single layer and multilayer wear resistant coatings of (Ti,Al)N: a review

    International Nuclear Information System (INIS)

    PalDey, S.; Deevi, S.C.

    2003-01-01

    periodicity of 5-10 nm allow creation of coatings with different properties than PVD deposited single layered thick coatings with columnar grain structure. A range of (Ti,Al)N based multilayers containing layers of (Ti,Al)CN, (Ti,Nb)N, TiN, AlN/TiN, CrN, Mo and WC are also reviewed. It is now possible to design new wear resistant or functional coatings based on a multilayer or a multicomponent system to meet the demanding applications of advanced materials

  12. Silicon protected with atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Seger, Brian; Tilley, David S.; Pedersen, Thomas

    2013-01-01

    The semiconducting materials used for photoelectrochemical (PEC) water splitting must withstand the corrosive nature of the aqueous electrolyte over long time scales in order to be a viable option for large scale solar energy conversion. Here we demonstrate that atomic layer deposited titanium di...

  13. Scanning electron microscopy characterisation of carbon deposited layers in Tore Supra

    International Nuclear Information System (INIS)

    Delchambre, E.; Brosset, C.; Reichle, R.; Devynck, P.; Guirlet, R.; Tsitrone, E.; Saikali, W.; Dominici, C.; Charai, A.

    2003-01-01

    For long discharges in Tore-Supra, an infra-red safety system has been installed to survey surface temperature of the target plates located below the toroidal pump limiter. A shift in temperature is attributed to the growth of a carbon layer at the surface of the neutralizer and has been estimated to a temperature increase of 400 Celsius degrees between virgin and layered surfaces. For temperature safety analysis, target plates have been cleaned and carbon layers were sampled for scanning electronic microscopy (SEM) study. SEM micrographs have allowed to measure the deposited layer thickness and to study the specific fractal and stratified structure. Energy dispersive X-ray spectroscopy analysis has permitted to distinguish carbon layers corresponding to boronization and then to deduce an average growth rate of about 20 nm/s. The growth rate is not constant and is likely to depend on plasma operation parameters. These analyses completed by time of flight secondary ions mass spectrometry (ToF-SIMS) have shown a beneficial effect of the boronization on metallic contamination of the plasma, confirming the in situ optical spectroscopic measurements. These analyses have also shown an increase of hydrogen storage in carbon layer due to boronization. Although the measurements performed on deposited layer are very local, the results reflect the history of the 2002 campaign. (A.C.)

  14. Experimental research on the stability of armour and secondary layer in a single layered Tetrapod breakwater

    NARCIS (Netherlands)

    De Jong, W.; Verhagen, H.J.; Olthof, J.

    2004-01-01

    Physical model tests were done on an armour of Tetrapods, placed in a single layer. The objective of the investigations was to study the stability of the secondary layer, and to see if the material of this secondary layer could be washed out through the single layer of Tetrapods. It was concluded

  15. OES control of a low-pressure DC arc at TiN layer deposition

    International Nuclear Information System (INIS)

    Andreev, M.A.; Maksimenko, V.N.; Ershov-Pavlov, E.A.

    1995-01-01

    Results are presented of a low-pressure DC arc study as applied for a deposition of TiN wear-resistant coatings in a commercial plant. Plasma parameters of the arc have been measured by optical emission spectroscopy. The plasma emission spectra have been recorded using a grating spectrometer equipped with an on line computer. Changes in the resulting layers due to a difference in working conditions have been determined by metallography and X-ray analysis giving composition, microstructure and thickness of the resulting layers. Using the data, a correlation between emission spectra of the arc and the TiN layer characteristics has been found. The results allow monitoring parameters of the deposition process to obtain necessary quality of the layer and to increase the process efficiency

  16. Band Alignment at GaN/Single-Layer WSe2 Interface

    KAUST Repository

    Tangi, Malleswararao

    2017-02-21

    We study the band discontinuity at the GaN/single-layer (SL) WSe2 heterointerface. The GaN thin layer is epitaxially grown by molecular beam epitaxy on chemically vapor deposited SL-WSe2/c-sapphire. We confirm that the WSe2 was formed as an SL from structural and optical analyses using atomic force microscopy, scanning transmission electron microscopy, micro-Raman, absorbance, and microphotoluminescence spectra. The determination of band offset parameters at the GaN/SL-WSe2 heterojunction is obtained by high-resolution X-ray photoelectron spectroscopy, electron affinities, and the electronic bandgap values of SL-WSe2 and GaN. The valence band and conduction band offset values are determined to be 2.25 ± 0.15 and 0.80 ± 0.15 eV, respectively, with type II band alignment. The band alignment parameters determined here provide a route toward the integration of group III nitride semiconducting materials with transition metal dichalcogenides (TMDs) for designing and modeling of their heterojunction-based electronic and optoelectronic devices.

  17. Band Alignment at GaN/Single-Layer WSe2 Interface

    KAUST Repository

    Tangi, Malleswararao; Mishra, Pawan; Tseng, Chien-Chih; Ng, Tien Khee; Hedhili, Mohamed N.; Anjum, Dalaver H.; Alias, Mohd Sharizal; Wei, Nini; Li, Lain-Jong; Ooi, Boon S.

    2017-01-01

    We study the band discontinuity at the GaN/single-layer (SL) WSe2 heterointerface. The GaN thin layer is epitaxially grown by molecular beam epitaxy on chemically vapor deposited SL-WSe2/c-sapphire. We confirm that the WSe2 was formed as an SL from structural and optical analyses using atomic force microscopy, scanning transmission electron microscopy, micro-Raman, absorbance, and microphotoluminescence spectra. The determination of band offset parameters at the GaN/SL-WSe2 heterojunction is obtained by high-resolution X-ray photoelectron spectroscopy, electron affinities, and the electronic bandgap values of SL-WSe2 and GaN. The valence band and conduction band offset values are determined to be 2.25 ± 0.15 and 0.80 ± 0.15 eV, respectively, with type II band alignment. The band alignment parameters determined here provide a route toward the integration of group III nitride semiconducting materials with transition metal dichalcogenides (TMDs) for designing and modeling of their heterojunction-based electronic and optoelectronic devices.

  18. Surface Morphology Transformation Under High-Temperature Annealing of Ge Layers Deposited on Si(100).

    Science.gov (United States)

    Shklyaev, A A; Latyshev, A V

    2016-12-01

    We study the surface morphology and chemical composition of SiGe layers after their formation under high-temperature annealing at 800-1100 °C of 30-150 nm Ge layers deposited on Si(100) at 400-500 °C. It is found that the annealing leads to the appearance of the SiGe layers of two types, i.e., porous and continuous. The continuous layers have a smoothened surface morphology and a high concentration of threading dislocations. The porous and continuous layers can coexist. Their formation conditions and the ratio between their areas on the surface depend on the thickness of deposited Ge layers, as well as on the temperature and the annealing time. The data obtained suggest that the porous SiGe layers are formed due to melting of the strained Ge layers and their solidification in the conditions of SiGe dewetting on Si. The porous and dislocation-rich SiGe layers may have properties interesting for applications.

  19. Atomic layer deposition of Al-doped ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit; Okazaki, Ryuji; Terasaki, Ichiro [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland); Department of Physics, Nagoya University, Nagoya 464-8602 (Japan)

    2013-01-15

    Atomic layer deposition has been used to fabricate thin films of aluminum-doped ZnO by depositing interspersed layers of ZnO and Al{sub 2}O{sub 3} on borosilicate glass substrates. The growth characteristics of the films have been investigated through x-ray diffraction, x-ray reflection, and x-ray fluorescence measurements, and the efficacy of the Al doping has been evaluated through optical reflectivity and Seebeck coefficient measurements. The Al doping is found to affect the carrier density of ZnO up to a nominal Al dopant content of 5 at. %. At nominal Al doping levels of 10 at. % and higher, the structure of the films is found to be strongly affected by the Al{sub 2}O{sub 3} phase and no further carrier doping of ZnO is observed.

  20. Low temperature synthesis and field emission characteristics of single to few layered graphene grown using PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Avshish; Khan, Sunny; Zulfequar, M.; Harsh; Husain, Mushahid, E-mail: mush_reslab@rediffmail.com

    2017-04-30

    Highlights: • Graphene was synthesized by PECVD system at a low temperature of 600 °C. • From different characterization techniques, the presence of single and few layered graphene was confirmed. • X-ray diffraction pattern of the graphene showed single crystalline nature of the film. • The as-grown graphene films were observed extremely good field emitters with long term emission current stability. - Abstract: In this work, high-quality graphene has successfully been synthesized on copper (Cu) coated Silicon (Si) substrate at very large-area by plasma enhanced chemical vapor deposition system. This method is low cost and highly effective for synthesizing graphene relatively at low temperature of 600 °C. Electron microscopy images have shown that surface morphology of the grown samples is quite uniform consisting of single layered graphene (SLG) to few layered graphene (FLG). Raman spectra reveal that graphene has been grown with high-quality having negligible defects and the observation of G and G' peaks is also an indicative of stokes phonon energy shift caused due to laser excitation. Scanning probe microscopy image also depicts the synthesis of single to few layered graphene. The field emission characteristics of as-grown graphene samples were studied in a planar diode configuration at room temperature. The graphene samples were observed to be a good field emitter having low turn-on field, higher field amplification factor and long term emission current stability.

  1. Deposition temperature dependence of material and Si surface passivation properties of O3-based atomic layer deposited Al2O3-based films and stacks

    International Nuclear Information System (INIS)

    Bordihn, Stefan; Mertens, Verena; Müller, Jörg W.; Kessels, W. M. M.

    2014-01-01

    The material composition and the Si surface passivation of aluminum oxide (Al 2 O 3 ) films prepared by atomic layer deposition using Al(CH 3 ) 3 and O 3 as precursors were investigated for deposition temperatures (T Dep ) between 200 °C and 500 °C. The growth per cycle decreased with increasing deposition temperature due to a lower Al deposition rate. In contrast the material composition was hardly affected except for the hydrogen concentration, which decreased from [H] = 3 at. % at 200 °C to [H]  2 O 3 /SiN x stacks complemented the work and revealed similar levels of surface passivation as single-layer Al 2 O 3 films, both for the chemical and field-effect passivation. The fixed charge density in the Al 2 O 3 /SiN x stacks, reflecting the field-effect passivation, was reduced by one order of magnitude from 3·10 12  cm −2 to 3·10 11  cm −2 when T Dep was increased from 300 °C to 500 °C. The level of the chemical passivation changed as well, but the total level of the surface passivation was hardly affected by the value of T Dep . When firing films prepared at of low T Dep , blistering of the films occurred and this strongly reduced the surface passivation. These results presented in this work demonstrate that a high level of surface passivation can be achieved for Al 2 O 3 -based films and stacks over a wide range of conditions when the combination of deposition temperature and annealing or firing temperature is carefully chosen

  2. Protective silicon coating for nanodiamonds using atomic layer deposition

    International Nuclear Information System (INIS)

    Lu, J.; Wang, Y.H.; Zang, J.B.; Li, Y.N.

    2007-01-01

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH 4 ). The coating was performed by sequential reaction of SiH 4 saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability

  3. Protective silicon coating for nanodiamonds using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, J. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Wang, Y.H. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Zang, J.B. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China) and College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)]. E-mail: diamondzjb@163.com; Li, Y.N. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)

    2007-01-30

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH{sub 4}). The coating was performed by sequential reaction of SiH{sub 4} saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability.

  4. Deposition on disordered substrates with precursor layer diffusion

    Science.gov (United States)

    Filipe, J. A. N.; Rodgers, G. J.; Tavassoli, Z.

    1998-09-01

    Recently we introduced a one-dimensional accelerated random sequential adsorption process as a model for chemisorption with precursor layer diffusion. In this paper we consider this deposition process on disordered or impure substrates. The problem is solved exactly on both the lattice and continuum and for various impurity distributions. The results are compared with those from the standard random sequential adsorption model.

  5. Growth and characterization of ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films deposited by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Vlad, A., E-mail: angela.vlad@gmail.com [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Matei, A.; Ion, V.; Luculescu, C.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Zavoianu, R. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania)

    2016-09-01

    Layered double hydroxides (LDHs) are a class of layered materials consisting of positively charged brucite-like layers and exchangeable interlayer anions. Layered double hydroxides containing a transition metal which undergoes a reversible redox reaction in the useful potential range have been proposed as electrode coating materials due to their properties of charge transport and redox catalysts in basic solutions. Ni–Al,(Ni,Mg)–Al and, as reference, non-electronically conductive Mg–Al double hydroxides thin films were obtained via pulsed laser deposition technique. The thin films were deposited on different substrates (Si, glass) by using a Nd:YAG laser (1064 nm) working at a repetition rate of 10 Hz. X-ray diffraction, Atomic Force Microscopy, Energy Dispersive X-ray spectroscopy, Fourier Transform Infra-Red Spectroscopy, Secondary Ions Mass Spectrometry, Impedance Analyzer and ellipsometry were the techniques used for the as deposited thin films investigation. The optical properties of Ni based LDH thin films and the effect of the Ni amount on the structural, morphological and optical response are evidenced. The optical band gap values, covering a domain between 3.84 eV and 4.38 eV, respond to the Ni overall concentration: the higher Ni amount the lower the band gap value. - Highlights: • Ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films were deposited. • The effect of the nickel is evidenced. • The possibility to tailor the materials accompanied by an optical response is shown.

  6. Characterization of amorphous yttria layers deposited by aqueous solutions of Y-chelate alkoxides complex

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Young-Soon, E-mail: kyscjb@i-sunam.com; Lee, Yu-Ri; Kim, Byeong-Joo; Lee, Jae-Hun; Moon, Seung-Hyun; Lee, Hunju

    2015-01-15

    Highlights: • Economical method for crack-free amorphous yttria layer deposition by dip coating. • Simpler process for planar yttria film as a diffusion barrier and nucleation layer. • Easy control over the film properties with better characteristics. • Easy control over the thickness of the deposited films. • A feasible process that can be easily adopted by HTSCC industries. - Abstract: Crack-free amorphous yttria layers were deposited by dip coating in solutions of different Y-chelate alkoxides complex. Three Y-chelate solutions of different concentrations were prepared using yttrium acetate tetrahydrate, yttrium stearic acid as Y source materials. PEG, diethanolamine were used as chelating agents, while ethanol, methanol and tetradecane were used as solvent. Three different combinations of chelating and solvents were used to prepare solutions for Y{sub 2}O{sub 3} dip coating on SUS, electropolished and non-electropolished Hastelloy C-276 substrates. The thickness of the films was varied by changing the number of dipping cycles. At an optimized condition, the substrate surface roughness (rms) value was reduced from ∼50 nm to ∼1 nm over a 10 × 10 μm{sup 2} area. After Y{sub 2}O{sub 3} deposition, MgO was deposited using ion-beam assisted deposition (IBAD), then LaMnO{sub 3} (LMO) was deposited using sputtering and GdBCO was deposited using reactive co-evaporation by deposition and reaction (RCE-DR). Detailed X-ray study indicates that LMO/MgO/Y{sub 2}O{sub 3} and GdBCO/LMO/MgO/Y{sub 2}O{sub 3} stack films have good out-of-plane and in-plane textures with strong c-axis alignment. The critical current (Ic) of GdBCO/LMO/MgO/Y{sub 2}O{sub 3} multilayer structure varied from 190 to 420 A/cm with different solutions, when measured at 77 K. These results demonstrated that amorphous yttria can be easily deposited by dip coating using Y-chelates complex as a diffusion barrier and nucleation layer.

  7. Double-ceramic-layer thermal barrier coatings based on La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}/La{sub 2}Ce{sub 2}O{sub 7} deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Z.H. [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); He, L.M., E-mail: he_limin@yahoo.com [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Mu, R.D.; He, S.M.; Huang, G.H. [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Cao, X.Q., E-mail: xcao@ciac.jl.cn [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-03-15

    Double-ceramic-layer (DCL) thermal barrier coatings (TBCs) of La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7} (LZ7C3) and La{sub 2}Ce{sub 2}O{sub 7} (LC) were deposited by electron beam-physical vapor deposition (EB-PVD). The composition, interdiffusion, surface and cross-sectional morphologies, cyclic oxidation behavior of DCL coating were studied. Energy dispersive spectroscopy and X-ray diffraction analyses indicate that both LZ7C3 and LC coatings are effectively fabricated by a single LZ7C3 ingot with properly controlling the deposition energy. The chemical compatibility of LC coating and thermally grown oxide (TGO) layer is unstable. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} which is the main composition of TGO layer. Additionally, the thermal cycling behavior of DCL coating is influenced by the interdiffusion of Zr and Ce between LZ7C3 and LC coatings. The failure of DCL coating is a result of the sintering of LZ7C3 coating surface, the chemical incompatibility of LC coating and TGO layer and the abnormal oxidation of bond coat. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL coating is an important development direction of TBCs.

  8. Highly effective synthesis of NiO/CNT nanohybrids by atomic layer deposition for high-rate and long-life supercapacitors.

    Science.gov (United States)

    Yu, Lei; Wang, Guilong; Wan, Gengping; Wang, Guizhen; Lin, Shiwei; Li, Xinyue; Wang, Kan; Bai, Zhiming; Xiang, Yang

    2016-09-21

    In this work, we report an atomic layer deposition (ALD) method for the fabrication of NiO/CNT hybrid structures in order to improve electronic conductivity, enhance cycling stability and increase rate capability of NiO used as supercapacitor electrodes. A uniform NiO coating can be well deposited on carbon nanotubes (CNTs) through simultaneously employing O3 and H2O as oxidizing agents in a single ALD cycle of NiO for the first time, with a high growth rate of nearly 0.3 Å per cycle. The electrochemical properties of the as-prepared NiO/CNT were then investigated. The results show that the electrochemical capacitive properties are strongly associated with the thickness of the NiO coating. The NiO/CNT composite materials with 200 cycles of NiO deposition exhibit the best electrochemical properties, involving high specific capacitance (622 F g(-1) at 2 A g(-1), 2013 F g(-1) for NiO), excellent rate capability (74% retained at 50 A g(-1)) and outstanding cycling stability. The impressive results presented here suggest a great potential for the fabrication of composite electrode materials by atomic layer deposition applied in high energy density storage systems.

  9. Covalent assembly of poly(ethyleneimine) via layer-by-layer deposition for enhancing surface density of protein and bacteria attachment

    Energy Technology Data Exchange (ETDEWEB)

    Xia, Bing, E-mail: xiabing@njfu.edu.cn [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Advanced Analysis and Testing Center, Nanjing Forestry University, Nanjing 210037 (China); Shi, Jisen; Dong, Chen; Zhang, Wenyi; Lu, Ye [Key Laboratory of Forest Genetics and Biotechnology (Ministry of Education of China), Nanjing Forestry University, Nanjing 210037 (China); Guo, Ping [Nanjing College of Information Technology, Nanjing 210023 (China)

    2014-02-15

    Covalently assembly of low molecular weight poly(ethyleneimine) was introduced to glass surfaces via glutaraldehyde crosslinking, with focus on its application on protein immobilization or bacteria attachment. Characterizations of Fourier transform infrared spectroscopy and ellipsometry measurement revealed a stepwise growth of poly(ethyleneimine) films by layer-by-layer deposition. After fluorescein isothiocyanate labelling, photoluminescence spectroscopy measurement indicated that the amount of surface accessible amine groups had been gradually enhanced with increasing poly(ethyleneimine) layers deposition. As compared with traditional aminosilanized surfaces, the surface density of amine groups was enhanced by ∼11 times after five layers grafting, which resulted in ∼9-time increasing of surface density of immobilized bovine serum albumin. Finally, these as-prepared PEI multi-films with excellent biocompatibility were adopted as culture substrates to improve Escherichia coli adherence, which showed that their surface density had been increased by ∼251 times.

  10. MAPLE deposition of polypyrrole-based composite layers for bone regeneration

    Energy Technology Data Exchange (ETDEWEB)

    Paun, Irina Alexandra, E-mail: irina.paun@physics.pub.ro [Faculty of Applied Sciences, University Politehnica of Bucharest, RO-060042 (Romania); National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania); Acasandrei, Adriana Maria [Horia Hulubei National Institute for Physics and Nuclear Engineering IFIN-HH, Magurele, Bucharest RO-077125 (Romania); Luculescu, Catalin Romeo, E-mail: catalin.luculescu@inflpr.ro [National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania); Mustaciosu, Cosmin Catalin [Horia Hulubei National Institute for Physics and Nuclear Engineering IFIN-HH, Magurele, Bucharest RO-077125 (Romania); Ion, Valentin [National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania); Mihailescu, Mona; Vasile, Eugenia [Faculty of Applied Sciences, University Politehnica of Bucharest, RO-060042 (Romania); Dinescu, Maria, E-mail: dinescum@nipne.ro [National Institute for Laser, Plasma and Radiation Physics, Magurele, Bucharest RO-077125 (Romania)

    2015-12-01

    Highlights: • PPy-based composite layers for bone regeneration were produced by MAPLE. • Conductive PPy nanograins were embedded in insulating PLGA and PU matrices. • PLGA was chosen for providing biodegradability and PU for toughness and elasticity. • The layers conductivities reached 10{sup −2} S/cm for PPy loadings of 1:10 weight ratios. • The layers promoted osteoblast viability, proliferation and mineralization. - Abstract: We report on biocompatible, electrically conductive layers of polypyrrole (PPy)-based composites obtained by Matrix Assisted Pulsed Laser Evaporation (MAPLE) for envisioned bone regeneration. In order to preserve the conductivity of the PPy while overcoming its lack of biodegradability and low mechanical resilience, conductive PPy nanograins were embedded in two biocompatible, insulating polymeric matrices, i.e. poly(lactic-co-glycolic)acid (PLGA) and polyurethane (PU). PLGA offers the advantage of full biodegradability into non-toxic products, while PU provides toughness and elasticity. The PPy nanograins formed micro-domains and networks within the PLGA and PU matrices, in a compact spatial arrangement favorable for electrical percolation. The proposed approach allowed us to obtain PPy-based composite layers with biologically meaningful conductivities up to 10{sup −2} S/cm for PPy loadings as low as 1:10 weight ratios. Fluorescent staining and viability assays showed that the MG63 osteoblast-like cells cultured on the PPy-based layers deposited by MAPLE were viable and retained their capacity to proliferate. The performance of the proposed method was demonstrated by quantitative evaluation of the calcium phosphate deposits from the cultured cells, as indicative for cell mineralization. Electrical stimulation using 200 μA currents passing through the PPy-based layers, during a time interval of 4 h, enhanced the osteogenesis in the cultured cells. Despite their lowest conductivity, the PPy/PU layers showed the best

  11. Single layer and multilayer vacuum-arc coatings based on the nitride TiAlSiYN: composition, structure, properties

    International Nuclear Information System (INIS)

    Beresnev, V.M.; Litovchenko, S.V.; Nemchenko, U.S.; Srebnyuk, P.A.; Mazilin, B.A.; Sobol, O.V.; Mejlekhov, A.A.; Barmin, A.E.; Serenko, TA.; Pogrebnyak, A.D.; Ivanov, O.N.; Kritsyna, E.V.; Stolbovoj, V.A.; Novikov, V.Yu.; Malikov, L.V.

    2017-01-01

    Using high-technological vacuum-arc evaporation in the atmosphere of nitrogen with ion bombardment, single- and multilayer coatings based on TiAlSiYN with high mechanical characteristics were obtained: hardness of the coatings reached 49.5 GPa, resistance to wear, with the value of the critical point L_C_5 reaching 184.92 N. The peculiarities of radiation-induced effect at applying bias potential U_b were found: formation of nitride coatings based on fcc metallic lattice with the preferred orientation of crystallites with the texture axis [111], as well as simultaneous growth of hardness. Hardness of both single- and multilayer coatings increases by 40...50% at the increase of U_b from 50 to 200 V. Formation of silicon-containing layers of TiAlSiYN during the deposition contributes to reaching increased hardness, which, in the case of single-layer coating obtained at U_b = -200 V is 49.5 GPa, which corresponds to superhard state. The mechanisms of structure formation, defining the resulting mechanical characteristics of single- and multi-layer coatings based on TiAlSiYN nitride have been discussed.

  12. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  13. Pt-Al{sub 2}O{sub 3} dual layer atomic layer deposition coating in high aspect ratio nanopores

    Energy Technology Data Exchange (ETDEWEB)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Goeran; Wijngaart, Wouter van der; Roxhed, Niclas [KTH Royal Institute of Technology, School of Electrical Engineering, Micro and Nanosystems, Osquldas Vaeg 10, SE-10044 Stockholm (Sweden)

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al{sub 2}O{sub 3}) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al{sub 2}O{sub 3} layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 {mu}m thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al{sub 2}O{sub 3} using ALD. (paper)

  14. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054, Grenoble (France); Levy-Clement, Claude [CNRS, Institut de Chimie et des Materiaux de Paris-Est, 94320, Thiais (France)

    2014-09-15

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl{sub 2} to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl{sub 2} treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. CdTe deposition by successive ionic layer adsorption and reaction (SILAR) technique onto ZnO nanowires

    International Nuclear Information System (INIS)

    Salazar, Raul; Delamoreanu, Alexandru; Saidi, Bilel; Ivanova, Valentina; Levy-Clement, Claude

    2014-01-01

    In this study is reported CdTe deposition by Successive Ionic Layer Adsorption and reaction (SILAR) at room temperature onto ZnO nanowires (NWs). The as-deposited CdTe layer exhibits poor crystalline quality and not well defined optical transition which is probably result of its amorphous nature. The implementation of an annealing step and chemical treatment by CdCl 2 to the classical SILAR technique improved significantly the CdTe film quality. The XRD analysis showed that the as treated layers are crystallized in the cubic zinc blende structure. The full coverage of ZnO nanowires and thickness of the CdTe shell, composed of small crystallites, was confirmed by STEM and TEM analysis. The layer thickness could be controlled by the number of SILAR cycles. The sharper optical transitions for the annealed and CdCl 2 treated heterostructures additionally proves the enhancement of the layer crystalline quality. For comparison CdTe was also deposited by close space sublimation (CSS) method onto ZnO nanowires. It is shown that the SILAR deposited CdTe exhibits equal crystalline and optical properties to that prepared by CSS. These results demonstrate that SILAR technique is more suitable for conformal thin film deposition on nanostructures. CdTe extremely thin film deposited by SILAR method onto ZnO nanowire. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  17. Giant magneto-impedance effect on nanocrystalline microwires with conductive layer deposit

    International Nuclear Information System (INIS)

    Wang, R.L.; Zhao, Z.J.; Liu, L.P.; Yuan, W.Z.; Yang, X.L.

    2005-01-01

    In this study, the giant magneto-impedance effect on Fe-based glass-coated nanocrystalline microwires with and without an additional outer copper layer was investigated. Experiment results showed that the magneto-impedance ratio of the wires with a layer of deposited copper is higher at low frequencies and lower at high frequencies (above 50 MHz), as compared to that of the microwires without an outer copper layer. The peak MI magnetic field, corresponding to the maximum of the magneto-impedance ratio shifts towards higher field values with increasing coating thickness of copper layer. The results are explained in terms of electro-magnetic interactions between the conductive layer and the ferromagnetic core

  18. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  19. TEM and ellipsometry studies of nanolaminate oxide films prepared using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Attard, D.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Finnie, K.S. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Triani, G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Barbe, C.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Depagne, C. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Bartlett, J.R. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2005-04-30

    Nanolaminate oxide layers consisting of TiO{sub 2} and Al{sub 2}O{sub 3} have been deposited on silicon using atomic layer deposition (ALD). Characterisation of these films has been achieved by use of a range of modern transmission electron microscopy (TEM)-based techniques, including plasmon loss imaging, energy filtered imaging and scanning TEM (STEM) X-ray line profiling. These have shown that the target thickness of the individual layers in the nanolaminate structures (20 nm) has been met with a high degree of accuracy, that the layers are extremely flat and parallel and that the interfaces between the layers are compositionally abrupt. Localised crystallisation within the stacks, and responses to electron beam irradiation point to the presence of a stress gradient within the layers. The performance of ellipsometry in characterising multilayer stacks has been benchmarked against the TEM measurements. Errors in determination of individual layer thicknesses were found to increase with growing stack size, as expected given the increasing number of interfaces incorporated in each model. The most sophisticated model gave maximum deviations of {+-}4 nm from the TEM determined values for the 5- and 10-layer stacks.

  20. Role of boundary layer diffusion in vapor deposition growth of chalcogenide nanosheets: the case of GeS.

    Science.gov (United States)

    Li, Chun; Huang, Liang; Snigdha, Gayatri Pongur; Yu, Yifei; Cao, Linyou

    2012-10-23

    We report a synthesis of single-crystalline two-dimensional GeS nanosheets using vapor deposition processes and show that the growth behavior of the nanosheet is substantially different from those of other nanomaterials and thin films grown by vapor depositions. The nanosheet growth is subject to strong influences of the diffusion of source materials through the boundary layer of gas flows. This boundary layer diffusion is found to be the rate-determining step of the growth under typical experimental conditions, evidenced by a substantial dependence of the nanosheet's size on diffusion fluxes. We also find that high-quality GeS nanosheets can grow only in the diffusion-limited regime, as the crystalline quality substantially deteriorates when the rate-determining step is changed away from the boundary layer diffusion. We establish a simple model to analyze the diffusion dynamics in experiments. Our analysis uncovers an intuitive correlation of diffusion flux with the partial pressure of source materials, the flow rate of carrier gas, and the total pressure in the synthetic setup. The observed significant role of boundary layer diffusions in the growth is unique for nanosheets. It may be correlated with the high growth rate of GeS nanosheets, ~3-5 μm/min, which is 1 order of magnitude higher than other nanomaterials (such as nanowires) and thin films. This fundamental understanding of the effect of boundary layer diffusions may generally apply to other chalcogenide nanosheets that can grow rapidly. It can provide useful guidance for the development of general paradigms to control the synthesis of nanosheets.

  1. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  2. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  3. Vibrational analysis of single-layered graphene sheets

    Energy Technology Data Exchange (ETDEWEB)

    Sakhaee-Pour, A; Ahmadian, M T [Center of Excellence in Design, Robotics and Automation (CEDRA), Department of Mechanical Engineering, Sharif University of Technology, Tehran (Iran, Islamic Republic of); Naghdabadi, R [Department of Mechanical Engineering and Institute for Nano Science and Technology, Sharif University of Technology, Tehran (Iran, Islamic Republic of)], E-mail: sakhaee@alum.sharif.edu, E-mail: naghdabd@sharif.edu

    2008-02-27

    A molecular structural mechanics method has been implemented to investigate the vibrational behavior of single-layered graphene sheets. By adopting this approach, mode shapes and natural frequencies are obtained. Vibrational analysis is performed with different chirality and boundary conditions. Numerical results from the atomistic modeling are employed to develop predictive equations via a statistical nonlinear regression model. With the proposed equations, fundamental frequencies of single-layered graphene sheets with considered boundary conditions can be predicted within 3% difference with respect to the atomistic simulation.

  4. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers; Filmes finos de carbono depositados por meio da tecnica de magnetron sputtering usando cobalto, cobre e niquel como buffer-layers

    Energy Technology Data Exchange (ETDEWEB)

    Costa e Silva, Danilo Lopes

    2015-11-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  5. French vertical flow constructed wetlands: a need of a better understanding of the role of the deposit layer.

    Science.gov (United States)

    Molle, Pascal

    2014-01-01

    French vertical flow constructed wetlands, treating directly raw wastewater, have become the main systems implemented for communities under 2,000 population equivalent in France. Like in sludge drying reed beds, an organic deposit layer is formed over time at the top surface of the filter. This deposit layer is a key factor in the performance of the system as it impacts hydraulic, gas transfers, filtration efficiency and water retention time. The paper discusses the role of this deposit layer on the hydraulic and biological behaviour of the system. It presents results from different studies to highlight the positive role of the layer but, as well, the difficulties in modelling this organic layer. As hydraulic, oxygen transfers, and biological activity are interlinked and impacted by the deposit layer, it seems essential to focus on its role (and its quantification) to find new developments of vertical flow constructed wetlands fed with raw wastewater.

  6. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  7. Sputter Deposited TiOx Thin-Films as Electron Transport Layers in Organic Solar Cells

    DEFF Research Database (Denmark)

    Mirsafaei, Mina; Bomholt Jensen, Pia; Lakhotiya, Harish

    transparency and favorable energy-level alignment with many commonly used electron-acceptor materials. There are several methods available for fabricating compact TiOx thin-films for use in organic solar cells, including sol-gel solution processing, spray pyrolysis and atomic-layer deposition; however...... of around 7%, by incorporating sputter deposited TiOx thin-films as electron-transport and exciton-blocking layers. In the work, we report on the effect of different TiOx deposition temperatures and thicknesses on the organic-solar-cell device performance. Besides optical characterization, AFM and XRD...... analyses are performed to characterize the morphology and crystal structure of the films, and external quantum efficiency measurements are employed to shed further light on the device performance. Our study presents a novel method for implementation of TiOx thin-films as electron-transport layer in organic...

  8. Resistivity of atomic layer deposition grown ZnO: The influence of deposition temperature and post-annealing

    Energy Technology Data Exchange (ETDEWEB)

    Laube, J., E-mail: laube@imtek.de; Nübling, D.; Beh, H.; Gutsch, S.; Hiller, D.; Zacharias, M.

    2016-03-31

    Conductive zinc oxide (ZnO) films deposited by atomic layer deposition were studied as function of post-annealing treatments. Effusion experiments were conducted on ZnO films deposited at different temperatures. The influence of different annealing atmospheres on the resistivity of the films was investigated and compared to reference samples. It was found that the influence of the deposition temperature on the resistivity is much higher than that of subsequent annealings. This leads to the conclusion that reduction of the resistivity by diffusion of different gases, such as oxygen and hydrogen, into annealed ZnO films is unlikely. - Highlights: • Conformal growth of ZnO-ALD over a temperature range of 25 °C up to 300 °C. • Post-annealing in different atmospheres (H{sub 2}, O{sub 2}, vacuum) and temperatures. • Analysis of film-conductivity and effusion characteristic.

  9. Gold Dispersion and Activation on the Basal Plane of Single-Layer MoS2

    KAUST Repository

    Merida, Cindy S.; Le, Duy; Echeverrí a, Elena M.; Nguyen, Ariana E.; Rawal, Takat B; Naghibi Alvillar, Sahar; Kandyba, Viktor; Al-Mahboob, Abdullah; Losovyj, Yaroslav B.; Katsiev, Khabiboulakh; Valentin, Michael D.; Huang, Chun-Yu; Gomez, Michael J.; Lu, I-Hsi; Guan, Alison; Barinov, Alexei; Rahman, Talat S; Dowben, Peter A.; Bartels, Ludwig

    2017-01-01

    Gold islands are typically associated with high binding affinity to adsorbates and catalytic activity. Here we present the growth of such dispersed nanoscale gold islands on single layer MoS2, prepared on an inert SiO2/Si support by chemical vapor deposition (CVD). This study offers a combination of growth process development, optical characterization, photoelectron spectroscopy at sub-micron spatial resolution, and advanced density functional theory modeling for detailed insight into the electronic interaction between gold and single-layer MoS2. In particular, we find the gold density of states in Au/MoS2/SiO2/Si to be far less well-defined than Au islands on other 2-dimensional materials such as graphene, for which we also provide data. We attribute this effect to the presence of heterogeneous Au adatom/MoS2-support interactions within the nanometer-scale gold cluster. As a consequence, theory predicts that CO will exhibit adsorption energies in excess of 1 eV at the Au cluster edges, where the local density of states is dominated by Au 5dz2 symmetry.

  10. Gold Dispersion and Activation on the Basal Plane of Single-Layer MoS2

    KAUST Repository

    Merida, Cindy S.

    2017-12-09

    Gold islands are typically associated with high binding affinity to adsorbates and catalytic activity. Here we present the growth of such dispersed nanoscale gold islands on single layer MoS2, prepared on an inert SiO2/Si support by chemical vapor deposition (CVD). This study offers a combination of growth process development, optical characterization, photoelectron spectroscopy at sub-micron spatial resolution, and advanced density functional theory modeling for detailed insight into the electronic interaction between gold and single-layer MoS2. In particular, we find the gold density of states in Au/MoS2/SiO2/Si to be far less well-defined than Au islands on other 2-dimensional materials such as graphene, for which we also provide data. We attribute this effect to the presence of heterogeneous Au adatom/MoS2-support interactions within the nanometer-scale gold cluster. As a consequence, theory predicts that CO will exhibit adsorption energies in excess of 1 eV at the Au cluster edges, where the local density of states is dominated by Au 5dz2 symmetry.

  11. Environmental sensing with optical fiber sensors processed with focused ion beam and atomic layer deposition

    Science.gov (United States)

    Flores, Raquel; Janeiro, Ricardo; Dahlem, Marcus; Viegas, Jaime

    2015-03-01

    We report an optical fiber chemical sensor based on a focused ion beam processed optical fiber. The demonstrated sensor is based on a cavity formed onto a standard 1550 nm single-mode fiber by either chemical etching, focused ion beam milling (FIB) or femtosecond laser ablation, on which side channels are drilled by either ion beam milling or femtosecond laser irradiation. The encapsulation of the cavity is achieved by optimized fusion splicing onto a standard single or multimode fiber. The empty cavity can be used as semi-curved Fabry-Pérot resonator for gas or liquid sensing. Increased reflectivity of the formed cavity mirrors can be achieved with atomic layer deposition (ALD) of alternating metal oxides. For chemical selective optical sensors, we demonstrate the same FIB-formed cavity concept, but filled with different materials, such as polydimethylsiloxane (PDMS), poly(methyl methacrylate) (PMMA) which show selective swelling when immersed in different solvents. Finally, a reducing agent sensor based on a FIB formed cavity partially sealed by fusion splicing and coated with a thin ZnO layer by ALD is presented and the results discussed. Sensor interrogation is achieved with spectral or multi-channel intensity measurements.

  12. Breakwater stability with damaged single layer armour units

    NARCIS (Netherlands)

    De Rover, R.; Verhagen, H.J.; Van den Berge, A.; Reedijk, B.

    2008-01-01

    The effect of single layer interlocking armour unit breakage on the hydraulic armour layer stability and potential damage progression is addressed in this paper. A 2-dimensional scale model of a rubble mound breakwater with an armour layer consisting of Xbloc armour units was tested. The residual

  13. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  14. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  15. Evaluation of atomic layer deposited alumina as a protective layer for domestic silver articles: Anti-corrosion test in artificial sweat

    Science.gov (United States)

    Park, Suk Won; Han, Gwon Deok; Choi, Hyung Jong; Prinz, Fritz B.; Shim, Joon Hyung

    2018-05-01

    This study evaluated the effectiveness of alumina fabricated by atomic layer deposition (ALD) as a protective coating for silver articles against the corrosion caused by body contact. An artificial sweat solution was used to simulate body contact. ALD alumina layers of varying thicknesses ranging from 20 to 80 nm were deposited on sputtered silver samples. The stability of the protective layer was evaluated by immersing the coated samples in the artificial sweat solution at 25 and 35 °C for 24 h. We confirmed that a sufficiently thick layer of ALD alumina is effective in protecting the shape and light reflectance of the underlying silver, whereas the uncoated bare silver is severely degraded by the artificial sweat solution. Inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy were used for in-depth analyses of the chemical stability of the ALD-coated silver samples after immersion in the sweat solution.

  16. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  17. Development of Nitride Coating Using Atomic Layer Deposition for Low-Enriched Uranium Fuel Powder

    Science.gov (United States)

    Bhattacharya, Sumit

    metal organic chemical precursors tetrakis dimethylamido zirconium (TDMAZr) and ammonia( NH3) for succesful deposition of ZrN coating. Nitrogen (N2) gas carried the chemicals to a hot wall reactor maintained at a temperature range of 235 to 245 °C. The ALD system design evolved over the course of this research as the process variables were steadily improved. The conditions found deemed for attaining best coating were at a temperature of 245 °C, with pulse time of 0.8 seconds for TDMAZr and 0.1 seconds for NH3 along with 15 seconds of purge time in-between each cycle. The ALD system was successful in making 1-micrometer (um) ZrN with low levels of chemical impurities over U-Mo powder batches. The deposited coatings were characterized using scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS), electron energy loss spectroscopy (EELS) and Transmission electron microscope (TEM). This document describes the establishment of the Savannah 200 ALD system, precursor surface reaction procedures and finally the nature of the coating achieved, including characterization of the coating at the different stages of deposition. It was found that an interlayer of alumina in between ZrN and the U-Mo surface was required to reduce the residual stress generated during the ALD procedure. The alumina not only removed the risk of cracking and spallation of the ZrN coating but also provided adequate strength for the barrier layer to withstand the fuel plate rolling conditions. The ZrN coating was nano crystalline in nature, with grain size varying from 5-10 nm, the deposited layer was found to be dense consisting of a layered structure. The coating could retain its crystallinity and maintain its phase when irradiated with 1 MeV single charged ion Kr to produce a damage of 10 displacement per atom (DPA) at intermediate voltage electron microscopy (IVEM).

  18. Deposition and Characterization of TRISO Coating Layers

    International Nuclear Information System (INIS)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H.

    2007-03-01

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis

  19. Deposition and Characterization of TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, D. K.; Choi, D. J.; Lee, H. K.; Kim, J. K.; Kim, J. H.; Chun, J. H. [KAIST, Daejeon (Korea, Republic of)

    2007-03-15

    Zirconium carbide has been chosen and studied as an advanced material of silicon carbide. In order to collect data on the basic properties and characteristics of Zirconium carbide, studies have been conducted using various methods. As a result of chemically vapor deposed subliming zirconium tetrachloride(ZrCl4) and using methane(CH4) as a source in hydrogen atmosphere, graphite film is deposited.. Zirconium carbide was deposited on the sample where silicon carbide was deposited on a graphite substrate using Zirconium sponge as a Zirconium source. In terms of physical characteristics, the deposited Zirconium carbide showed higher strength, but slightly lower elastic modulus than silicon carbide. In order to evaluate the mechanical properties of a coating layer in pre-irradiation step, internal pressure induced method and direct strength measurement method is carried out. In the internal pressure induced method, in order to produce the requirement pressure, pressure media is used. In the direct strength measurement method, the indentation experiment that indent on a hemisphere shell with plate indenter is conducted. For this method, the finite element analysis is used and the analysis is verified by indentation experiments. To measure the strength of TRISO particle SiC coating, SiC hemisphere shell is performed through grinding and heat treatment. Through the finite element analysis, strength evaluation equation is suggested. Using suggested equation, Strength evaluation is performed and the strength value shows 1025MPa as a result of statistical analysis.

  20. Antireflective conducting nanostructures with an atomic layer deposited an AlZnO layer on a transparent substrate

    International Nuclear Information System (INIS)

    Park, Hyun-Woo; Ji, Seungmuk; Herdini, Diptya Suci; Lim, Hyuneui; Park, Jin-Seong; Chung, Kwun-Bum

    2015-01-01

    Graphical abstract: - Highlights: • We investigated the antireflective conducting nanostructures on a transparent substrate using atomic layer deposited AlZnO films. • The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance. • The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. • The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states. - Abstract: The antireflective conducting nanostructures on a transparent substrate were shown to have enhanced optical and electrical properties via colloidal lithography and atomic layer deposition. The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance, both of which were superior to those of a flat transparent conducting substrate. The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states.

  1. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  2. Plasma immersion ion implantation: duplex layers from a single process

    International Nuclear Information System (INIS)

    Hutchings, R.; Collins, G.A.; Tendys, J.

    1992-01-01

    Plasma immersion ion implantation (PI 3 ) is an alternative non-line-of-sight technique for implanting ions directly from a plasma which surrounds the component to be treated. In contrast to plasma source ion implantation, the PI 3 system uses an inductively coupled r.f. plasma. It is shown that nitrogen can be retained during implantation at elevated temperatures, even for unalloyed steels. This allows controlled diffusion of nitrogen to greater depths, thereby improving the load bearing capacity of the implanted layer. Components can be heated directly, using the energy deposited by the incident ions during the pulsed implantation. The necessary temperature control can be accomplished simply by regulating the frequency and length of the high voltage pulses applied to the component. Chemical depth profiles and microstructural data obtained from H13 tool steel are used to show that PI 3 can, in a single process, effectively produce a duplex subsurface structure. This structure consists of an outer non-equilibrium layer typical of nitrogen implantation (containing in excess of 20 at.% nitrogen) backed by a substantial diffusion zone of much lower nitrogen content. The relationship between implantation temperature and the resultant subsurface microstructure is explored. (orig.)

  3. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  4. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment

    Science.gov (United States)

    Shin, Jeong Woo; Kang, Myung Hoon; Oh, Seongkook; Yang, Byung Chan; Seong, Kwonil; Ahn, Hyo-Sok; Lee, Tae Hoon; An, Jihwan

    2018-05-01

    Atomic layer-deposited (ALD) dielectric films on graphene usually show noncontinuous and rough morphology owing to the inert surface of graphene. Here, we demonstrate the deposition of thin and uniform ALD ZrO2 films with no seed layer on chemical vapor-deposited graphene functionalized by atmospheric oxygen plasma treatment. Transmission electron microscopy showed that the ALD ZrO2 films were highly crystalline, despite a low ALD temperature of 150 °C. The ALD ZrO2 film served as an effective passivation layer for graphene, which was shown by negative shifts in the Dirac voltage and the enhanced air stability of graphene field-effect transistors after ALD of ZrO2. The ALD ZrO2 film on the functionalized graphene may find use in flexible graphene electronics and biosensors owing to its low process temperature and its capacity to improve device performance and stability.

  5. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    Science.gov (United States)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  6. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  7. Electrochemical lithiation of thin silicon based layers potentiostatically deposited from ionic liquid

    International Nuclear Information System (INIS)

    Vlaic, Codruta Aurelia; Ivanov, Svetlozar; Peipmann, Ralf; Eisenhardt, Anja; Himmerlich, Marcel; Krischok, Stefan; Bund, Andreas

    2015-01-01

    Thin silicon layers containing about 20% carbon and 20% oxygen were deposited on copper substrates by potentiostatic electroreduction from a 1 M SiCl 4 1-butyl-1-methyl-pyrrolidinium bis (trifluoromethyl) sulfonylimide [BMP][TFSI] electrolyte. The electrodeposition process was investigated by means of voltammetric techniques, coupled with in-situ microgravimetry (quartz crystal microbalance, QCM). The electrochemical and QCM data suggest a possible contribution of a partial Si 4+ to Si 2+ reduction and/or a restructuring of the metallic substrate. Considerable impact of side reactions parallel to the deposition process was indicated by QCM measurements performed under potentiostatic and potentiodynamic conditions. The deposition of silicon-based films was confirmed by energy dispersive X-ray analysis (EDX). Analysis of the chemical composition of the deposit and its elemental distribution were achieved by depth profiling X-ray photoelectron spectroscopy (XPS). The electrodeposited silicon containing layers showed stable lithiation and delithiation with capacity values of about 1200 mAhg −1 and 80% capacity retention after 300 cycles in standard EC/DMC electrolytes. In ionic liquid (IL) the material displayed lower capacity of ca. 500 mAhg −1 , which can be attributed to the higher viscosity of this electrolyte and deposition of IL decomposition products during lithiation

  8. Analysis of laser energy deposition leading to damage and ablation of HfO{sub 2} and Nb{sub 2}O{sub 5} single layers submitted to 500 fs pulses at 1030 and 343 nm

    Energy Technology Data Exchange (ETDEWEB)

    Douti, Dam-Be; Begou, Thomas; Lemarchand, Fabien; Lumeau, Julien; Commandre, Mireille; Gallais, Laurent [Aix-Marseille Universite, CNRS, Centrale Marseille, Institut Fresnel UMR 7249, Marseille (France)

    2016-07-15

    Laser- induced damage thresholds and morphologies of laser ablated sites on dielectric thin films are studied based on experiments and simulations. The films are single layers of hafnia and niobia deposited on fused silica substrates with a magnetron sputtering technique. Laser experiments are conducted with 500 fs pulses at 1030 and 343 nm, and the irradiated sites are characterized with optical profilometry and scanning electron microscopy. The results, i.e., LIDT and damage morphologies, are compared to simulations of energy deposition in the films based on the single rate equation for electron excitation, taking into account transient optical properties of the films during the pulse. The results suggest that a critical absorbed energy as a damage criterion gives consistent results both with the measured LIDT and the observed damage morphologies at fluences close to the damage threshold. Based on the numerical and experimental results, the determined LIDT evolution with the wavelength is described as nearly constant in the near-infrared region, and as rapidly decreasing with laser wavelength in the visible and near-ultraviolet regions. (orig.)

  9. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  10. Electroless deposition of NiCrB diffusion barrier layer film for ULSI-Cu metallization

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Yuechun [School of Materials Science and Engineering, Yunnan University, Kunming (China); Chen, Xiuhua, E-mail: chenxh@ynu.edu.cn [School of Materials Science and Engineering, Yunnan University, Kunming (China); Ma, Wenhui [National Engineering Laboratory of Vacuum Metallurgy, Kunming University of Science and Technology, Kunming (China); Shang, Yudong; Lei, Zhengtao; Xiang, Fuwei [School of Materials Science and Engineering, Yunnan University, Kunming (China)

    2017-02-28

    Highlights: • In this paper, the electroless deposited NiCrB thin film was mainly in the form of NiB, CrB{sub 2} compounds and elementary Ni. • The sheet resistance of NiCrB thin film was 3.043 Ω/□, it is smaller than that of the widely used Ta, TaN and TiN diffusion barrier layers. • Annealing experiments showed that the failure temperature of NiCrB thin film regarding Cu diffusion was 900 °C. • NiCrB barrier layer crystallized after 900 °C annealing, Cu grains arrived at Si-substrate through grain boundaries, resulting in the formation of Cu{sub 3}Si. • Eelectroless deposited NiCrB film also had good oxidation resistance, it is expected to become an anti-oxidant layer of copper interconnection. - Abstract: NiCrB films were deposited on Si substrates using electroless deposition as a diffusion barrier layer for Cu interconnections. Samples of the prepared NiCrB/SiO{sub 2}/Si and NiCrB/Cu/NiCrB/SiO{sub 2}/Si were annealed at temperatures ranging from 500 °C to 900 °C. The reaction mechanism of the electroless deposition of the NiCrB film, the failure temperature and the failure mechanism of the NiCrB diffusion barrier layer were investigated. The prepared samples were subjected to XRD, XPS, FPP and AFM to determine the phases, composition, sheet resistance and surface morphology of samples before and after annealing. The results of these analyses indicated that the failure temperature of the NiCrB barrier film was 900 °C and the failure mechanism led to crystallization and grain growth of the NiCrB barrier layer after high temperature annealing. It was found that this process caused Cu grains to reach Si substrate through the grain boundaries, and then the reaction between Cu and Si resulted in the formation of highly resistive Cu{sub 3}Si.

  11. Study of ion implantation in grown layers of multilayer coatings under ion-plasma vacuum deposition

    International Nuclear Information System (INIS)

    Voevodin, A.A.; Erokhin, A.L.

    1993-01-01

    The model of ion implantation into growing layers of a multilayer coating produced with vacuum ion-plasma deposition was developed. The model takes into account a possibility for ions to pass through the growing layer and alloys to find the distribution of implanted atoms over the coating thickness. The experimental vitrification of the model was carried out on deposition of Ti and TiN coatings

  12. Ti–Al–O nanocrystal charge trapping memory cells fabricated by atomic layer deposition

    International Nuclear Information System (INIS)

    Cao, Zheng-Yi; Li, Ai-Dong; Li, Xin; Cao, Yan-Qiang; Wu, Di

    2014-01-01

    Charge trapping memory cells using Ti–Al–O (TAO) film as charge trapping layer and amorphous Al 2 O 3 as the tunneling and blocking layers were fabricated on Si substrates by atomic layer deposition method. As-deposited TAO films were annealed at 700 °C, 800 °C and 900 °C for 3 min in N 2 with a rapid thermal annealing process to form nanocrystals. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructure and band diagram of the heterostructures. The electrical characteristics and charge storage properties of the Al 2 O 3 /TAO/Al 2 O 3 /Si stack structures were also evaluated. Compared to 700 °C and 900 °C samples, the memory cells annealed at 800 °C exhibit better memory performance with larger memory window of 4.8 V at ± 6 V sweeping, higher program/erase speed and excellent endurance. - Highlights: • The charge trapping memory cells were fabricated by atomic layer deposition method. • The anneal temperature plays a key role in forming nanocrystals. • The memory cells annealed at 800 °C exhibit better memory performance. • The band alignment is beneficial to enhance the retention characteristics

  13. (Invited) Atomic Layer Deposition for Novel Dye-Sensitized Solar Cells

    KAUST Repository

    Tétreault, Nicolas

    2011-01-01

    Herein we present the latest fabrication and characterization techniques for atomic layer deposition of Al 2O 3, ZnO, SnO 2, Nb 2O 5, HfO 2, Ga 2O 3 and TiO 2 for research on dye-sensitized solar cell. In particular, we review the fabrication of state-of-the-art 3D host-passivation-guest photoanodes and ZnO nanowires as well as characterize the deposited thin films using spectroscopic ellipsometry, X-ray diffraction, Hall effect, J-V curves and electrochemical impedance spectroscopy. ©The Electrochemical Society.

  14. Thermal barrier coatings with a double-layer bond coat on Ni{sub 3}Al based single-crystal superalloy

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Xin [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Xu, Zhenhua; Mu, Rende [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He, Limin, E-mail: he_limin@yahoo.com [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Huang, Guanghong [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Cao, Xueqiang, E-mail: xcao@ciac.ac.cn [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2014-04-05

    Highlights: • Thermal barrier coatings with a double-layer bond coat of (Ni,Pt)Al and NiCrAlYSi. • Good adherence at all interfaces within TBC system. • The underlying (Ni,Pt)Al layer can supply abundant Al content for the upper NiCrAlYSi layer. • Crack nucleation, propagation and coalescence lead to the failure of coating. -- Abstract: Electron-beam physical vapor deposited thermal barrier coatings (TBCs) with a double-layer bond coat of (Ni,Pt)Al and NiCrAlYSi were prepared on a Ni{sub 3}Al based single-crystal superalloy. Phase and cross-sectional microstructure of the developed coatings were studied by using X-ray diffraction (XRD) and scanning electron microscope (SEM), respectively. The experimental results show good adherence at all interfaces within this system. Furthermore, oxidation resistance and elements interdiffusion behavior of the double-layer bond coat were also investigated. The double-layer bond coat system exhibits a better scale adherence than the single layer bond coat systems since the underlying (Ni,Pt)Al layer can supply abundant Al for the upper NiCrAlYSi layer. Finally, thermal cycling behavior of the double-layer bond coat TBC was evaluated and the failure mechanism was discussed. Crack nucleation, propagation and coalescence caused by TGO growth stress and the thermal expansion mismatch stress between TGO and bond coat can be mainly responsible for the spallation of this coating.

  15. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  16. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    Auger Electron Spectroscopy (AES) was used to study the nucleation and growth of tungsten on aluminum oxide surfaces. Tungsten metal was deposited using Atomic Layer Deposition (ALD) techniques. ALD uses sequential surface reactions to deposit material with atomic layer control. W ALD is performed using sequential exposures of WF6 and Si2H6. The step-wise nature of W ALD allows nucleation studies to be performed by analyzing the W surface concentration after each ALD reaction. Nucleation and growth regions can be identified by quantifying the AES signal intensities from both the W surface and the Al2O3 substrate. W nucleation occurred in 3 ALD reaction cycles. The AES results yielded a nucleation rate of 1.0 A/ALD cycle and a growth rate of ≈3 A/ALD cycle. AES studies also explored the nucleation and growth of Al2O3 on W. Al2O3 nucleated in 1 ALD cycle giving a nucleation rate of 3.5 A/ALD cycle and a subsequent growth rate of 1.0 A/ALD cycle. Mass spectrometry was then used to study the ALD reaction chemistry of tungsten deposition. Because of the step-wise nature of the W ALD chemistry, each W ALD reaction could be studied independently. The gaseous mass products were identified from both the WF6 and Si2H6 reactions. H2, HF and SiF4 mass products were observed for the WF6 reaction. The Si2H6 reaction displayed a room temperature reaction and a 200°C reaction. Products from the room temperature Si2H6 reaction were H2 and SiF3H. The reaction at 200°C yielded only H2 as a reaction product. H2 desorption from the surface contributes to the 200°C Si2H6 reaction. AES was used to confirm that the gas phase reaction products are correlated with a change in the surface species. Atomic hydrogen reduction of metal halides and oganometallic compounds provides another method for depositing metals with atomic layer control. The quantity of atomic hydrogen necessary to perform this chemistry is critical to the metal ALD process. A thermocouple probe was constructed to

  17. A highly sensitive hydrogen sensor with gas selectivity using a PMMA membrane-coated Pd nanoparticle/single-layer graphene hybrid.

    Science.gov (United States)

    Hong, Juree; Lee, Sanggeun; Seo, Jungmok; Pyo, Soonjae; Kim, Jongbaeg; Lee, Taeyoon

    2015-02-18

    A polymer membrane-coated palladium (Pd) nanoparticle (NP)/single-layer graphene (SLG) hybrid sensor was fabricated for highly sensitive hydrogen gas (H2) sensing with gas selectivity. Pd NPs were deposited on SLG via the galvanic displacement reaction between graphene-buffered copper (Cu) and Pd ion. During the galvanic displacement reaction, graphene was used as a buffer layer, which transports electrons from Cu for Pd to nucleate on the SLG surface. The deposited Pd NPs on the SLG surface were well-distributed with high uniformity and low defects. The Pd NP/SLG hybrid was then coated with polymer membrane layer for the selective filtration of H2. Because of the selective H2 filtration effect of the polymer membrane layer, the sensor had no responses to methane, carbon monoxide, or nitrogen dioxide gas. On the contrary, the PMMA/Pd NP/SLG hybrid sensor exhibited a good response to exposure to 2% H2: on average, 66.37% response within 1.81 min and recovery within 5.52 min. In addition, reliable and repeatable sensing behaviors were obtained when the sensor was exposed to different H2 concentrations ranging from 0.025 to 2%.

  18. The roles of wetting liquid in the transfer process of single layer graphene onto arbitrary substrates.

    Science.gov (United States)

    Kim, Ju Hun; Yi, Junghwa; Jin, Hyeong Ki; Kim, Un Jeong; Park, Wanjun

    2013-11-01

    Wet transfer is crucial for most device structures of the proposed applications employing single layer graphene in order to take advantage of the unique physical, chemical, bio-chemical and electrical properties of the graphene. However, transfer methodologies that can be used to obtain continuous film without voids, wrinkles and cracks are limited although film perfectness critically depends on the relative surface tension of wetting liquids on the substrate. We report the importance of wetting liquid in the transfer process with a systematic study on the parameters governing film integrity in single layer graphene grown via chemical vapor deposition. Two different suspension liquids (in terms of polar character) are tested for adequacy of transfer onto SiO2 and hexamethyldisiloxane (HMDS). We found that the relative surface tension of the wetting liquid on the surfaces of the substrate is related to transfer quality. In addition, dimethyl sulfoxide (DMSO) is introduced as a good suspension liquid to HMDS, a mechanically flexible substrate.

  19. Investigation of Al{sub 2}O{sub 3} barrier film properties made by atomic layer deposition onto fluorescent tris-(8-hydroxyquinoline) aluminium molecular films

    Energy Technology Data Exchange (ETDEWEB)

    Maindron, Tony; Aventurier, Bernard [LETI/DOPT/SCOOP/Laboratoire des Composants pour la Visualisation, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France); Ghazouani, Ahlem; Jullien, Tony [LETI/DTSI/SDEP/Laboratoire Dépôt Equipe 2, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France); Rochat, Névine [LETI/DTSI/Service de Caractérisation des Matériaux et Composants, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France); Simon, Jean-Yves; Viasnoff, Emilie [LETI/DOPT/SCOOP/Laboratoire des Composants pour la Visualisation, CEA-LETI, MINATEC Campus, 17 rue des Martyrs, F-38054 Grenoble Cedex 9 (France)

    2013-12-02

    Al{sub 2}O{sub 3} films have been deposited at 85 °C by atomic layer deposition onto single 100 nm thick tris-(8-hydroxyquinoline) aluminium (AlQ{sub 3}) films made onto silicon wafers. It has been found that a thick ALD-deposited Al{sub 2}O{sub 3} layer (> 11 nm) greatly prevents the photo-oxidation of AlQ{sub 3} films when exposed to continuous UV irradiation (350 mW/cm{sup 2}). Thin Al{sub 2}O{sub 3} thicknesses (< 11 nm) on the contrary yield lower barrier performances. Defects in the Al{sub 2}O{sub 3} layer have been easily observed as non-fluorescent AlQ{sub 3} singularities, or black spots, under UV light on the system Si/AlQ{sub 3}/Al{sub 2}O{sub 3} stored into laboratory conditions (22 °C/50% Relative Humidity (RH)) for long time scale (∼ 2000 h). Accelerated aging conditions in a climatic chamber (85 °C/85% RH) also allow faster visualization of the same defects (168 h). The black spot density grows upon time and the black spot density occurrence rates have been calculated to be 0.024 h{sup −1}·cm{sup −2} and 0.243 h{sup −1}·cm{sup −2} respectively for the two testing conditions. A detailed investigation of these defects did show that they cannot be ascribed to the presence of a detectable particle. In that sense they are presumably the consequence of the existence of nanometre-scaled defects which cannot be detected onto fresh samples. Interestingly, an additional overcoating of ebeam-deposited SiO{sub 2} onto the Si/AlQ{sub 3}/Al{sub 2}O{sub 3} sample helps to decrease drastically the black spot density occurrence rates down to 0.004 h{sup −1}·cm{sup −2} and 0.04 h{sup −1}·cm{sup −2} respectively for 22 °C/50% RH and 85 °C/85% RH testing conditions. These observations highlight the moisture sensitivity of low temperature ALD-deposited Al{sub 2}O{sub 3} films and confirm the general idea that a single Al{sub 2}O{sub 3} ALD film performs as an ultra-high barrier but needs to be overprotected from water condensation by an

  20. Conduction mechanisms in thin atomic layer deposited Al2O3 layers

    International Nuclear Information System (INIS)

    Spahr, Holger; Montzka, Sebastian; Reinker, Johannes; Hirschberg, Felix; Kowalsky, Wolfgang; Johannes, Hans-Hermann

    2013-01-01

    Thin Al 2 O 3 layers of 2–135 nm thickness deposited by thermal atomic layer deposition at 80 °C were characterized regarding the current limiting mechanisms by increasing voltage ramp stress. By analyzing the j(U)-characteristics regarding ohmic injection, space charge limited current (SCLC), Schottky-emission, Fowler-Nordheim-tunneling, and Poole-Frenkel-emission, the limiting mechanisms were identified. This was performed by rearranging and plotting the data in a linear scale, such as Schottky-plot, Poole-Frenkel-plot, and Fowler-Nordheim-plot. Linear regression then was applied to the data to extract the values of relative permittivity from Schottky-plot slope and Poole-Frenkel-plot slope. From Fowler-Nordheim-plot slope, the Fowler-Nordheim-energy-barrier was extracted. Example measurements in addition to a statistical overview of the results of all investigated samples are provided. Linear regression was applied to the region of the data that matches the realistic values most. It is concluded that ohmic injection and therefore SCLC only occurs at thicknesses below 12 nm and that the Poole-Frenkel-effect is no significant current limiting process. The extracted Fowler-Nordheim-barriers vary in the range of up to approximately 4 eV but do not show a specific trend. It is discussed whether the negative slope in the Fowler-Nordheim-plot could in some cases be a misinterpreted trap filled limit in the case of space charge limited current

  1. Spectral and stratigraphic mapping of hydrated minerals associated with interior layered deposits near the southern wall of Melas Chasma, Mars

    Science.gov (United States)

    Liu, Yang; Goudge, Timothy A.; Catalano, Jeffrey G.; Wang, Alian

    2018-03-01

    Orbital remote sensing data acquired from the Compact Reconnaissance Imaging Spectrometer for Mars (CRISM) onboard Mars Reconnaissance Orbiter (MRO), in conjunction with other datasets, are used to perform detailed spectral and stratigraphic analyses over a portion of south Melas Chasma, Mars. The Discrete Ordinate Radiative Transfer (DISORT) model is used to retrieve atmospherically corrected single scattering albedos from CRISM I/F data for mineral identification. A sequence of interbedded poly- and monohydrated sulfates associated with interior layered deposits (ILDs) is identified and mapped. Analyses from laboratory experiments and spectral unmixing of CRISM hyperspectral data support the hypothesis of precipitation and dehydration of multiple inputs of complex Mg-Ca-Fe-SO4-Cl brines. In this scenario, the early precipitated Mg sulfates could dehydrate into monohydrated sulfate due to catalytic effects, and the later-precipitated Mg sulfates from the late-stage "clean" brine could terminate their dehydration at mid-degree of hydration to form a polyhydrated sulfate layer due to depletion of the catalytic species (e.g., Ca, Fe, and Cl). Distinct jarosite-bearing units are identified stratigraphically above the hydrated sulfate deposits. These are hypothesized to have formed either by oxidation of a fluid containing Fe(II) and SO4, or by leaching of soluble phases from precursor intermixed jarosite-Mg sulfate units that may have formed during the later stages of deposition of the hydrated sulfate sequence. Results from stratigraphic analysis of the ILDs show that the layers have a consistent northward dip towards the interior of the Melas Chasma basin, a mean dip angle of ∼6°, and neighboring strata that are approximately parallel. These strata are interpreted as initially sub-horizontal layers of a subaqueous, sedimentary evaporite deposits that underwent post-depositional tilting from slumping into the Melas Chasma basin. The interbedded hydrated sulfate

  2. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  3. Measurement of Young’s modulus and residual stress of atomic layer deposited Al2O3 and Pt thin films

    Science.gov (United States)

    Purkl, Fabian; Daus, Alwin; English, Timothy S.; Provine, J.; Feyh, Ando; Urban, Gerald; Kenny, Thomas W.

    2017-08-01

    The accurate measurement of mechanical properties of thin films is required for the design of reliable nano/micro-electromechanical devices but is increasingly challenging for thicknesses approaching a few nanometers. We apply a combination of resonant and static mechanical test structures to measure elastic constants and residual stresses of 8-27 nm thick Al2O3 and Pt layers which have been fabricated through atomic layer deposition. Young’s modulus of poly-crystalline Pt films was found to be reduced by less than 15% compared to the bulk value, whereas for amorphous Al2O3 it was reduced to about half of its bulk value. We observed no discernible dependence of the elastic constant on thickness or deposition method for Pt, but the use of plasma-enhanced atomic layer deposition was found to increase Young’s modulus of Al2O3 by 10% compared to a thermal atomic layer deposition. As deposited, the Al2O3 layers had an average tensile residual stress of 131 MPa. The stress was found to be higher for thinner layers and layers deposited without the help of a remote plasma. No residual stress values could be extracted for Pt due to insufficient adhesion of the film without an underlying layer to promote nucleation.

  4. Theoretical study of thin metallic deposit layers: from electronic structure to kinetics

    International Nuclear Information System (INIS)

    Senhaji, Abdelali

    1993-01-01

    We have studied the relation between the equilibrium surface segregation in an alloy A c B 1-c and the kinetics of dissolution of a few metallic layers of A (or B) deposited on a B (or A) substrate. We used an energetic model derived from the electronic structure (T.B.I.M.) allowing us to study the surface segregation both in disordered and in ordered alloys. Moreover we have developed a kinetic model (K.T.B.I.M.) consistent with the TBIM energetic model to study the kinetics both of segregation and dissolution. This process has been applied to the Cu-Pt system for which Auger, LEED and photoemission experiments are in progress at L.U.R.E. Concerning the equilibrium surface segregation in the ordered state we have studied all the possible terminations for the (111) and (100) faces in the various ordered structures occurring on the F.C.C. lattice (L1 0 , L1 1 - L1 2 and L'). In particular we have determined the domain of (meta)stability of each termination, which is very useful to understand the competition between single and double steps in ordered alloys. Studying the kinetics of dissolution of a few layers of Cu (or Pt) deposited on the (111) or (100) face of a Pt (or Cu) substrate, we have shown the formation of surface compounds with a great variety of behaviours depending on the face or on the temperature. All these behaviours can be rationalized with the local equilibrium concept, which we have defined accurately within our model and which allows to connect the dissolution mode with the equilibrium segregation. (author) [fr

  5. Functional Micrococcus lysodeikticus layers deposited by laser technique for the optical sensing of lysozyme.

    Science.gov (United States)

    Dinca, Valentina; Zaharie-Butucel, Diana; Stanica, Luciana; Brajnicov, Simona; Marascu, Valentina; Bonciu, Anca; Cristocea, Andra; Gaman, Laura; Gheorghiu, Mihaela; Astilean, Simion; Vasilescu, Alina

    2018-02-01

    Whole cell optical biosensors, made by immobilizing whole algal, bacterial or mammalian cells on various supports have found applications in several fields, from ecology and ecotoxicity testing to biopharmaceutical production or medical diagnostics. We hereby report the deposition of functional bacterial layers of Micrococcus lysodeikticus (ML) via Matrix-Assisted Pulsed Laser Evaporation (MAPLE) on poly(diallyldimethylamonium) (PDDA)-coated-glass slides and their application as an optical biosensor for the detection of lysozyme in serum. Lysozyme is an enzyme upregulated in inflammatory diseases and ML is an enzymatic substrate for this enzyme. The MAPLE-deposited bacterial interfaces were characterised by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Fourier-Transformed Infrared Spectroscopy (FTIR), Raman and optical microscopy and were compared with control interfaces deposited via layer-by-layer on the same substrate. After MAPLE deposition and coating with graphene oxide (GO), ML-modified interfaces retained their functionality and sensitivity to lysozyme's lytic action. The optical biosensor detected lysozyme in undiluted serum in the clinically relevant range up to 10μgmL -1 , in a fast and simple manner. Copyright © 2017 Elsevier B.V. All rights reserved.

  6. Chemical Bath Deposition and Characterization of CdS layer for CZTS Thin Film Solar Cell

    OpenAIRE

    Kamal, Tasnim; Parvez, Sheikh; Matin, Rummana; Bashar, Mohammad Shahriar; Hossain, Tasnia; Sarwar, Hasan; Rashid, Mohammad Junaebur

    2016-01-01

    CZTS is a new type of an absorber and abundant materials for thin film solar cells (TFSC). Cadmium sulfide (CdS) is the n-type buffer layer of it with band gap of 2.42 eV. Cadmium sulfide (CdS) buffer layer of CZTS solar cell was deposited on soda-lime glass substrates by the Chemical Bath Deposition(CBD) method, using anhydrous Cadmium chloride(CdCl_2) and Thiourea (CS(NH_2)_2). Deposition of CdS using CBD is based on the slow release of Cd^ ions and S^ ions in an alkaline bath which is achi...

  7. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  8. Thermally oxidized aluminum as catalyst-support layer for vertically aligned single-walled carbon nanotube growth using ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Azam, Mohd Asyadi, E-mail: asyadi@jaist.ac.jp [School of Materials Science, Japan Advanced Institute of Science and Technology (JAIST), 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Fujiwara, Akihiko [Research and Utilization Division, Japan Synchrotron Radiation Research Institute (JASRI), 1-1-1, Kouto, Sayo-cho, Sayo, Hyogo 679-5198 (Japan); Shimoda, Tatsuya [School of Materials Science, Japan Advanced Institute of Science and Technology (JAIST), 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)

    2011-11-01

    Characteristics and role of Al oxide (Al-O) films used as catalyst-support layer for vertical growth of single-walled carbon nanotubes (SWCNTs) were studied. EB-deposited Al films (20 nm) were thermally oxidized at 400 deg. C (10 min, static air) to produce the most appropriate surface structure of Al-O. Al-O catalyst-support layers were characterized using various analytical measurements, i.e., atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and spectroscopy ellipsometry (SE). The thermally oxidized Al-O has a highly roughened surface, and also has the most suitable surface chemical states compared to other type of Al-O support layers. We suggest that the surface of thermally oxidized Al-O characterized in this work enhanced Co catalyst activity to promote the vertically aligned SWCNT growth.

  9. Plasmon resonance in single- and double-layer CVD graphene nanoribbons

    DEFF Research Database (Denmark)

    Wang, Di; Emani, Naresh K.; Chung, Ting Fung

    2015-01-01

    Dynamic tunability of the plasmonic resonance in graphene nanoribbons is desirable in the near-infrared. We demonstrated a constant blue shift of plasmonic resonances in double-layer graphene nanoribbons with respect to single-layer graphene nanoribbons. © OSA 2015.......Dynamic tunability of the plasmonic resonance in graphene nanoribbons is desirable in the near-infrared. We demonstrated a constant blue shift of plasmonic resonances in double-layer graphene nanoribbons with respect to single-layer graphene nanoribbons. © OSA 2015....

  10. Transformation and Deposition of Sulphur and Nitrogen Compounds in the Marine Boundary Layer

    Energy Technology Data Exchange (ETDEWEB)

    Hertel, O

    1995-10-01

    In this thesis the author performs a model study of the transformation and deposition of sulphur and nitrogen compounds in the marine boundary layer, including source-receptor relationships. The central part of the study is the development and testing of a variable scale trajectory model for Europe, with special emphasis on modelling the concentrations of gases and aerosols in the marine atmosphere and the deposition to sea. A one-dimensional version of the model was developed to model the chemical degradation of dimethyl sulphide (DMS) in the marine boundary layer. Although the model reproduces the observed levels of DMS and methane sulphonic acid (MSA) well, the calculated DMS concentration is not always in phase with observed levels, probably because of a local coastal emission that is correlated with the shifting tide. Another version of the trajectory model, Atmospheric Chemistry and Deposition model (ACDEP), was developed to study the deposition of nitrogen compounds to the Danish sea waters. This model uses a new numerical scheme, the Eulerian Backward Iterative method. The model is able to reproduce observations of air concentrations and wet deposition fairly well; data for dry deposition were not available. The model was also used for calculation of deposition of nitrogen compounds to the Kattegat. Finally, a sensitivity study was performed on the model. 175 refs., 87 figs., 32 tabs.

  11. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lindahl, Johan, E-mail: johan.lindahl@angstrom.uu.se; Hägglund, Carl, E-mail: carl.hagglund@angstrom.uu.se; Wätjen, J. Timo, E-mail: timo.watjen@angstrom.uu.se; Edoff, Marika, E-mail: marika.edoff@angstrom.uu.se; Törndahl, Tobias, E-mail: tobias.torndahl@angstrom.uu.se

    2015-07-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO{sub x} ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm{sup 3} in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap.

  12. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    International Nuclear Information System (INIS)

    Lindahl, Johan; Hägglund, Carl; Wätjen, J. Timo; Edoff, Marika; Törndahl, Tobias

    2015-01-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO x ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm 3 in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap

  13. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  14. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  15. Recent progress of atomic layer deposition on polymeric materials

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Hong Chen; Ye, Enyi [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Li, Zibiao, E-mail: lizb@imre.a-star.edu.sg [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Han, Ming-Yong [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Loh, Xian Jun, E-mail: lohxj@imre.a-star.edu.sg [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis, #08-03, Singapore 138634 (Singapore); Department of Materials Science and Engineering, National University of Singapore, Singapore 117574 (Singapore); Singapore Eye Research Institute, 20 College Road, Singapore 169856 (Singapore)

    2017-01-01

    As a very promising surface coating technology, atomic layer deposition (ALD) can be used to modify the surfaces of polymeric materials for improving their functions and expanding their application areas. Polymeric materials vary in surface functional groups (number and type), surface morphology and internal structure, and thus ALD deposition conditions that typically work on a normal solid surface, usually do not work on a polymeric material surface. To date, a large variety of research has been carried out to investigate ALD deposition on various polymeric materials. This paper aims to provide an in-depth review of ALD deposition on polymeric materials and its applications. Through this review, we will provide a better understanding of surface chemistry and reaction mechanism for controlled surface modification of polymeric materials by ALD. The integrated knowledge can aid in devising an improved way in the reaction between reactant precursors and polymer functional groups/polymer backbones, which will in turn open new opportunities in processing ALD materials for better inorganic/organic film integration and potential applications. - Highlights: • ALD deposition on different natural and synthetic polymer materials • Reaction mechanism based on the surface functional groups of polymers • Application of ALD-modified polymers in different fields.

  16. Dependence of Magnetic Properties of Co/Pt Multilayers on Deposition Temperature of Pt Buffer Layers

    Science.gov (United States)

    Shiomi, Shigeru; Nishimura, Tomotaka; Kobayashi, Tadashi; Masuda, Morio

    1993-04-01

    A 15-nm-thick Pt buffer layer was deposited on a glass slide at temperature Ts(Ptbuf) ranging from 30 to 300°C by e-gun evaporation. Following the cooling in vacuum to ambient temperature, Co and Pt layers have been alternately deposited on it. Very large perpendicular anisotropy and coercivity have been obtained at Ts(Ptbuf) higher than 200°C. The (111) preferred orientation of the Co/Pt multilayer as well as the Pt buffer layer became more pronounced with elevating Ts(Ptbuf), to which the enhancement of perpendicular anisotropy with elevating Ts(Ptbuf) might be ascribable.

  17. White-light-emitting diode based on a single-layer polymer

    Science.gov (United States)

    Wang, B. Z.; Zhang, X. P.; Liu, H. M.

    2013-05-01

    A broad-band light-emitting diode was achieved in a single-layer device based on pure poly(9,9'-dioctylfluorene-co-bis-N,N'-(4-butylphenyl)-bis-N,N'-phenyl-1,4-phenylenediamine) (PFB). Electromer emission was observed in the red with a center wavelength of about 620 nm in electroluminescence (EL) spectrum. This kind of emission exhibits strong dependence on the thickness of the PFB layer, so that the shape of the EL spectrum may be adjusted through changing the thickness of the active polymer layer to balance between the intrinsic PFB emission in the blue and the electromer emission in the red. Thus, white light emission may be achieved from such a single-layer single-material diode.

  18. Atomic layer deposition for high-efficiency crystalline silicon solar cells

    NARCIS (Netherlands)

    Macco, B.; van de Loo, B.W.H.; Kessels, W.M.M.; Bachmann, J.

    2017-01-01

    This chapter illustrates that Atomic Layer Deposition (ALD) is in fact an enabler of novel high-efficiency Si solar cells, owing to its merits such as a high material quality, precise thickness control, and the ability to prepare film stacks in a well-controlled way. It gives an overview of the

  19. Atmospheric spatial atomic layer deposition of in-doped ZnO

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Roozeboom, F.; Poodt, P.

    2014-01-01

    Indium-doped zinc oxide (ZnO:In) has been grown by spatial atomic layer deposition at atmospheric pressure (spatial-ALD). Trimethyl indium (TMIn), diethyl zinc (DEZ) and deionized water have been used as In, Zn and O precursor, respectively. The metal content of the films is controlled in the range

  20. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  1. Characterization and cytocompatibility of carbon layers prepared by photo-induced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Kubová, O.; Švorčík, V.; Heitz, J.; Moritz, S.; Romanin, C.; Matějka, P.; Macková, Anna

    2007-01-01

    Roč. 515, č. 17 (2007), s. 6765-6772 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LC06041 Institutional research plan: CEZ:AV0Z10480505 Keywords : Polytetrafluoroethylene * Carbon layer * CVD deposition * Layer properties * Cell proliferation Subject RIV: JJ - Other Materials Impact factor: 1.693, year: 2007

  2. Efficient hole-transporting layer MoO_3:CuI deposited by co-evaporation in organic photovoltaic cells

    International Nuclear Information System (INIS)

    Barkat, L.; Khelil, A.; Hssein, M.; El Jouad, Z.; Cattin, L.; Louarn, G.; Stephant, N.; Ghamnia, M.; Addou, M.; Morsli, M.; Bernede, J.C.

    2017-01-01

    In order to improve hole collection at the interface anode/electron donor in organic photovoltaic cells, it is necessary to insert a hole-transporting layer. CuI was shown to be a very efficient hole-transporting layer. However, its tendency to be quite rough tends to induce leakage currents and it is necessary to use a very slow deposition rate for CuI to avoid such negative effect. Herein, we show that the co-deposition of MoO_3 and CuI avoids this difficulty and allows deposition of a homogeneous efficient hole-collecting layer at an acceptable deposition rate. Via an XPS study, we show that blending MoO_3:CuI improves the hole collection efficiency through an increase of the gap state density. This increase is due to the formation of Mo"5"+ following interaction between MoO_3 and CuI. Not only does the co-evaporation process allow for decreasing significantly the deposition time of the hole-transporting layer, but also it increases the efficiency of the device based on the planar heterojunction, CuPc/C_6_0. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Sealing of hard CrN and DLC coatings with atomic layer deposition.

    Science.gov (United States)

    Härkönen, Emma; Kolev, Ivan; Díaz, Belén; Swiatowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe; Fenker, Martin; Toth, Lajos; Radnoczi, György; Vehkamäki, Marko; Ritala, Mikko

    2014-02-12

    Atomic layer deposition (ALD) is a thin film deposition technique that is based on alternating and saturating surface reactions of two or more gaseous precursors. The excellent conformality of ALD thin films can be exploited for sealing defects in coatings made by other techniques. Here the corrosion protection properties of hard CrN and diamond-like carbon (DLC) coatings on low alloy steel were improved by ALD sealing with 50 nm thick layers consisting of Al2O3 and Ta2O5 nanolaminates or mixtures. In cross sectional images the ALD layers were found to follow the surface morphology of the CrN coatings uniformly. Furthermore, ALD growth into the pinholes of the CrN coating was verified. In electrochemical measurements the ALD sealing was found to decrease the current density of the CrN coated steel by over 2 orders of magnitude. The neutral salt spray (NSS) durability was also improved: on the best samples the appearance of corrosion spots was delayed from 2 to 168 h. On DLC coatings the adhesion of the ALD sealing layers was weaker, but still clear improvement in NSS durability was achieved indicating sealing of the pinholes.

  4. Comparative studies on damages to organic layer during the deposition of ITO films by various sputtering methods

    Science.gov (United States)

    Lei, Hao; Wang, Meihan; Hoshi, Yoichi; Uchida, Takayuki; Kobayashi, Shinichi; Sawada, Yutaka

    2013-11-01

    Aluminum (III) bis(2-methyl-8-quninolinato)-4-phenylphenolate (BAlq) was respectively bombarded and irradiated by Ar ions, oxygen ions, electron beam and ultraviolet light to confirm damages during the sputter-deposition of transparent conductive oxide (TCO) on organic layer. The degree of damage was evaluated by the photoluminescence (PL) spectra of BAlq. The results confirmed the oxygen ions led to a larger damage and were thought to play the double roles of bombardment to organic layer and reaction with organic layer as well. The comparative studies on PL spectra of BAlq after the deposition of TCO films by various sputtering systems, such as conventional magnetron sputtering (MS), low voltage sputtering (LVS) and kinetic-energy-control-deposition (KECD) system, facing target sputtering (FTS) were performed. Relative to MS, LVS and KECD system, FTS can completely suppress the bombardment of the secondary electrons and oxygen negative ions, and keep a higher deposition rate simultaneously, thus it is a good solution to attain a low-damage sputter-deposition.

  5. Comparative studies on damages to organic layer during the deposition of ITO films by various sputtering methods

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Hao, E-mail: haolei@imr.ac.cn [State Key Laboratory for Corrosion and Protection, Division of Surface Engineering of Materials, Institute of Metal Research, Chinese Academy of Sciences, Shenyang 110016 (China); Wang, Meihan [College of Mechanical Engineering, Shenyang University, Shenyang 110044 (China); Hoshi, Yoichi; Uchida, Takayuki; Kobayashi, Shinichi; Sawada, Yutaka [Center for Hyper Media Research, Tokyo Polytechnic University, 1583 Iiyama, Atsugi, Kanagawa 243-0297 (Japan)

    2013-11-15

    Aluminum (III) bis(2-methyl-8-quninolinato)-4-phenylphenolate (BAlq) was respectively bombarded and irradiated by Ar ions, oxygen ions, electron beam and ultraviolet light to confirm damages during the sputter-deposition of transparent conductive oxide (TCO) on organic layer. The degree of damage was evaluated by the photoluminescence (PL) spectra of BAlq. The results confirmed the oxygen ions led to a larger damage and were thought to play the double roles of bombardment to organic layer and reaction with organic layer as well. The comparative studies on PL spectra of BAlq after the deposition of TCO films by various sputtering systems, such as conventional magnetron sputtering (MS), low voltage sputtering (LVS) and kinetic-energy-control-deposition (KECD) system, facing target sputtering (FTS) were performed. Relative to MS, LVS and KECD system, FTS can completely suppress the bombardment of the secondary electrons and oxygen negative ions, and keep a higher deposition rate simultaneously, thus it is a good solution to attain a low-damage sputter-deposition.

  6. Atomic layer deposition of high-mobility hydrogen-doped zinc oxide

    NARCIS (Netherlands)

    Macco, B.; Knoops, H.C.M.; Verheijen, M.A.; Beyer, W.; Creatore, M.; Kessels, W.M.M.

    2017-01-01

    In this work, atomic layer deposition (ALD) has been employed to prepare high-mobility H-doped zinc oxide (ZnO:H) films. Hydrogen doping was achieved by interleaving the ZnO ALD cycles with H2 plasma treatments. It has been shown that doping with H2 plasma offers key advantages over traditional

  7. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  8. Diluent changes the physicochemical and electrochemical properties of the electrophoretically-deposited layers of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Benko, Aleksandra, E-mail: akbenko@gmail.com [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland); Nocuń, Marek [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland); Berent, Katarzyna; Gajewska, Marta [AGH University of Science and Technology, Academic Centre for Materials and Nanotechnology, A. Mickiewicza 30 Ave, 30-059, Krakow (Poland); Klita, Łukasz; Wyrwa, Jan; Błażewicz, Marta [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland)

    2017-05-01

    Highlights: • Different properties of the EPD-deposited CNTs layers may be altered by changing the applied solvent. • More conductive solvents guarantee higher values of the recorded current densities, increasing kinetics of the deposition and yielding layers of higher thicknesses. • In a less conductive, organic medium, mobility of the particles is reduced, allowing for optimal packing and densification of the CNTs layer. • Proper solvent selection in the EPD of CNTs may lead to obtainment of CNTs—substrate materials with conductivity that is superior to an unmodified substrate. - Abstract: Coating the material of choice with a layer of well-adhered carbon nanotubes is a subject of interest in many fields of materials science and industry. Electrophoretic deposition is one of the methods to handle this challenging task. In this process, careful designing of the deposition parameters is crucial in obtaining the product of strictly desired properties. This study was aimed to identify the influence of the diluent on the physicochemical ad electrochemical qualities of the final product. By analyzing the properties of the suspensions being used, we were able to hypothesize on the mechanisms of carbon nanotubes—liquid interactions and their outcome on the thickness, homogeneity, chemical and structural composition and electrical conductivity of the metal substrate covered with a layer of carbon nanotubes. We obtained a materials, composed of metal and a layer of CNTs, with conductivity that is superior to an unmodified metal. This types of materials may find numerous applications in fabrication of novel electronic devices, including the implantable electrodes for biomedicine—as reported in our previous studies, these types of coating are biocompatible.

  9. Investigation of Ni@CoO core-shell nanoparticle films synthesized by sequential layer deposition

    International Nuclear Information System (INIS)

    Spadaro, M.C.; Luches, P.; Benedetti, F.; Valeri, S.; Turchini, S.; Bertoni, G.; Ferretti, A.M.; Capetti, E.; Ponti, A.; D’Addato, S.

    2017-01-01

    Highlights: • We studied Ni/CoO core-shell nanoparticles (NP) obtained with a gas aggregation source. • The NP oxide shells were produced bye reactive deposition of Co in Oxygen atmosphere (p_O_2 ≈ 10"−"7 mbar). • XPS, SEM, STEM were used to obtain information on Ni chemical state and NP structure and morphology. • XMCD result showed evidence of remanent magnetization at room temperature. • We interpret XMCD results as due to stabilization induced by exchange bias due to AFM/FM coupling at the core/shell interface. - Abstract: Films of Ni@CoO core-shell nanoparticles (NP Ni core size d ≈ 11 nm) have been grown on Si/SiO_x and lacey carbon supports, by a sequential layer deposition method: a first layer of CoO was evaporated on the substrate, followed by the deposition of a layer of pre-formed, mass-selected Ni NPs, and finally an overlayer of CoO was added. The Ni NPs were formed by a magnetron gas aggregation source, and mass selected with a quadrupole mass filter. The morphology of the films was investigated with Scanning Electron Microscopy and Scanning Transmission Electron Microscopy. The Ni NP cores have a shape compatible with McKay icosahedron, caused by multitwinning occurring during their growth in the source, and the Ni NP layer shows the typical random paving growth mode. After the deposition of the CoO overlayer, CoO islands are observed, gradually extending and tending to merge with each other, with the formation of shells that enclose the Ni NP cores. In situ X-ray Photoelectron Spectroscopy showed that a few Ni atomic layers localized at the core-shell interface are oxidized, hinting at the possibility of creating an intermediate NiO shell between Ni and CoO, depending on the deposition conditions. Finally, X-ray Magnetic Circular Dichroism at the Ni L_2_,_3 absorption edge showed the presence of magnetization at room temperature even at remanence, revealing the possibility of magnetic stabilization of the NP film.

  10. Influence of PEDOT:PSS on the effectiveness of barrier layers prepared by atomic layer deposition in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Wegler, Barbara, E-mail: barbara.wegler@siemens.com [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen, Germany and Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany); Schmidt, Oliver [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Hensel, Bernhard [Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany)

    2015-01-15

    Organic light emitting diodes (OLEDs) are well suited for energy saving lighting applications, especially when thinking about highly flexible and large area devices. In order to avoid the degradation of the organic components by water and oxygen, OLEDs need to be encapsulated, e.g., by a thin sheet of glass. As the device is then no longer flexible, alternative coatings are required. Atomic layer deposition (ALD) is a very promising approach in this respect. The authors studied OLEDs that were encapsulated by 100 nm Al{sub 2}O{sub 3} deposited by ALD. The authors show that this coating effectively protects the active surface area of the OLEDs from humidity. However, secondary degradation processes still occur at sharp edges of the OLED stack where the extremely thin encapsulation layer does not provide perfect coverage. Particularly, the swelling of poly(3,4-ethylenedioxythiophene) mixed with poly(styrenesulfonate), which is a popular choice for the planarization of the bottom electrode and at the same time acts as a hole injection layer, affects the effectiveness of the encapsulation layer.

  11. Selenization of CIS and CIGS layers deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Babu, B. J.; Egaas, B.; Velumani, S.

    2018-03-21

    Cu(In1-xGax)Se2 (CIGS) thin films with x=0 (CIS) and x=0.3 (CIGS) were prepared on Mo-coated glass substrate by using chemical spray pyrolysis at a substrate temperature of 350 degrees C, followed by selenization treatment at 550 degrees C in selenium environment under N2 gas flow. X-ray diffraction patterns of as-deposited CIGS layers on Mo showed polycrystalline chalcopyrite phase with an intense (112) plane. Splitting of (204)/(220) and (116)/(312) planes for the film with x=0.3 reveals deviation of tetragonal nature. Field emission scanning electron microscopy cross-sectional images of selenized films showed clear re-crystallization of grains. During the selenization process of the CIGS absorber, a thin interface layer of MoSe2 is formed. Line mapping of Mo/CIGS layer showed more gallium segregation at the interface of back contact resulting in band gap grading. Chemical composition and mapping of the as-deposited and selenized samples were determined by energy dispersive analysis of X-rays. This work leads to fabrication of low cost and large scale Mo/CIGS/CdS/ZnO/ZnO:Al device structure.

  12. Investigation of the electrochemical deposition of thick layers of cadmium telluride

    International Nuclear Information System (INIS)

    Rousset, J.

    2007-04-01

    This research thesis deals with the problem of electrochemical deposition of thick layers of cadmium telluride (CdTe) meeting the requirements of high energy radiation detection. The author first recalls the physicochemical properties of CdTe and the basic principles of radiology. He details the different criteria which define a material for X ray detection. He describes the experimental conditions, the nature and preparation of substrates, and the different electrochemical systems used in this research. He studies the impact of the applied potential on the material properties, and compares previously obtained results available in the literature with those obtained in the chosen pool conditions. He discusses the synthesis of CdTe thick layers for which different methods are tested: static in potential, static in intensity, pulsed. The coatings obtained with a given potential and then with a given current are investigated. Finally, the influence of a thermal treatment in presence or absence of a sintering agent on the morphology, the chemical composition, and the crystalline and electric properties of the deposited material is discussed, and the results of the behaviour under X rays of a electrodeposited layer are presented

  13. Electrostatic deposition of a micro solder particle using a single probe by applying a single rectangular pulse

    International Nuclear Information System (INIS)

    Nakabayashi, Daizo; Sawai, Kenji; Saito, Shigeki; Takahashi, Kunio

    2012-01-01

    Recently, micromanipulation techniques have been in high demand. A technique to deposit a metal microparticle onto a metal substrate by using a single metal probe has been proposed as one of the techniques. A solder particle with a diameter of 20–30 µm, initially adhering to the probe tip, is detached and deposited onto a substrate. The success rate of the particle deposition was 44% in the previous research, and is insufficient for industrial applications. In this paper, a technique of particle deposition by applying a single rectangular pulse is proposed, and the mechanism of the deposition is described. In the mechanism, an electric discharge between the probe and the particle when the particle reaches the substrate plays an important role in the particle deposition. Moreover, the mechanism of the proposed technique is verified by experiments of particle deposition, which are observed using a high-speed camera, a scanning electron microscope (SEM) and an oscilloscope. The success rate of the particle deposition has increased to 93% by the proposed technique. Furthermore, the damage to the particle by the electric discharge is evaluated using an RC circuit model, and the applicability of the proposed technique is discussed. (paper)

  14. Plasma-Assisted Deposition of Au/SiO2 Multi-layers as Surface Plasmon Resonance-Based Red-Colored Coatings

    NARCIS (Netherlands)

    Beyene, H. T.; Tichelaar, F. D.; Verheijen, M. A.; M. C. M. van de Sanden,; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  15. Plasma assisted deposition of Au/SiO2 multi-layers as surface plasmon resonance-based red colored coatings

    NARCIS (Netherlands)

    Takele Beyene, H.T.; Tichelaar, F.D.; Verheijen, M.A.; Sanden, van de M.C.M.; Creatore, M.

    2011-01-01

    In this work, the expanding thermal plasma chemical vapor deposition in combination with radio frequency magnetron sputtering is used to deposit dielectric/metal multi-layers with controlled size and density of nanoparticles. The multi-layer structure serves the purpose of increasing the

  16. Barrier properties of plastic films coated with an Al{sub 2}O{sub 3} layer by roll-to-toll atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hirvikorpi, Terhi, E-mail: Terhi.Hirvikorpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Laine, Risto, E-mail: Risto.Laine@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vähä-Nissi, Mika, E-mail: Mika.Vaha-Nissi@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kilpi, Väinö, E-mail: Vaino.Kilpi@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Salo, Erkki, E-mail: Erkki.Salo@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Li, Wei-Min, E-mail: Wei-Min.Li@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Lindfors, Sven, E-mail: Sven.Lindfors@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland); Vartiainen, Jari, E-mail: Jari.Vartiainen@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kenttä, Eija, E-mail: Eija.Kentta@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Nikkola, Juha, E-mail: Juha.Nikkola@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1300, FI-33101 Tampere (Finland); Harlin, Ali, E-mail: Ali.Harlin@vtt.fi [VTT Technical Research Centre of Finland, Biologinkuja 7, Espoo, P.O. Box 1000, FI-02044 VTT (Finland); Kostamo, Juhana, E-mail: Juhana.Kostamo@picosun.com [Picosun Oy, Tietotie 3, FI-02150 Espoo (Finland)

    2014-01-01

    Thin (30–40 nm) and highly uniform Al{sub 2}O{sub 3} coatings have been deposited at relatively low temperature of 100 °C onto various polymeric materials employing the atomic layer deposition (ALD) technique, both batch and roll-to-roll (R2R) mode. The applications for ALD have long been limited those feasible for batch processing. The work demonstrates that R2R ALD can deposit thin films with properties that are comparable to the film properties fabricated by in batch. This accelerates considerably the commercialization of many products, such as flexible, printed electronics, organic light-emitting diode lighting, third generation thin film photovoltaic devices, high energy density thin film batteries, smart textiles, organic sensors, organic/recyclable packaging materials, and flexible displays, to name a few. - Highlights: • Thin and uniform Al{sub 2}O{sub 3} coatings have been deposited onto polymers materials. • Batch and roll-to-roll (R2R) atomic layer deposition (ALD) have been employed. • Deposition with either process improved the barrier properties. • Sensitivity of coated films to defects affects barrier obtained with R2R ALD.

  17. Spraypainting of deposits for nuclear measurements

    International Nuclear Information System (INIS)

    Pauwels, J.; Tjoonk, J.

    1979-01-01

    A painting method for the preparation of uranium deposits on metallic backings using an aerograph is described. The advantages of this method compared to classical brush-painting are that very simple masks can be used to obtain geometrically well defined deposits, practically without border effects, and that rather important thicknesses can be obtained in one single spraying operation. The adherence of the layers is comparable to that obtained in brush painting. Targets prepared in a single spraying operation have still acceptable homogeneities. (orig.)

  18. Solar cell of 6.3% efficiency employing high deposition rate (8 nm/s) microcrystalline silicon photovoltaic layer

    Energy Technology Data Exchange (ETDEWEB)

    Sobajima, Yasushi; Nishino, Mitsutoshi; Fukumori, Taiga; Kurihara, Masanori; Higuchi, Takuya; Nakano, Shinya; Toyama, Toshihiko; Okamoto, Hiroaki [Department of Systems Innovation, Graduate School of Engineering Science, Osaka University, Toyonaka, Machikaneyama-cho 1-3, Osaka 560-8531 (Japan)

    2009-06-15

    Microcrystalline silicon ({mu}c-Si) films deposited at high growth rates up to 8.1 nm/s prepared by very-high-frequency-plasma-enhanced chemical vapor deposition (VHF-PECVD) at 18-24 Torr have been investigated. The relation between the deposition rates and input power revealed the depletion of silane. Under high-pressure deposition (HPD) conditions, the structural properties were improved. Furthermore, applying {mu}c-Si to n-i-p solar cells, short-circuit current density (J{sub SC}) was increased in accordance with the improvement of microstructure of i-layer. As a result, a conversion efficiency of 6.30% has been achieved employing the i-layer deposited at 8.1 nm/s under the HPD conditions. (author)

  19. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al2O3 gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    Directory of Open Access Journals (Sweden)

    Takeshi Aoki

    2015-08-01

    Full Text Available This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS structures comprising a Al2O3 gate oxide, deposited via atomic layer deposition (ALD, with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD. The established protocol afforded self-limiting growth of Al2O3 in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al2O3 layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resulting MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (Dit near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce Dit to below 2 × 1012 cm−2 eV−1. Using a (111A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.

  20. Deuterium trapping in the carbon-silicon co-deposition layers prepared by RF sputtering in D2 atmosphere

    Science.gov (United States)

    Zhang, Hongliang; Zhang, Weiyuan; Su, Ranran; Tu, Hanjun; Shi, Liqun; Hu, Jiansheng

    2018-04-01

    Deuterated carbon-silicon layers co-deposited on graphite and silicon substrates by radio frequency magnetron sputtering in pure D2 plasma were produced to study deuterium trapping and characteristics of the C-Si layers. The C-Si co-deposited layers were examined by ion beam analysis (IBA), Raman spectroscopy (RS), infrared absorption (IR) spectroscopy, thermal desorption spectroscopy (TDS) and scanning electron microscopy (SEM). It was found that the growth rate of the C-Si co-deposition layer decreased with increasing temperature from 350 K to 800 K, the D concentration and C/Si ratios increased differently on graphite and silicon substrates. TDS shows that D desorption is mainly as D2, HD, HDO, CD4, and C2D4 and release peaks occurred at temperatures of less than 900 K. RS and IR analysis reveal that the structure of the C-Si layers became more disordered with increasing temperatures. Rounded areas of peeling with 1-2 μm diameters were observed on the surface.

  1. Electrocatalytic activity of atomic layer deposited Pt-Ru catalysts onto N-doped carbon nanotubes

    NARCIS (Netherlands)

    Johansson, A.-C.; Larsen, J.V.; Verheijen, M.A.; Haugshøj, K.B.; Clausen, H.; Kessels, W.M.M.; Christensen, L.H.; Thomsen, E.V.

    2014-01-01

    Pt-Ru catalysts of various compositions, between 0 and 100 at.% of Ru, were deposited onto N-doped multi-walled carbon nanotubes (N-CNTs) by atomic layer deposition (ALD) at 250 C. The Pt and Ru precursors were trimethyl(methylcyclopentadienyl)platinum (MeCpPtMe3) and

  2. Electrochemical preparation of MoO{sub 3} buffer layer deposited onto the anode in organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Gacitua, M.; Soto, G.; Valle, M.A. del [Pontificia Universidad Catolica de Chile, Facultad de Quimica, Laboratorio de Electroquimica de Polimeros (LEP), Santiago (Chile); Boutaleb, Y.; Rehamnia, R. [Laboratoire d' Electrochimie, Universite Badji Mokhtar, Annaba (Algeria); Cattin, L.; Louarn, G. [Universite de Nantes, Nantes Atlantique Universites, Institut des Materiaux Jean Rouxel (IMN)-CNRS, Faculte des Sciences et Techniques, Nantes (France); Abe, S.Y. [Laboratoire de Physique de la Matiere Condensee et de Technologie (LPMCT), Universite de Cocody (Ivory Coast); Lare, Y. [Laboratoire d' Energie Solaire, Universite de Lome, Lome (Togo); Morsli, M; Bernede, J.C. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, Nantes (France); Drici, A. [LEREC Departement de physique, Universite Badji Mokhtar, Annaba (Algeria)

    2010-08-15

    In this work the authors have studied the advantages of using electrochemically deposited molybdenum oxide as a buffer layer in an organic bilayer heterojunction solar cell arrangement. Furthermore, it has been probed that electrochemistry provides an alternative low cost, reproducible and less laborious method to prepare thin layered deposits. The precursor solution is composed by a concentrated molybdic acid solution in a sulphuric media in order to ensure the obtainment of low reduced molybdenum species. Therefore, by means of potentiostatic techniques, ITO/molybdenum oxide transparent anodes were tested for the photovoltaic device showing improved surface properties. XDR and AFM techniques were used to characterize the morphology of the deposits. The films with optimum thickness (5 nm) are amorphous. XPS analysis indicates that the best results in solar cell performance are in hand with a heterogeneous composition of the molybdenum oxide film presenting Mo{sup V} and Mo{sup VI} as predominant species. The MoO{sub 3} films deposited by cyclic voltammetry are not as homogeneous as those deposited by potentiostatic technique and only Mo{sup VI} species are present. These differences may justify the different behaviour of the solar cells using these different buffer layers. Only buffer layers deposited by potentiostatic technique allow improving the cells performances in the same way than those achieved by evaporation. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  3. Atomic-layer deposited passivation schemes for c-Si solar cells

    NARCIS (Netherlands)

    van de Loo, B.W.H.; Macco, B.; Melskens, J.; Verheijen, M.A.; Kessels, W.M.M.E.

    2016-01-01

    A review of recent developments in the field of passivation of c-Si surfaces is presented, with a particular focus on materials that can be prepared by atomic layer deposition (ALD). Besides Al2O3, various other novel passivation schemes have recently been developed, such as Ga2O3, Ta2O5,

  4. Pulsed laser deposition of YBCO coated conductor using Y2O3 as the seed and cap layer

    International Nuclear Information System (INIS)

    Barnes, P N; Nekkanti, R M; Haugan, T J; Campbell, T A; Yust, N A; Evans, J M

    2004-01-01

    Although a variety of buffer layers have been routinely reported, a standard architecture commonly used for the Y Ba 2 Cu 3 O 7-x (YBCO) coated conductor is Y BCO/CeO 2 /Y SZ/CeO 2 /substrate or Y BCO/CeO 2 /Y SZ/Y 2 O 3 /substrate where ceria is typically the cap layer. CeO 2 is generally used as only a seed (or cap layer) since cracking within the film occurs in thicker CeO 2 layers due to the stress of lattice mismatching. Y 2 O 3 has been proposed as a seed and as a cap layer but usually not for both in a given architecture, especially with all layers deposited in situ. Yttrium oxide films grown on nickel by electron beam evaporation processes were found to be dense and crack free with good epitaxy. In this report, pulsed laser deposition (PLD) of Y 2 O 3 is given where Y 2 O 3 serves as both the seed and cap layer in the YBCO architecture. A comparison to PLD CeO 2 is provided. Deposited layers of the YBCO coated conductor are also grown by laser ablation. Initial deposition resulted in specimens on textured Ni substrates with current densities of more than 1 MA cm -2 at 77 K, self-field

  5. Determination of band offsets at GaN/single-layer MoS2 heterojunction

    KAUST Repository

    Tangi, Malleswararao

    2016-07-25

    We report the band alignment parameters of the GaN/single-layer (SL) MoS2 heterostructure where the GaN thin layer is grown by molecular beam epitaxy on CVD deposited SL-MoS2/c-sapphire. We confirm that the MoS2 is an SL by measuring the separation and position of room temperature micro-Raman E1 2g and A1 g modes, absorbance, and micro-photoluminescence bandgap studies. This is in good agreement with HRTEM cross-sectional analysis. The determination of band offset parameters at the GaN/SL-MoS2 heterojunction is carried out by high-resolution X-ray photoelectron spectroscopy accompanying with electronic bandgap values of SL-MoS2 and GaN. The valence band and conduction band offset values are, respectively, measured to be 1.86 ± 0.08 and 0.56 ± 0.1 eV with type II band alignment. The determination of these unprecedented band offset parameters opens up a way to integrate 3D group III nitride materials with 2D transition metal dichalcogenide layers for designing and modeling of their heterojunction based electronic and photonic devices.

  6. Determination of band offsets at GaN/single-layer MoS2 heterojunction

    KAUST Repository

    Tangi, Malleswararao; Mishra, Pawan; Ng, Tien Khee; Hedhili, Mohamed N.; Janjua, Bilal; Alias, Mohd Sharizal; Anjum, Dalaver H.; Tseng, Chien-Chih; Shi, Yumeng; Joyce, Hannah J.; Li, Lain-Jong; Ooi, Boon S.

    2016-01-01

    We report the band alignment parameters of the GaN/single-layer (SL) MoS2 heterostructure where the GaN thin layer is grown by molecular beam epitaxy on CVD deposited SL-MoS2/c-sapphire. We confirm that the MoS2 is an SL by measuring the separation and position of room temperature micro-Raman E1 2g and A1 g modes, absorbance, and micro-photoluminescence bandgap studies. This is in good agreement with HRTEM cross-sectional analysis. The determination of band offset parameters at the GaN/SL-MoS2 heterojunction is carried out by high-resolution X-ray photoelectron spectroscopy accompanying with electronic bandgap values of SL-MoS2 and GaN. The valence band and conduction band offset values are, respectively, measured to be 1.86 ± 0.08 and 0.56 ± 0.1 eV with type II band alignment. The determination of these unprecedented band offset parameters opens up a way to integrate 3D group III nitride materials with 2D transition metal dichalcogenide layers for designing and modeling of their heterojunction based electronic and photonic devices.

  7. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  8. Changes in wetting and energetic properties of glass caused by deposition of different lipid layers

    Energy Technology Data Exchange (ETDEWEB)

    Golabek, Monika [Department of Physical Chemistry - Interfacial Phenomena, Faculty of Chemistry, Maria-Curie Sklodowska University, 20-031 Lublin (Poland); Holysz, Lucyna, E-mail: lucyna.holysz@poczta.umcs.lublin.pl [Department of Physical Chemistry - Interfacial Phenomena, Faculty of Chemistry, Maria-Curie Sklodowska University, 20-031 Lublin (Poland)

    2010-06-15

    An investigation of wetting and energetic properties of different lipid layers deposited on the glass surface was carried out by contact angles measurements and determination of the apparent surface free energy. The topography of the lipid layers was also determined with the help of atomic force microscopy (AFM). Two synthetic phospholipids were chosen for these studies, having the same phosphatidylcholine headgroup bound to the apolar part composed either by two saturated chains (1,2-dipalmitoyl-sn-glycero-3-phospshocholine - DPPC) or two unsaturated chains (1,2-dioleoyl-sn-glycero-3-phosphocholine - DOPC) and one lipid (1,2,3-trihexadecanoyl-sn-glycerol - tripalmitoylglycerol - TPG). The lipid layers, from the 1st to the 5th statistical monolayer, were deposited on the glass surface from chloroform solutions by spreading. The apparent surface free energy of the deposited layers was determined by contact angles measurements (advancing and receding) for three probe liquids (diiodomethane, water, and formamide), and then two concepts of interfacial interactions were applied. In the contact angle hysteresis approach (CAH) the apparent total surface free energy was calculated from the advancing and receding contact angles and surface tension of probe liquids. In the Lifshitz-van der Waals/acid-base approach (LWAB) the total surface free energy was calculated from the determined components of the energy, which were obtained from the advancing contact angles of the probe liquids only. Comparison of the results obtained by two approaches provided more information about the changes in the hydrophobicity/hydrophilicity of the layers depending on the number of monolayers and kind of the lipid deposited on the glass surface. It was found that the most visible changes in the surface free energy took place for the first two statistical monolayers irrespectively of the kind of the lipid used. Additionally, in all cases periodic oscillations from layer-to-layer in the lipid

  9. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  10. Monitoring of Soft Deposition Layers in Liquid-Filled Tubes with Guided Acoustic Waves Excited by Clamp-on Transducers.

    Science.gov (United States)

    Tietze, Sabrina; Singer, Ferdinand; Lasota, Sandra; Ebert, Sandra; Landskron, Johannes; Schwuchow, Katrin; Drese, Klaus Stefan; Lindner, Gerhard

    2018-02-09

    The monitoring of liquid-filled tubes with respect to the formation of soft deposition layers such as biofilms on the inner walls calls for non-invasive and long-term stable sensors, which can be attached to existing pipe structures. For this task a method is developed, which uses an ultrasonic clamp-on device. This method is based on the impact of such deposition layers on the propagation of circumferential guided waves on the pipe wall. Such waves are partly converted into longitudinal compressional waves in the liquid, which are back-converted to guided waves in a circular cross section of the pipe. Validating this approach, laboratory experiments with gelatin deposition layers on steel tubes exhibited a distinguishable sensitivity of both wave branches with respect to the thickness of such layers. This allows the monitoring of the layer growth.

  11. Sol-gel deposition of buffer layers on biaxially textured metal substances

    Science.gov (United States)

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  12. Numerical modelling of the erosion and deposition of sand inside a filter layer

    DEFF Research Database (Denmark)

    Jacobsen, Niels Gjøl; van Gent, Marcel R. A.; Fredsøe, Jørgen

    2017-01-01

    This paper treats the numerical modelling of the behaviour of a sand core covered by rocks and exposed to waves. The associated displacement of the rock is also studied. A design that allows for erosion and deposition of the sand core beneath a rock layer in a coastal structure requires an accurate...... prediction method to assure that the amount of erosion remains within acceptable limits. This work presents a numerical model that is capable of describing the erosion and deposition patterns inside of an open filter of rock on top of sand. The hydraulic loading is that of incident irregular waves...... and the open filters are surface piercing. Due to the few experimental data sets on sediment transport inside of rock layers, a sediment transport formulation has been proposed based on a matching between the numerical model and experimental data on the profile deformation inside an open filter. The rock layer...

  13. Layer-selective synthesis of bilayer graphene via chemical vapor deposition

    Science.gov (United States)

    Yang, Ning; Choi, Kyoungjun; Robertson, John; Park, Hyung Gyu

    2017-09-01

    A controlled synthesis of high-quality AB-stacked bilayer graphene by chemical vapor deposition demands a detailed understanding of the mechanism and kinetics. By decoupling the growth of the two layers via a growth-and-regrowth scheme, we report the kinetics and termination mechanisms of the bilayer graphene growth on copper. We observe, for the first time, that the secondary layer growth follows Gompertzian kinetics. Our observations affirm the postulate of a time-variant transition from a mass-transport-limited to a reaction-limited regimes and identify the mechanistic disparity between the monolayer growth and the secondary-layer expansion underneath the monolayer cover. It is the continuous carbon supply that drives the expansion of the graphene secondary layer, rather than the initially captured carbon amount, suggesting an essential role of the surface diffusion of reactant adsorbates in the interspace between the top graphene layer and the underneath copper surface. We anticipate that the layer selectivity of the growth relies on the entrance energetics of the adsorbed reactants to the graphene-copper interspace across the primary-layer edge, which could be engineered by tailoring the edge termination state. The temperature-reliant saturation area of the secondary-layer expansion is understood as a result of competitive attachment of carbon and hydrogen adatoms to the secondary-layer graphene edge.

  14. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  15. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  16. Nucleation and growth kinetics for intercalated islands during deposition on layered materials with isolated pointlike surface defects

    International Nuclear Information System (INIS)

    Han, Yong; Lii-Rosales, A.; Zhou, Y.; Wang, C.-J.

    2017-01-01

    Theory and stochastic lattice-gas modeling is developed for the formation of intercalated metal islands in the gallery between the top layer and the underlying layer at the surface of layered materials. Our model for this process involves deposition of atoms, some fraction of which then enter the gallery through well-separated pointlike defects in the top layer. Subsequently, these atoms diffuse within the subsurface gallery leading to nucleation and growth of intercalated islands nearby the defect point source. For the case of a single point defect, continuum diffusion equation analysis provides insight into the nucleation kinetics. However, complementary tailored lattice-gas modeling produces a more comprehensive and quantitative characterization. We analyze the large spread in nucleation times and positions relative to the defect for the first nucleated island. We also consider the formation of subsequent islands and the evolution of island growth shapes. The shapes reflect in part our natural adoption of a hexagonal close-packed island structure. As a result, motivation and support for the model is provided by scanning tunneling microscopy observations of the formation of intercalated metal islands in highly-ordered pyrolytic graphite at higher temperatures.

  17. Effect of hydrogen on passivation quality of SiNx/Si-rich SiNx stacked layers deposited by catalytic chemical vapor deposition on c-Si wafers

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2015-01-01

    We investigate the role of hydrogen content and fixed charges of catalytic chemical vapor deposited (Cat-CVD) SiN x /Si-rich SiN x stacked layers on the quality of crystalline silicon (c-Si) surface passivation. Calculated density of fixed charges is on the order of 10 12 cm −2 , which is high enough for effective field effect passivation. Hydrogen content in the films is also found to contribute significantly to improvement in passivation quality of the stacked layers. Furthermore, Si-rich SiN x films deposited with H 2 dilution show better passivation quality of SiN x /Si-rich SiN x stacked layers than those prepared without H 2 dilution. Effective minority carrier lifetime (τ eff ) in c-Si passivated by SiN x /Si-rich SiN x stacked layers is as high as 5.1 ms when H 2 is added during Si-rich SiN x deposition, which is much higher than the case of using Si-rich SiN x films prepared without H 2 dilution showing τ eff of 3.3 ms. - Highlights: • Passivation mechanism of Si-rich SiN x /SiN x stacked layers is investigated. • H atoms play important role in passivation quality of the stacked layer. • Addition of H 2 gas during Si-rich SiN x film deposition greatly enhances effective minority carrier lifetime (τ eff ). • For a Si-rich SiN x film with refractive index of 2.92, τ eff improves from 3.3 to 5.1 ms by H 2 addition

  18. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  19. [Single-layer colonic anastomoses using polyglyconate (Maxon) vs. two-layer anastomoses using chromic catgut and silk. Experimental study].

    Science.gov (United States)

    García-Osogobio, Sandra Minerva; Takahashi-Monroy, Takeshi; Velasco, Liliana; Gaxiola, Miguel; Sotres-Vega, Avelina; Santillán-Doherty, Patricio

    2006-01-01

    The safety of an intestinal anastomosis is usually measured by its complication rate, especially the incidence of anastomotic leakage. A wide variety of methods have been described to reestablish intestinal continuity including single-layer continuous or two-layer interrupted anastomosis. To evaluate if the single-layer continuous anastomosis using polygluconate is safer and reliable than two-layer interrupted anastomosis with chromic catgut and silk. A prospective, experimental, randomized and comparative analysis was conducted in 20 dogs. They were divided in two groups; group 1 underwent two-layer interrupted anastomosis and group 2 underwent sigle-layer continuous technique. Anastomoses were timed. Both groups were under observation. Anastomotic leakage, and other complications were evaluated. The animals were sacrified and the anastomosis was taken out together with 10 cm of colon on both sides of the anastomosis. Breaking strength, histologic evaluation and hydroxyproline determination were performed. Ten two-layer anastomosis and ten single-layer anastomosis were performed. A median of 25 minutes (range: 20-30 minutes) was required to construct the anastomoses in group 1 versus 20 minutes (range: 12-25 minutes) in group 2. All animals survived and no leakage was observed. Wound infection ocurred in four dogs (20%). Median breaking strength was 230 mm Hg in group 1 and 210 mm Hg in group 2. Hydroxyproline concentration was 8.94 mg/g in group 1 (range: 5.33-16.71) and 9.94 mg/g in group 2 (range: 2.96-21.87). There was no difference among groups about the inflammatory response evaluated by pathology. There was no statistical significance in any variable evaluated. CONCLUIONS: This study demonstrates that a single-layer continuous is similar in terms of safety to the two-layer technique, but because of its facility to perform, the single-layer technique could be superior.

  20. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  1. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization.

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M

    2018-05-04

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO 2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al 2 O 3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  2. The Effect of Single Pyramidal Neuron Firing Within Layer 2/3 and Layer 4 in Mouse V1.

    Science.gov (United States)

    Meyer, Jochen F; Golshani, Peyman; Smirnakis, Stelios M

    2018-01-01

    The influence of cortical cell spiking activity on nearby cells has been studied extensively in vitro . Less is known, however, about the impact of single cell firing on local cortical networks in vivo . In a pioneering study, Kwan and Dan (Kwan and Dan, 2012) reported that in mouse layer 2/3 (L2/3), under anesthesia , stimulating a single pyramidal cell recruits ~2.1% of neighboring units. Here we employ two-photon calcium imaging in layer 2/3 of mouse V1, in conjunction with single-cell patch clamp stimulation in layer 2/3 or layer 4, to probe, in both the awake and lightly anesthetized states , how (i) activating single L2/3 pyramidal neurons recruits neighboring units within L2/3 and from layer 4 (L4) to L2/3, and whether (ii) activating single pyramidal neurons changes population activity in local circuit. To do this, it was essential to develop an algorithm capable of quantifying how sensitive the calcium signal is at detecting effectively recruited units ("followers"). This algorithm allowed us to estimate the chance of detecting a follower as a function of the probability that an epoch of stimulation elicits one extra action potential (AP) in the follower cell. Using this approach, we found only a small fraction (layer-2/3 or layer-4 pyramidal neurons produces few (<1% of local units) reliable single-cell followers in L2/3 of mouse area V1, either under light anesthesia or in quiet wakefulness: instead, single cell stimulation was found to elevate aggregate population activity in a weak but highly distributed fashion.

  3. Superconducting structure with layers of niobium nitride and aluminum nitride

    International Nuclear Information System (INIS)

    Murduck, J.M.; Lepetre, Y.J.; Schuller, I.K.; Ketterson, J.B.

    1989-01-01

    A superconducting structure is formed by depositing alternate layers of aluminum nitride and niobium nitride on a substrate. Deposition methods include dc magnetron reactive sputtering, rf magnetron reactive sputtering, thin-film diffusion, chemical vapor deposition, and ion-beam deposition. Structures have been built with layers of niobium nitride and aluminum nitride having thicknesses in a range of 20 to 350 Angstroms. Best results have been achieved with films of niobium nitride deposited to a thickness of approximately 70 Angstroms and aluminum nitride deposited to a thickness of approximately 20 Angstroms. Such films of niobium nitride separated by a single layer of aluminum nitride are useful in forming Josephson junctions. Structures of 30 or more alternating layers of niobium nitride and aluminum nitride are useful when deposited on fixed substrates or flexible strips to form bulk superconductors for carrying electric current. They are also adaptable as voltage-controlled microwave energy sources. 8 figs

  4. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  5. Development and application of a green-chemistry solution deposition technique for buffer layer coating on cube-textured metal substrates in view of further deposition of rare-earth based superconductors

    DEFF Research Database (Denmark)

    Pallewatta, Pallewatta G A P

    which consist of YBCO superconducting coatings on cube-textured Ni based alloy tapes.  Before the epitaxial deposition this superconducting layer, a buffer layer is applied on the metal substrate as a diffusion barrier which is also required to transfer the strong texture of the underlying substrate......, allowing the epitaxial growth of the superconducting layer. State-of-the-art coated conductor hetero structures are mainly based on CeO2 based buffer stacks that consist of a sequence of several different buffer layers. Buffer layers deposited by continuous chemical deposition techniques, which...... is expected to be very advantageous in reel-to-reel applications. The thickness of these SrTiO3 monolayers was large enough to stop the nickel and copper diffusion from the Cu/Ni substrate. Hence, the developed high quality buffer layers are expected to be acting as efficient diffusion barriers and also...

  6. Stratigraphy of the north polar layered deposits of Mars from high-resolution topography

    Science.gov (United States)

    Becerra, Patricio; Byrne, Shane; Sori, Michael M.; Sutton, Sarah; Herkenhoff, Kenneth E.

    2016-01-01

    The stratigraphy of the layered deposits of the polar regions of Mars is theorized to contain a record of recent climate change linked to insolation changes driven by variations in the planet's orbital and rotational parameters. In order to confidently link stratigraphic signals to insolation periodicities, a description of the stratigraphy is required based on quantities that directly relate to intrinsic properties of the layers. We use stereo Digital Terrain Models (DTMs) from the High Resolution Imaging Science Experiment (HiRISE) to derive a characteristic of North Polar Layered Deposits (NPLD) strata that can be correlated over large distances: the topographic protrusion of layers exposed in troughs, which is a proxy for the layers’ resistance to erosion. Using a combination of image analysis and a signal-matching algorithm to correlate continuous depth-protrusion signals taken from DTMs at different locations, we construct a stratigraphic column that describes the upper ~500 m of at least 7% of the area of the NPLD, and find accumulation rates that vary by factors of up to two. We find that, when coupled with observations of exposed layers in orbital images, the topographic expression of the strata is consistently continuous through large distances in the top 300 – 500 m of the NPLD, suggesting it is better related to intrinsic layer properties than brightness alone.

  7. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  8. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    Science.gov (United States)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  9. Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition.

    Science.gov (United States)

    Lou, Xiabing; Zhou, Hong; Kim, Sang Bok; Alghamdi, Sami; Gong, Xian; Feng, Jun; Wang, Xinwei; Ye, Peide D; Gordon, Roy G

    2016-12-14

    We demonstrate for the first time that a single-crystalline epitaxial Mg x Ca 1-x O film can be deposited on gallium nitride (GaN) by atomic layer deposition (ALD). By adjusting the ratio between the amounts of Mg and Ca in the film, a lattice matched Mg x Ca 1-x O/GaN(0001) interface can be achieved with low interfacial defect density. High-resolution X-ray diffraction (XRD) shows that the lattice parameter of this ternary oxide nearly obeys Vegard's law. An atomically sharp interface from cross-sectional transmission electron microscopy (TEM) confirmed the high quality of the epitaxy. High-temperature capacitance-voltage characterization showed that the film with composition Mg 0.25 Ca 0.75 O has the lowest interfacial defect density. With this optimal oxide composition, a Mg 0.25 Ca 0.75 O/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility (MOS-HEMT) device was fabricated. An ultrahigh on/off ratio of 10 12 and a near ideal SS of 62 mV/dec were achieved with this device.

  10. Anti corrosion layer for stainless steel in molten carbonate fuel cell - comprises phase vapour deposition of titanium nitride, aluminium nitride or chromium nitride layer then oxidising layer in molten carbonate electrolyte

    DEFF Research Database (Denmark)

    2000-01-01

    Forming an anticorrosion protective layer on a stainless steel surface used in a molten carbonate fuel cell (MCFC) - comprises the phase vapour deposition (PVD) of a layer comprising at least one of titanium nitride, aluminium nitride or chromium nitride and then forming a protective layer in situ...

  11. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  12. Efficient hole-transporting layer MoO{sub 3}:CuI deposited by co-evaporation in organic photovoltaic cells

    Energy Technology Data Exchange (ETDEWEB)

    Barkat, L.; Khelil, A. [Universite d' Oran 1 - Ahmed Ben Bella, LPCM2E, Oran (Algeria); Hssein, M. [Universite de Nantes, Institut des Materiaux Jean Rouxel (IMN), CNRS, UMR 6502, Nantes (France); Laboratoire Optoelectronique et Physico-chimie des Materiaux, Universite Ibn Tofail, Faculte des Sciences, Kenitra (Morocco); El Jouad, Z. [Laboratoire Optoelectronique et Physico-chimie des Materiaux, Universite Ibn Tofail, Faculte des Sciences, Kenitra (Morocco); Universite de Nantes, MOLTECH-Anjou, CNRS, UMR 6200, Nantes (France); Cattin, L.; Louarn, G.; Stephant, N. [Universite de Nantes, Institut des Materiaux Jean Rouxel (IMN), CNRS, UMR 6502, Nantes (France); Ghamnia, M. [Universite d' Oran 1 - Ahmed Ben Bella, Laboratoire des Sciences de la Matiere Condensee (LSMC), Oran (Algeria); Addou, M. [Laboratoire Optoelectronique et Physico-chimie des Materiaux, Universite Ibn Tofail, Faculte des Sciences, Kenitra (Morocco); Morsli, M. [Universite de Nantes, Faculte des Sciences et des Techniques, Nantes (France); Bernede, J.C. [Universite de Nantes, MOLTECH-Anjou, CNRS, UMR 6200, Nantes (France)

    2017-01-15

    In order to improve hole collection at the interface anode/electron donor in organic photovoltaic cells, it is necessary to insert a hole-transporting layer. CuI was shown to be a very efficient hole-transporting layer. However, its tendency to be quite rough tends to induce leakage currents and it is necessary to use a very slow deposition rate for CuI to avoid such negative effect. Herein, we show that the co-deposition of MoO{sub 3} and CuI avoids this difficulty and allows deposition of a homogeneous efficient hole-collecting layer at an acceptable deposition rate. Via an XPS study, we show that blending MoO{sub 3}:CuI improves the hole collection efficiency through an increase of the gap state density. This increase is due to the formation of Mo{sup 5+} following interaction between MoO{sub 3} and CuI. Not only does the co-evaporation process allow for decreasing significantly the deposition time of the hole-transporting layer, but also it increases the efficiency of the device based on the planar heterojunction, CuPc/C{sub 60}. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2017-02-24

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications. The SnO2/MXene anode exploits the high Li-ion capacity offered by SnO2, while maintaining the structural and mechanical integrity by the conductive MXene platform. The atomic layer deposition (ALD) conditions used to deposit SnO2 on MXene terminated with oxygen, fluorine, and hydroxyl-groups were found to be critical for preventing MXene degradation during ALD. We demonstrate that SnO2/MXene electrodes exhibit excellent electrochemical performance as Li-ion battery anodes, where conductive MXene sheets act to buffer the volume changes associated with lithiation and delithiation of SnO2. The cyclic performance of the anodes is further improved by depositing a very thin passivation layer of HfO2, in the same ALD reactor, on the SnO2/MXene anode. This is shown by high-resolution transmission electron microscopy to also improve the structural integrity of SnO2 anode during cycling. The HfO2 coated SnO2/MXene electrodes demonstrate a stable specific capacity of 843 mAh/g when used as Li-ion battery anodes.

  14. Organic photovoltaic devices with a single layer geometry (Conference Presentation)

    Science.gov (United States)

    Kolesov, Vladimir A.; Fuentes-Hernandez, Canek; Aizawa, Naoya; Larrain, Felipe A.; Chou, Wen-Fang; Perrotta, Alberto; Graham, Samuel; Kippelen, Bernard

    2016-09-01

    Organic photovoltaics (OPV) can lead to a low cost and short energy payback time alternative to existing photovoltaic technologies. However, to fulfill this promise, power conversion efficiencies must be improved and simultaneously the architecture of the devices and their processing steps need to be further simplified. In the most efficient devices to date, the functions of photocurrent generation, and hole/electron collection are achieved in different layers adding complexity to the device fabrication. In this talk, we present a novel approach that yields devices in which all these functions are combined in a single layer. Specifically, we report on bulk heterojunction devices in which amine-containing polymers are first mixed in the solution together with the donor and acceptor materials that form the active layer. A single-layer coating yields a self-forming bottom electron-collection layer comprised of the amine-containing polymer (e.g. PEIE). Hole-collection is achieved by subsequent immersion of this single layer in a solution of a polyoxometalate (e.g. phosphomolybdic acid (PMA)) leading to an electrically p-doped region formed by the diffusion of the dopant molecules into the bulk. The depth of this doped region can be controlled with values up to tens of nm by varying the immersion time. Devices with a single 500 nm-thick active layer of P3HT:ICBA processed using this method yield power conversion efficiency (PCE) values of 4.8 ± 0.3% at 1 sun and demonstrate a performance level superior to that of benchmark three-layer devices with separate layers of PEIE/P3HT:ICBA/MoOx (4.1 ± 0.4%). Devices remain stable after shelf lifetime experiments carried-out at 60 °C over 280 h.

  15. Simulation and growing study of Cu–Al–S thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Duclaux, L., E-mail: loraine-externe.duclaux@edf.fr [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Donsanti, F.; Vidal, J. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France); Bouttemy, M. [Lavoisier Institute of Versailles, UMR 8180, 45 avenue des Etats-Unis, 78035 Versailles cedex (France); Schneider, N.; Naghavi, N. [Institute of Research and Development on Photovoltaic Energy (IRDEP), EDF R& D/CNRS/ChimieParistech, UMR 7174, 6 quai Watier, 78401 Chatou (France)

    2015-11-02

    In this paper, we have explored the potential of Cu–Al–S compounds as p-type transparent conducting material by means of atomistic simulation using CuAlS{sub 2} as a reference ternary compound and atomic layer deposition (ALD) growth. We have identified key intrinsic point defects acting either as shallow acceptor or deep donor which define the conductivity of CuAlS{sub 2}. Higher p-type conductivity was found to be achievable under metal-poor and chalcogen-rich growth conditions. According to this precept, ALD growth of Cu{sub x}Al{sub y}S{sub z} was attempted using Cu(acac){sub 2} and Al(CH{sub 3}){sub 3} as precursors for Cu and Al respectively and under H{sub 2}S atmosphere. While as grown thin films present low content of Al, it influences the band gap values as well as the obtained structures. - Highlights: • Ab-initio investigation of CuAlS{sub 2} • Indentification of two opposite main-contributive intrinsic defects on the conductivity: V{sub Cu} and Al{sub Cu} • Synthesis of Cu-Al-S ternary compound using atomic layer deposition • Impact of aluminum insertion on the optical and structural properties of the films.

  16. Silver-doped layers of implants prepared by pulsed laser deposition

    Czech Academy of Sciences Publication Activity Database

    Kocourek, Tomáš; Jelínek, Miroslav; Mikšovský, Jan; Jurek, Karel; Čejka, Z.; Kopeček, Jaromír

    2013-01-01

    Roč. 1, č. 7 (2013), s. 59-61 ISSN 2327-5219 R&D Projects: GA AV ČR KAN300100801 Institutional support: RVO:68378271 Keywords : thin layer * silver * titanium alloy * steel * pulsed laser deposition * adhesion * implant Subject RIV: BM - Solid Matter Physics ; Magnetism http://www.scirp.org/journal/PaperInformation.aspx?paperID=40308#.UvECAfu5dHA

  17. Dry-spray deposition of TiO2 for a flexible dye-sensitized solar cell (DSSC) using a nanoparticle deposition system (NPDS).

    Science.gov (United States)

    Kim, Min-Saeng; Chun, Doo-Man; Choi, Jung-Oh; Lee, Jong-Cheon; Kim, Yang Hee; Kim, Kwang-Su; Lee, Caroline Sunyong; Ahn, Sung-Hoon

    2012-04-01

    TiO2 powders were deposited on indium tin oxide (ITO) coated polyethylene terephthalate (PET) substrates for application to the photoelectrode of a dye-sensitized solar cell (DSSC). In the conventional DSSC manufacturing process, a semiconductor oxide such as TiO2 powder requires a sintering process at higher temperature than the glass transition temperature (T(g)) of polymers, and thus utilization of flexible polymer substrates in DSSC research has been constrained. To overcome this restriction related to sintering, we used a nanoparticle deposition system (NPDS) that could produce a thin coating layer through a dry-spray method under atmospheric pressure at room temperature. The powder was sprayed through a slit-type nozzle having a 0.4 x 10 mm2 rectangular outlet. In order to determine the deposited TiO2 thickness, five kinds of TiO2 layered specimens were prepared, where the specimens have single and double layer structures. Deposited powders on the ITO coated PET substrates were observed using FE-SEM and a scan profiler The thicker TiO2 photoelectrode with a DSSC having a double layer structure showed higher energy efficiency than the single layer case. The highest fabricated flexible DSSC displayed a short circuit current density J(sc) = 1.99 mA cm(-2), open circuit voltage V(oc) = 0.71 V, and energy efficiency eta = 0.94%. These results demonstrate the possibility of utilizing the dry-spray method to fabricate a TiO2 layer on flexible polymer substrates at room temperature under atmospheric pressure.

  18. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  19. Investigation of Ni@CoO core-shell nanoparticle films synthesized by sequential layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Spadaro, M.C., E-mail: mariachiara.spadaro@unimore.it [CNR-NANO, via G. Campi 213/a, 41125 Modena (Italy); Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy); Luches, P. [Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy); Benedetti, F.; Valeri, S. [CNR-NANO, via G. Campi 213/a, 41125 Modena (Italy); Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy); Turchini, S. [CNR-ISM, Via Fosso del Cavaliere 100, 00133 Roma (Italy); Bertoni, G. [CNR-IMEM, Parco Area delle Scienze 37/a, 43124 Parma (Italy); Ferretti, A.M.; Capetti, E.; Ponti, A. [Laboratorio di Nanotecnologie, Istituto di Scienze e Tecnologie Molecolari, Consiglio Nazionale delle Ricerche, via G. Fantoli 16/15, 20138 Milano (Italy); D’Addato, S. [CNR-NANO, via G. Campi 213/a, 41125 Modena (Italy); Dipartimento FIM, Università di Modena e Reggio Emilia, via G. Campi 213/a, 41125 Modena (Italy)

    2017-02-28

    Highlights: • We studied Ni/CoO core-shell nanoparticles (NP) obtained with a gas aggregation source. • The NP oxide shells were produced bye reactive deposition of Co in Oxygen atmosphere (p{sub O2} ≈ 10{sup −7} mbar). • XPS, SEM, STEM were used to obtain information on Ni chemical state and NP structure and morphology. • XMCD result showed evidence of remanent magnetization at room temperature. • We interpret XMCD results as due to stabilization induced by exchange bias due to AFM/FM coupling at the core/shell interface. - Abstract: Films of Ni@CoO core-shell nanoparticles (NP Ni core size d ≈ 11 nm) have been grown on Si/SiO{sub x} and lacey carbon supports, by a sequential layer deposition method: a first layer of CoO was evaporated on the substrate, followed by the deposition of a layer of pre-formed, mass-selected Ni NPs, and finally an overlayer of CoO was added. The Ni NPs were formed by a magnetron gas aggregation source, and mass selected with a quadrupole mass filter. The morphology of the films was investigated with Scanning Electron Microscopy and Scanning Transmission Electron Microscopy. The Ni NP cores have a shape compatible with McKay icosahedron, caused by multitwinning occurring during their growth in the source, and the Ni NP layer shows the typical random paving growth mode. After the deposition of the CoO overlayer, CoO islands are observed, gradually extending and tending to merge with each other, with the formation of shells that enclose the Ni NP cores. In situ X-ray Photoelectron Spectroscopy showed that a few Ni atomic layers localized at the core-shell interface are oxidized, hinting at the possibility of creating an intermediate NiO shell between Ni and CoO, depending on the deposition conditions. Finally, X-ray Magnetic Circular Dichroism at the Ni L{sub 2,3} absorption edge showed the presence of magnetization at room temperature even at remanence, revealing the possibility of magnetic stabilization of the NP film.

  20. Tunable phase transition in single-layer TiSe2 via electric field

    Science.gov (United States)

    Liu, Lei; Zhuang, Houlong L.

    2018-06-01

    Phase transition represents an intriguing physical phenomenon that exists in a number of single-layer transition-metal dichalcogenides. This phenomenon often occurs below a critical temperature and breaks the long-range crystalline order leading to a reconstructed superstructure called the charge-density wave (CDW) structure, which can therefore be recovered by external stimuli such as temperature. Alternatively, we show here that another external stimulation, electric field can also result in the phase transition between the regular and CDW structures of a single-layer transition-metal dichalcogenide. We used single-layer TiSe2 as an example to elucidate the mechanism of the CDW followed by calculations of the electronic structure using a hybrid density functional. We found that applying electric field can tune the phase transition between the 1T and CDW phases of single-layer TiSe2. Our work opens up a route of tuning the phase transition of single-layer materials via electric field.

  1. Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics

    KAUST Repository

    Alshammari, Fwzah Hamud; Hota, Mrinal Kanti; Wang, Zhenwei; Aljawhari, Hala; Alshareef, Husam N.

    2017-01-01

    Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93

  2. Advanced metal lift-off process using electron-beam flood exposure of single-layer photoresist

    Science.gov (United States)

    Minter, Jason P.; Ross, Matthew F.; Livesay, William R.; Wong, Selmer S.; Narcy, Mark E.; Marlowe, Trey

    1999-06-01

    In the manufacture of many types of integrated circuit and thin film devices, it is desirable to use a lift-of process for the metallization step to avoid manufacturing problems encountered when creating metal interconnect structures using plasma etch. These problems include both metal adhesion and plasma etch difficulties. Key to the success of the lift-off process is the creation of a retrograde or undercut profile in the photoresists before the metal deposition step. Until now, lift-off processing has relied on costly multi-layer photoresists schemes, image reversal, and non-repeatable photoresist processes to obtain the desired lift-off profiles in patterned photoresist. This paper present a simple, repeatable process for creating robust, user-defined lift-off profiles in single layer photoresist using a non-thermal electron beam flood exposure. For this investigation, lift-off profiles created using electron beam flood exposure of many popular photoresists were evaluated. Results of lift-off profiles created in positive tone AZ7209 and ip3250 are presented here.

  3. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  4. Structure And Properties Of PVD Coatings Deposited On Cermets

    Directory of Open Access Journals (Sweden)

    Żukowska L.

    2015-06-01

    Full Text Available The main aim of the research is the investigation of the structure and properties of single-layer and gradient coatings of the type (Ti,AlN and Ti(C,N deposited by physical vapour deposition technology (PVD on the cermets substrate.

  5. Observations of Flaking of Co-deposited Layers in TFTR

    International Nuclear Information System (INIS)

    Gentile, C.A.; Skinner, C.H.; Young, K.M.

    1999-01-01

    Flaking of co-deposited layers in the Tokamak Fusion Test Reactor (TFTR) has been observed after the termination of plasma operations. This unexpected flaking affects approximately 15% of the tiles and appears on isotropic graphite tiles but not on carbon fiber composite tiles. Samples of tiles, flakes and dust were recently collected from the inside of the vacuum vessel and will be analyzed to better characterize the behavior of tritium on plasma facing components in DT fusion devices

  6. Engineering Particle Surface Chemistry and Electrochemistry with Atomic Layer Deposition

    Science.gov (United States)

    Jackson, David Hyman Kentaro

    Atomic layer deposition (ALD) is a vapor phase thin film coating technique that relies on sequential pulsing of precursors that undergo self-limited surface reactions. The self- limiting reactions and gas phase diffusion of the precursors together enable the conformal coating of microstructured particles with a high degree of thickness and compositional control. ALD may be used to deposit thin films that introduce new functionalities to a particle surface. Examples of new functionalities include: chemical reactivity, a mechanically strong protective coating, and an electrically resistive layer. The coatings properties are often dependent on the bulk properties and microstructure of the particle substrate, though they usually do not affect its bulk properties or microstructure. Particle ALD finds utility in the ability to synthesize well controlled, model systems, though it is expensive due to the need for costly metal precursors that are dangerous and require special handling. Enhanced properties due to ALD coating of particles in various applications are frequently described empirically, while the details of their enhancement mechanisms often remain the focus of ongoing research in the field. This study covers the various types of particle ALD and attempts to describe them from the unifying perspective of surface science.

  7. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  8. Analysis of signals propagating in a phononic crystal PZT layer deposited on a silicon substrate.

    Science.gov (United States)

    Hladky-Hennion, Anne-Christine; Vasseur, Jérôme; Dubus, Bertrand; Morvan, Bruno; Wilkie-Chancellier, Nicolas; Martinez, Loïc

    2013-12-01

    The design of a stop-band filter constituted by a periodically patterned lead zirconate titanate (PZT) layer, polarized along its thickness, deposited on a silicon substrate and sandwiched between interdigitated electrodes for emission/reception of guided elastic waves, is investigated. The filter characteristics are theoretically evaluated by using finite element simulations: dispersion curves of a patterned PZT layer with a specific pattern geometry deposited on a silicon substrate present an absolute stop band. The whole structure is modeled with realistic conditions, including appropriate interdigitated electrodes to propagate a guided mode in the piezoelectric layer. A robust method for signal analysis based on the Gabor transform is applied to treat transmitted signals; extract attenuation, group delays, and wave number variations versus frequency; and identify stop-band filter characteristics.

  9. pH-Dependent Release of Insulin from Layer-by-Layer-Deposited Polyelectrolyte Microcapsules

    Directory of Open Access Journals (Sweden)

    Kentaro Yoshida

    2015-07-01

    Full Text Available Insulin-containing microcapsules were prepared by a layer-by-layer (LbL deposition of poly(allylamine hydrochloride (PAH and polyanions, such as poly(styrenesulfonate (PSS, poly(vinyl sulfate (PVS, and dextran sulfate (DS on insulin-containing calcium carbonate (CaCO3 microparticles. The CaCO3 core was dissolved in diluted HCl solution to obtain insulin-containing hollow microcapsules. The microcapsules were characterized by scanning electron microscope (SEM and atomic force microscope (AFM images and ζ-potential. The release of insulin from the microcapsules was faster at pH 9.0 and 7.4 than in acidic solutions due to the different charge density of PAH. In addition, insulin release was suppressed when the microcapsules were constructed using PAH with a lower molecular weight, probably owing to a thicker shell of the microcapsules. The results suggested a potential use of the insulin-containing microcapsules for developing insulin delivery systems.

  10. Characterization of amorphous yttria layers deposited by aqueous solutions of Y-chelate alkoxides complex

    Science.gov (United States)

    Kim, Young-Soon; Lee, Yu-Ri; Kim, Byeong-Joo; Lee, Jae-Hun; Moon, Seung-Hyun; Lee, Hunju

    2015-01-01

    Crack-free amorphous yttria layers were deposited by dip coating in solutions of different Y-chelate alkoxides complex. Three Y-chelate solutions of different concentrations were prepared using yttrium acetate tetrahydrate, yttrium stearic acid as Y source materials. PEG, diethanolamine were used as chelating agents, while ethanol, methanol and tetradecane were used as solvent. Three different combinations of chelating and solvents were used to prepare solutions for Y2O3 dip coating on SUS, electropolished and non-electropolished Hastelloy C-276 substrates. The thickness of the films was varied by changing the number of dipping cycles. At an optimized condition, the substrate surface roughness (rms) value was reduced from ∼50 nm to ∼1 nm over a 10 × 10 μm2 area. After Y2O3 deposition, MgO was deposited using ion-beam assisted deposition (IBAD), then LaMnO3 (LMO) was deposited using sputtering and GdBCO was deposited using reactive co-evaporation by deposition and reaction (RCE-DR). Detailed X-ray study indicates that LMO/MgO/Y2O3 and GdBCO/LMO/MgO/Y2O3 stack films have good out-of-plane and in-plane textures with strong c-axis alignment. The critical current (Ic) of GdBCO/LMO/MgO/Y2O3 multilayer structure varied from 190 to 420 A/cm with different solutions, when measured at 77 K. These results demonstrated that amorphous yttria can be easily deposited by dip coating using Y-chelates complex as a diffusion barrier and nucleation layer.

  11. Effect of the substrate surface topology and temperature on the structural properties of ZnO layers obtained by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kitova, S; Danev, G, E-mail: skitova@clf.bas.b [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria)

    2010-04-01

    In this work thin ZnO layers were grown by metal-organic PECVD (RF 13.56 MHz) on Si wafers. Zn acetylacetonate was used as a precursor and oxygen as oxidant. A system for dosed injection of the precursor and oxidant into the plasma reactor was developed. The influence of the substrate surface topology and temperature on the structural properties of the deposited layers was studied. ZnO and graphite powder dispersions were used to modify the silicon wafers before starting the deposition process of the layers. Some of the ZnO layers were deposited on the back, unpolished, side of Si wafers. Depositions at 400 {sup 0}C were performed to examine the effect of the substrate temperatures on the layer growth. The film structure was examined by XRD and SEM. The results show that all layers are crystalline with hexagonal wurtzite structure. The crystallites are preferentially oriented along the c-axis direction perpendicular to the substrate surfaces. ZnO layers deposited on thin ZnO seed films and clean Si surface exhibit well-developed grain structures and more c-axis preferred phase with better crystal quality than that of the layers deposited on graphite seed layer or rough, unpolished Si wafer.

  12. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    International Nuclear Information System (INIS)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H.; Kinge, Sachin

    2015-01-01

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO 2 layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10 −2  A W −1 and a shot-derived specific detectivity of 3 × 10 9  Jones at 1530 nm wavelength

  13. Layer-by-layer films for biomedical applications

    CERN Document Server

    Picart, Catherine; Voegel, Jean-Claude

    2015-01-01

    The layer-by-layer (LbL) deposition technique is a versatile approach for preparing nanoscale multimaterial films: the fabrication of multicomposite films by the LbL procedure allows the combination of literally hundreds of different materials with nanometer thickness in a single device to obtain novel or superior performance. In the last 15 years the LbL technique has seen considerable developments and has now reached a point where it is beginning to find applications in bioengineering and biomedical engineering. The book gives a thorough overview of applications of the LbL technique in the c

  14. Surface diffusion coefficient of Au atoms on single layer graphene grown on Cu

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, F., E-mail: francesco.ruffino@ct.infn.it; Cacciato, G.; Grimaldi, M. G. [Dipartimento di Fisica ed Astronomia-Universitá di Catania, via S. Sofia 64, 95123 Catania, Italy and MATIS IMM-CNR, via S. Sofia 64, 95123 Catania (Italy)

    2014-02-28

    A 5 nm thick Au film was deposited on single layer graphene sheets grown on Cu. By thermal processes, the dewetting phenomenon of the Au film on the graphene was induced so to form Au nanoparticles. The mean radius, surface-to-surface distance, and surface density evolution of the nanoparticles on the graphene sheets as a function of the annealing temperature were quantified by scanning electron microscopy analyses. These quantitative data were analyzed within the classical mean-field nucleation theory so to obtain the temperature-dependent Au atoms surface diffusion coefficient on graphene: D{sub S}(T)=[(8.2±0.6)×10{sup −8}]exp[−(0.31±0.02(eV)/(at) )/kT] cm{sup 2}/s.

  15. Raman spectroscopy of boron-doped single-layer graphene.

    Science.gov (United States)

    Kim, Yoong Ahm; Fujisawa, Kazunori; Muramatsu, Hiroyuki; Hayashi, Takuya; Endo, Morinobu; Fujimori, Toshihiko; Kaneko, Katsumi; Terrones, Mauricio; Behrends, Jan; Eckmann, Axel; Casiraghi, Cinzia; Novoselov, Kostya S; Saito, Riichiro; Dresselhaus, Mildred S

    2012-07-24

    The introduction of foreign atoms, such as nitrogen, into the hexagonal network of an sp(2)-hybridized carbon atom monolayer has been demonstrated and constitutes an effective tool for tailoring the intrinsic properties of graphene. Here, we report that boron atoms can be efficiently substituted for carbon in graphene. Single-layer graphene substitutionally doped with boron was prepared by the mechanical exfoliation of boron-doped graphite. X-ray photoelectron spectroscopy demonstrated that the amount of substitutional boron in graphite was ~0.22 atom %. Raman spectroscopy demonstrated that the boron atoms were spaced 4.76 nm apart in single-layer graphene. The 7-fold higher intensity of the D-band when compared to the G-band was explained by the elastically scattered photoexcited electrons by boron atoms before emitting a phonon. The frequency of the G-band in single-layer substitutionally boron-doped graphene was unchanged, which could be explained by the p-type boron doping (stiffening) counteracting the tensile strain effect of the larger carbon-boron bond length (softening). Boron-doped graphene appears to be a useful tool for engineering the physical and chemical properties of graphene.

  16. Integration of atomic layer deposited high-k dielectrics on GaSb via hydrogen plasma exposure

    Directory of Open Access Journals (Sweden)

    Laura B. Ruppalt

    2014-12-01

    Full Text Available In this letter we report the efficacy of a hydrogen plasma pretreatment for integrating atomic layer deposited (ALD high-k dielectric stacks with device-quality p-type GaSb(001 epitaxial layers. Molecular beam eptiaxy-grown GaSb surfaces were subjected to a 30 minute H2/Ar plasma treatment and subsequently removed to air. High-k HfO2 and Al2O3/HfO2 bilayer insulating films were then deposited via ALD and samples were processed into standard metal-oxide-semiconductor (MOS capacitors. The quality of the semiconductor/dielectric interface was probed by current-voltage and variable-frequency admittance measurements. Measurement results indicate that the H2-plamsa pretreatment leads to a low density of interface states nearly independent of the deposited dielectric material, suggesting that pre-deposition H2-plasma exposure, coupled with ALD of high-k dielectrics, may provide an effective means for achieving high-quality GaSb MOS structures for advanced Sb-based digital and analog electronics.

  17. Atmospheric Plasma Deposition of SiO2 Films for Adhesion Promoting Layers on Titanium

    Directory of Open Access Journals (Sweden)

    Liliana Kotte

    2014-12-01

    Full Text Available This paper evaluates the deposition of silica layers at atmospheric pressure as a pretreatment for the structural bonding of titanium (Ti6Al4V, Ti15V3Cr3Sn3Al in comparison to an anodizing process (NaTESi process. The SiO2 film was deposited using the LARGE plasma source, a linearly extended DC arc plasma source and applying hexamethyldisiloxane (HMDSO as a precursor. The morphology of the surface was analyzed by means of SEM, while the characterization of the chemical composition of deposited plasma layers was done by XPS and FTIR. The long-term durability of bonded samples was evaluated by means of a wedge test in hot/wet condition. The almost stoichiometric SiO2 film features a good long-term stability and a high bonding strength compared to the films produced with the wet-chemical NaTESi process.

  18. Subnanometer Ga 2 O 3 Tunnelling Layer by Atomic Layer Deposition to Achieve 1.1 V Open-Circuit Potential in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar; Tetreault, Nicolas; Humphry-Baker, Robin; Kessler, Florian; Baranoff, Etienne; Yi, Chenyi; Nazeeruddin, Mohammad Khaja; Grä tzel, Michael

    2012-01-01

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new

  19. Deposition of very thin uniform indium sulfide layers over metallic nano-rods by the Spray-Ion Layer Gas Reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Genduso, G. [Dipartimento di Ingegneria Chimica, Gestionale, Informatica, Meccanica, Università di Palermo, Viale delle Scienze, 90100 Palermo (Italy); Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); Inguanta, R.; Sunseri, C.; Piazza, S. [Dipartimento di Ingegneria Chimica, Gestionale, Informatica, Meccanica, Università di Palermo, Viale delle Scienze, 90100 Palermo (Italy); Kelch, C.; Sáez-Araoz, R. [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); Zykov, A. [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); present address: Institut für Physik, Humboldt-Universität zu Berlin, Newtonstr. 15,12489 Berlin (Germany); Fischer, Ch.-H., E-mail: fischer@helmholtz-berlin.de [Institut for Heterogeneous Material Systems, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Hahn-Meitner-Platz 1, D-14109 Berlin (Germany); second affiliation: Free University Berlin, Chemistry Institute, Takustr. 3, D-14195 Berlin (Germany)

    2013-12-02

    Very thin and uniform layers of indium sulfide were deposited on nickel nano-rods using the sequential and cyclical Spray-ILGAR® (Ion Layer Gas Reaction) technique. Substrates were fabricated by electrodeposition of Ni within the pores of polycarbonate membranes and subsequent chemical dissolution of the template. With respect to the depositions on flat substrates, experimental conditions were modified and optimized for the present geometry. Our results show that nano-rods up to a length of 10 μm were covered uniformly along their full length and with an almost constant film growth rate, thus allowing a good control of the coating thickness; the effect of the deposition temperature was also investigated. However, for high numbers of process steps, i.e. thickness, the films became uneven and crusty, especially at higher temperature, mainly owing to the simultaneous side reaction of the metallic Ni forming nickel sulfide at the surface of the rods. However, such a problem occurs only in the case of reactive nano-rod materials, such as less noble metals. It could be strongly reduced by doubling the spray step duration and thereby sealing the metallic surface before the process step of the sulfurization. Thus, quite smooth, about 100 nm thick coatings could be obtained. - Highlights: • Ni nano-rod substrates were grown within polycarbonate membranes. • We can coat nano-rods uniformly by the Ion Layer Gas Reaction method. • As a model we deposited up to about 100 nm In{sub 2}S{sub 3} on Ni nanorods (250 nm × 10 μm). • Element mapping at insulated rods showed homogenous coating over the full length. • Parameter optimization reduced effectively the Ni sulfide formation.

  20. Fine-Scale Layering of Mars Polar Deposits and Signatures of Ice Content in Nonpolar Material From Multiband SHARAD Data Processing

    Science.gov (United States)

    Campbell, Bruce A.; Morgan, Gareth A.

    2018-02-01

    The variation of Shallow Radar (SHARAD) echo strength with frequency reveals material dielectric losses and polar layer properties. Loss tangents for Elysium and Amazonis Planitiae deposits are consistent with volcanic flows and sediments, while the Medusae Fossae Formation, lineated valley fill, and lobate debris aprons have low losses consistent with a major component of water ice. Mantling materials in Arcadia and Utopia Planitiae have higher losses, suggesting they are not dominated by ice over large fractions of their thickness. In Gemina Lingula, there are frequent deviations from a simple dependence of loss on depth. Within reflector packets, the brightest reflectors are often different among the frequency subbands, and there are cases of reflectors that occur in only the high- or low-frequency echoes. Many polar radar reflections must arise from multiple thin interfaces, or single deposits of appropriate thickness, that display resonant scattering behaviors. Reflector properties may be linked to climate-controlled polar dust deposition.

  1. Low temperature (100 °C) atomic layer deposited-ZrO2 for recessed gate GaN HEMTs on Si

    Science.gov (United States)

    Byun, Young-Chul; Lee, Jae-Gil; Meng, Xin; Lee, Joy S.; Lucero, Antonio T.; Kim, Si Joon; Young, Chadwin D.; Kim, Moon J.; Kim, Jiyoung

    2017-08-01

    In this paper, the effect of atomic layer deposited ZrO2 gate dielectrics, deposited at low temperature (100 °C), on the characteristics of recessed-gate High Electron Mobility Transistors (HEMTs) on Al0.25Ga0.75N/GaN/Si is investigated and compared with the characteristics of those with ZrO2 films deposited at typical atomic layer deposited (ALD) process temperatures (250 °C). Negligible hysteresis (ΔVth 4 V), and low interfacial state density (Dit = 3.69 × 1011 eV-1 cm-2) were observed on recessed gate HEMTs with ˜5 nm ALD-ZrO2 films grown at 100 °C. The excellent properties of recessed gate HEMTs are due to the absence of an interfacial layer and an amorphous phase of the film. An interfacial layer between 250 °C-ZrO2 and GaN is observed via high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy. However, 100 °C-ZrO2 and GaN shows no significant interfacial layer formation. Moreover, while 100 °C-ZrO2 films maintain an amorphous phase on either substrate (GaN and Si), 250 °C-ZrO2 films exhibit a polycrystalline-phase when deposited on GaN and an amorphous phase when deposited on Si. Contrary to popular belief, the low-temperature ALD process for ZrO2 results in excellent HEMT performance.

  2. Growth, microstructure, and hard magnetic properties of Nd-Fe-B layers; Wachstum, Mikrostruktur und hartmagnetische Eigenschaften von Nd-Fe-B-Schichten

    Energy Technology Data Exchange (ETDEWEB)

    Hannemann, U.

    2004-07-01

    In this thesis with pulsed laser deposition Nd-Fe-B layers were deposited. The Nd-Fe-B layers were deposited both on chromium and on tantalum buffers. The layers, which were deposed on tantalum buffers, showed a strong dependence of the nicrostructure and the magnetic properties on the deposition temperature. On layers which were deposited at deposition temperatures around 630 C on the tantalum buffer, the epitactical growth of Nd{sub 2}Fe{sub 14}B could be observed. Summarizingly these layers can be described as micrometer-large and parallely oriented single crystals.

  3. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    International Nuclear Information System (INIS)

    Bulusu, A.; Singh, A.; Kim, H.; Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B.; Cullen, D.; Graham, S.

    2015-01-01

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al 2 O 3 )/hafnium oxide (HfO 2 ) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN x layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers

  4. Engineering the mechanical properties of ultrabarrier films grown by atomic layer deposition for the encapsulation of printed electronics

    Energy Technology Data Exchange (ETDEWEB)

    Bulusu, A.; Singh, A.; Kim, H. [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Wang, C. Y.; Dindar, A.; Fuentes-Hernandez, C.; Kippelen, B. [School of Electrical and Computer Engineering, Georgia Institute of Technology, and Center for Organic Photonics and Electronics, Atlanta, Georgia 30332 (United States); Cullen, D. [Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States); Graham, S., E-mail: sgraham@gatech.edu [Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Oak Ridge National Laboratory, P.O. Box 2008 MS-6064, Oak Ridge, Tennessee 37831 (United States)

    2015-08-28

    Direct deposition of barrier films by atomic layer deposition (ALD) onto printed electronics presents a promising method for packaging devices. Films made by ALD have been shown to possess desired ultrabarrier properties, but face challenges when directly grown onto surfaces with varying composition and topography. Challenges include differing nucleation and growth rates across the surface, stress concentrations from topography and coefficient of thermal expansion mismatch, elastic constant mismatch, and particle contamination that may impact the performance of the ALD barrier. In such cases, a polymer smoothing layer may be needed to coat the surface prior to ALD barrier film deposition. We present the impact of architecture on the performance of aluminum oxide (Al{sub 2}O{sub 3})/hafnium oxide (HfO{sub 2}) ALD nanolaminate barrier films deposited on fluorinated polymer layer using an optical calcium (Ca) test under damp heat. It is found that with increasing polymer thickness, the barrier films with residual tensile stress are prone to cracking resulting in rapid failure of the Ca sensor at 50 °C/85% relative humidity. Inserting a SiN{sub x} layer with residual compressive stress between the polymer and ALD layers is found to prevent cracking over a range of polymer thicknesses with more than 95% of the Ca sensor remaining after 500 h of testing. These results suggest that controlling mechanical properties and film architecture play an important role in the performance of direct deposited ALD barriers.

  5. Graphene growth by transfer-free chemical vapour deposition on a cobalt layer

    Science.gov (United States)

    Macháč, Petr; Hejna, Ondřej; Slepička, Petr

    2017-01-01

    The contribution deals with the preparation of graphene films by a transfer-free chemical vapour deposition process utilizing a thin cobalt layer. This method allows growing graphene directly on a dielectric substrate. The process was carried out in a cold-wall reactor with methane as carbon precursor. We managed to prepare bilayer graphene. The best results were obtained for a structure with a cobalt layer with a thickness of 50 nm. The quality of prepared graphene films and of the number of graphene layers were estimated using Raman spectroscopy. with a minimal dots diameter of 180 nm and spacing of 1000 nm were successfully developed.

  6. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  7. Adhesion-enhanced thick copper film deposition on aluminum oxide by an ion-beam-mixed Al seed layer

    International Nuclear Information System (INIS)

    Kim, Hyung-Jin; Park, Jae-Won

    2012-01-01

    We report a highly-adherent 30-μm Cu conductive-path coating on an aluminum-oxide layer anodized on an aluminum-alloy substrate for a metal-printed circuit-board application. A 50-nm Al layer was first coated with an e-beam evaporative deposition method on the anodized oxide, followed by ion bombardment to mix the interfacial region. Subsequently, a Cu coating was deposited onto the mixed seed layer to the designed thickness. Adhesions of the interface were tested by using tape adhesion test, and pull-off tests and showed commercially acceptable adhesions for such thick coating layers. The ion beam mixing (IBM) plays the role of fastening the thin seed coating layer to the substrate and enhancing the adhesion of the Cu conductive path on the anodized aluminum surface.

  8. Single-layer ZnMN2 (M = Si, Ge, Sn) zinc nitrides as promising photocatalysts.

    Science.gov (United States)

    Bai, Yujie; Luo, Gaixia; Meng, Lijuan; Zhang, Qinfang; Xu, Ning; Zhang, Haiyang; Wu, Xiuqiang; Kong, Fanjie; Wang, Baolin

    2018-05-30

    Searching for two-dimensional semiconductor materials that are suitable for visible-light photocatalytic water splitting provides a sustainable solution to deal with the future energy crisis and environmental problems. Herein, based on first-principles calculations, single-layer ZnMN2 (M = Si, Ge, Sn) zinc nitrides are proposed as efficient photocatalysts for water splitting. Stability analyses show that the single-layer ZnMN2 zinc nitrides exhibit energetic and dynamical stability. The electronic properties reveal that all of the single-layer ZnMN2 zinc nitrides are semiconductors. Interestingly, single-layer ZnSnN2 is a direct band gap semiconductor with a desirable band gap (1.74 eV), and the optical adsorption spectrum confirms its optical absorption in the visible light region. The hydrogen evolution reaction (HER) calculations show that the catalytic activity for single-layer ZnMN2 (M = Ge, Sn) is better than that of single-layer ZnSiN2. Furthermore, the band gaps and band edge positions for the single-layer ZnMN2 zinc nitrides can be effectively tuned by biaxial strain. Especially, single-layer ZnGeN2 can be effectively tuned to match better with the redox potentials of water and enhance the light absorption in the visible light region at a tensile strain of 5%, which is confirmed by the corresponding optical absorption spectrum. Our results provide guidance for experimental synthesis efforts and future searches for single-layer materials suitable for photocatalytic water splitting.

  9. Aluminum oxide barrier coating on polyethersulfone substrate by atomic layer deposition for barrier property enhancement

    International Nuclear Information System (INIS)

    Kim, Hyun Gi; Kim, Sung Soo

    2011-01-01

    Aluminum oxide layers were deposited on flexible polyethersulfone (PES) substrates via plasma enhanced atomic layer deposition (PEALD) process using trimethylaluminum (TMA) and oxygen as precursor and reactant materials. Several process parameters in PEALD process were investigated in terms of refractive index and layer thickness. Number of process cycle increased the thickness and refractive index of the layer to enhance the barrier properties. Non-physisorbed TMA and unreacted oxygen were purged before and after the plasma reaction, respectively. Identical purge time was applied to TMA and oxygen and it was optimized for 10 s. Thinner and denser layer was formed as substrate temperature increased. However, the PES substrate could be deformed above 120 o C. Aluminum oxide layer formed on PES at optimized conditions have 11.8 nm of thickness and reduced water vapor transmission rate and oxygen transmission rate to below 4 x 10 -3 g/m 2 day and 4 x 10 -3 cm 3 /m 2 day, respectively. Polycarbonate and polyethylene naphthalate films were also tested at optimized conditions, and they also showed quite appreciable barrier properties to be used as plastic substrates.

  10. Synthesis of PbI(2) single-layered inorganic nanotubes encapsulated within carbon nanotubes.

    Science.gov (United States)

    Cabana, Laura; Ballesteros, Belén; Batista, Eudar; Magén, César; Arenal, Raúl; Oró-Solé, Judith; Rurali, Riccardo; Tobias, Gerard

    2014-04-02

    The template assisted growth of single-layered inorganic nanotubes is reported. Single-crystalline lead iodide single-layered nanotubes have been prepared using the inner cavities of carbon nanotubes as hosting templates. The diameter of the resulting inorganic nanotubes is merely dependent on the diameter of the host. This facile method is highly versatile opening up new horizons in the preparation of single-layered nanostructures. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Preparation of insulin-containing microcapsules by a layer-by-layer deposition of concanavalin A and glycogen.

    Science.gov (United States)

    Sato, Katsuhiko; Kodama, Daisuke; Endo, Yoshihiro; Anzai, Jun-ichi

    2009-01-01

    The sugar sensitive microcapsules were prepared by a layer-by-layer deposition of concanavalin A (Con A) and glycogen on a calcium carbonate particle containing fluorescein-labeled insulin (F-insulin). The Con A/glycogen multilayer capsules were formed through sugar-lectin interactions by using inner and outer poly(ethyleneimine)/poly(vinyl sulfate) multilayers as supports, while without the supports the microcapsules could not be formed. Fluorescent microscope observations revealed that the capsules thus prepared are spherical in shape with 3-10 microm diameter. The microcapsules released encapsulated F-insulin upon addition of sugars. This is because the added sugars replace glycogen in the binding site of Con A, resulting in the enhanced permeability of the microcapsules to insulin.

  12. Synthesis of high quality single-walled carbon nanotubes via a catalytic layer reinforced by self-assembled monolayers

    International Nuclear Information System (INIS)

    Adhikari, Prashanta Dhoj; Song, Wooseok; Cha, Myoung-Jun; Park, Chong-Yun

    2013-01-01

    This work reports the synthesis of high quality single-walled carbon nanotubes (SWCNT) using a catalytic layer reinforced by self-assembled monolayers (SAM). Amine-SAM was introduced on a SiO 2 /Si substrate and then an iron nanoparticles solution was dropped on the substrate by spin-coating. This catalytic template was used to grow carbon nanotubes by chemical vapor deposition and the synthesized SWCNT were observed to be prominent, based on the size distribution. Highly dense SWCNT with a diameter of about 1.1-1.2 nm were produced at 800-850 °C. Moreover, the diameter distribution of the SWCNT was more selective at a growth temperature of 900 °C. These findings provide important insights for a SAM support layer that can play the role as a restriction for the agglomeration of iron catalyst and is promising for the synthesis of high quality SWCNT. - Highlights: • Fe nanoparticles on self-assembled monolayers (SAM) containing template is underlined. • Its catalytic behavior to synthesis single-walled carbon nanotubes is studied. • The role of SAM on catalytic template is explored

  13. Deposition rates of viruses and bacteria above the atmospheric boundary layer.

    Science.gov (United States)

    Reche, Isabel; D'Orta, Gaetano; Mladenov, Natalie; Winget, Danielle M; Suttle, Curtis A

    2018-04-01

    Aerosolization of soil-dust and organic aggregates in sea spray facilitates the long-range transport of bacteria, and likely viruses across the free atmosphere. Although long-distance transport occurs, there are many uncertainties associated with their deposition rates. Here, we demonstrate that even in pristine environments, above the atmospheric boundary layer, the downward flux of viruses ranged from 0.26 × 10 9 to >7 × 10 9  m -2 per day. These deposition rates were 9-461 times greater than the rates for bacteria, which ranged from 0.3 × 10 7 to >8 × 10 7  m -2 per day. The highest relative deposition rates for viruses were associated with atmospheric transport from marine rather than terrestrial sources. Deposition rates of bacteria were significantly higher during rain events and Saharan dust intrusions, whereas, rainfall did not significantly influence virus deposition. Virus deposition rates were positively correlated with organic aerosols 0.7 μm, implying that viruses could have longer residence times in the atmosphere and, consequently, will be dispersed further. These results provide an explanation for enigmatic observations that viruses with very high genetic identity can be found in very distant and different environments.

  14. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  15. Penetration of a Small Caliber Projectile into Single and Multi-layered Targets

    Directory of Open Access Journals (Sweden)

    Riad A.M.

    2010-06-01

    Full Text Available The normal penetration of armor-piercing projectiles into single and multi-layered steel plates has been investigated. An experimental program has been conducted to study the effect of spaced and in-contact layered targets on their ballistic resistance. Armor piercing projectiles with caliber of 7.62 mm were fired against a series of single and multi-layered steel targets. The projectile impact velocities were ranged from 300-600 m/s, whereas the total thicknesses of the tested single, spaced and in-contact layered steel targets were 3 mm. The penetration process of different tested target configurations has been simulated using Autodayn-2D hydrocode. The experimental measurements of the present work were used to discuss the effect of impact velocity, target configurations and number of layers of different spaced and in-contact layered steel targets on their ballistic resistance. In addition, the post-firing examination of the tested targets over the used impact velocity range showed that the single and each layer of spaced and in-contact laminated steel targets were failed by petalling. Finally, the obtained experimental measurements were compared with the corresponding numerical results of Autodyn-2D hydrocode, good agreement was generally obtained.

  16. Atomic layer deposition of HfO{sub 2} for integration into three-dimensional metal-insulator-metal devices

    Energy Technology Data Exchange (ETDEWEB)

    Assaud, Loic [Aix Marseille Univ, CNRS, CINAM, Marseille (France); ICMMO-ERIEE, Universite Paris-Sud / Universite Paris-Saclay, CNRS, Orsay (France); Pitzschel, Kristina; Barr, Maissa K.S.; Petit, Matthieu; Hanbuecken, Margrit; Santinacci, Lionel [Aix Marseille Univ, CNRS, CINAM, Marseille (France); Monier, Guillaume [Universite Clermont Auvergne, Universite Blaise Pascal, CNRS, Institut Pascal, Clermont-Ferrand (France)

    2017-12-15

    HfO{sub 2} nanotubes have been fabricated via a template-assisted deposition process for further use in three-dimensional metal-insulator-metal (MIM) devices. HfO{sub 2} thin layers were grown by Atomic Layer Deposition (ALD) in anodic alumina membranes (AAM). The ALD was carried out using tetrakis(ethylmethylamino)hafnium and water as Hf and O sources, respectively. Long exposure durations to the precursors have been used to maximize the penetration depth of the HfO{sub 2} layer within the AAM and the effect of the process temperature was investigated. The morphology, the chemical composition, and the crystal structure were studied as a function of the deposition parameters using transmission and scanning electron microscopies, X-ray photoelectron spectroscopy, and X-ray diffraction, respectively. As expected, the HfO{sub 2} layers grown at low-temperature (T = 150 C) were amorphous, while for a higher temperature (T = 250 C), polycrystalline films were observed. The electrical characterizations have shown better insulating properties for the layers grown at low temperature. Finally, TiN/HfO{sub 2}/TiN multilayers were grown in an AAM as proof-of-concept for three-dimensional MIM nanostructures. (orig.)

  17. Tungsten atomic layer deposition on polymers

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, C.A. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); McCormick, J.A. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); Cavanagh, A.S. [Department of Physics, University of Colorado, Boulder, Colorado 80309-0390 (United States); Goldstein, D.N. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Weimer, A.W. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); George, S.M. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States)], E-mail: Steven.George@Colorado.Edu

    2008-07-31

    Tungsten (W) atomic layer deposition (ALD) was investigated on a variety of polymer films and polymer particles. These polymers included polyethylene, polyvinyl chloride, polystyrene, polycarbonate, polypropylene and polymethylmethacrylate. The W ALD was performed at 80 {sup o}C using WF{sub 6} and Si{sub 2}H{sub 6} as the gas phase reactants. W ALD on flat polymer films can eventually nucleate and grow after more than 60 AB cycles. X-ray photoelectron spectroscopy studies of W ALD on polystyrene after 50 AB cycles suggested that tungsten nanoclusters are present in the W ALD nucleation regime. The W ALD nucleation is greatly facilitated by a few cycles of Al{sub 2}O{sub 3} ALD. W ALD films were grown at 80 {sup o}C on spin-coated polymers on silicon wafers after 10 AB cycles of Al{sub 2}O{sub 3} ALD. The W ALD film was observed to grow linearly with a growth rate of 3.9 A per AB cycle on the polymer films treated with the Al{sub 2}O{sub 3} ALD seed layer. The W ALD films displayed an excellent, mirror-like optical reflectivity. The resistivity was 100-400 {mu}{omega} cm for W ALD films with thicknesses from 95-845 A. W ALD was also observed on polymer particles after W ALD in a rotary reactor. Without the Al{sub 2}O{sub 3} ALD seed layer, the nucleation of W ALD directly on the polymer particles at 80 {sup o}C required > 50 AB cycles. In contrast, the polymer particles treated with only 5 AB cycles of Al{sub 2}O{sub 3} ALD were observed to blacken after 25 AB cycles of W ALD. W ALD on polymers may have applications for flexible optical mirrors, electromagnetic interference shielding and gas diffusion barriers.

  18. ZnS/Zn(O,OH)S-based buffer layer deposition for solar cells

    Science.gov (United States)

    Bhattacharya, Raghu N [Littleton, CO

    2009-11-03

    The invention provides CBD ZnS/Zn(O,OH)S and spray deposited ZnS/Zn(O,OH)S buffer layers prepared from a solution of zinc salt, thiourea and ammonium hydroxide dissolved in a non-aqueous/aqueous solvent mixture or in 100% non-aqueous solvent. Non-aqueous solvents useful in the invention include methanol, isopropanol and triethyl-amine. One-step deposition procedures are described for CIS, CIGS and other solar cell devices.

  19. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H., E-mail: ted.sargent@utoronto.ca [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada); Kinge, Sachin [Advanced Technology, Materials and Research, Research and Development, Hoge Wei 33- Toyota Technical Centre, B-1930 Zaventem (Belgium)

    2015-10-12

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO{sub 2} layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10{sup −2} A W{sup −1} and a shot-derived specific detectivity of 3 × 10{sup 9} Jones at 1530 nm wavelength.

  20. Thermal deposition of intact tetrairon(III) single-molecule magnets in high-vacuum conditions.

    Science.gov (United States)

    Margheriti, Ludovica; Mannini, Matteo; Sorace, Lorenzo; Gorini, Lapo; Gatteschi, Dante; Caneschi, Andrea; Chiappe, Daniele; Moroni, Riccardo; de Mongeot, Francesco Buatier; Cornia, Andrea; Piras, Federica M; Magnani, Agnese; Sessoli, Roberta

    2009-06-01

    A tetrairon(III) single-molecule magnet is deposited using a thermal evaporation technique in high vacuum. The chemical integrity is demonstrated by time-of-flight secondary ion mass spectrometry on a film deposited on Al foil, while superconducting quantum interference device magnetometry and alternating current susceptometry of a film deposited on a kapton substrate show magnetic properties identical to the pristine powder. High-frequency electron paramagnetic resonance spectra confirm the characteristic behavior for a system with S = 5 and a large Ising-type magnetic anisotropy. All these results indicate that the molecules are not damaged during the deposition procedure keeping intact the single-molecule magnet behavior.

  1. Analyses of erosion and re-deposition layers on graphite tiles used in the W-shaped divertor region of JT-60U

    International Nuclear Information System (INIS)

    Gotoh, Y.; Yagyu, J.; Masaki, K.; Kizu, K.; Kaminaga, A.; Kodama, K.; Arai, T.; Tanabe, T.; Miya, N.

    2003-01-01

    Erosion and re-deposition profiles were studied on graphite tiles used in the W-shaped divertor of JT-60U in June 1997-October 1998 periods, operated with all-carbon walls with boronizations and inner-private flux pumping. Continuous re-deposition layers were found neither on the dome top nor on the outer wing, while re-deposition layers of around 20 μm thickness were found on the inner wing, in the region close to the dome top. On the outer divertor target, erosion was found to be dominant: maximum erosion depth of around 20 μm was measured, while on the inner target, re-deposition was dominant: columnar structure layers of maximum thickness at around 30 μm on the inner zone while laminar/columnar-layered structures of maximum thickness around 60 μm were found on the outer zone. Poloidal distributions of the erosion depth/re-deposition layer thickness were well correlated with the frequency histograms of strike point position, which were weighted with total power of neutral beam injection, on both the outer and inner targets. Through X-ray photoelectron spectroscopy, composition of the re-deposition layers at a mid zone on the inner target were 3-4 at.% B and <0.6 at.% O, Fe, Cr, and Ni with remaining C. Boron atoms are mostly bound to C atoms but some may precipitated as boron

  2. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  3. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  4. Ion - beam assisted process in the physical deposition of organic thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Dimov, D; Spassova, E; Assa, J; Danev, G [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria); Georgiev, A, E-mail: dean@clf.bas.b [University of Chemical Technology and Metallurgy, 8 Kl. Ohridski Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    A novel method was developed for physical deposition of thin polyimide layers by applying an argon plasma assisted process. The influence was investigated of the plasma on the combined molecular flux of the two thermally evaporated precursors - oxydianiline and pyromellitic dianhydride. The effects observed on the properties of the deposited films are explained with the increased energy of the precursor molecules resulting from the ion-molecular collisions. As could be expected, molecules with higher energy possess higher mobility and thus determine the modification of the films structure and their electrical properties.

  5. Nano-soldering to single atomic layer

    Science.gov (United States)

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  6. Effect of oxygen pressure of SiOx buffer layer on the electrical properties of GZO film deposited on PET substrate

    International Nuclear Information System (INIS)

    Ahn, Byung Du; Ko, Young Gun; Oh, Sang Hoon; Song, Jean-Ho; Kim, Hyun Jae

    2009-01-01

    The present work was made to investigate the effect of oxygen pressure of SiO x layer on the electrical properties of Ga-doped ZnO (GZO) films deposited on poly-ethylene telephthalate (PET) substrate by utilizing the pulsed-laser deposition at ambient temperature. For this purpose, the SiO x buffer layers were deposited at various oxygen pressures ranging from 13.3 to 46.7 Pa. With increasing oxygen pressure during the deposition of SiO x layer as a buffer, the electrical resistivity of GZO/SiO x /PET films gradually decreased from 7.6 x 10 -3 to 6.8 x 10 -4 Ω.cm, due to the enhanced mobility of GZO films. It was mainly due to the grain size of GZO films related to the roughened surface of the SiO x buffer layers. In addition, the average optical transmittance of GZO/SiO x /PET films in a visible regime was estimated to be ∼ 90% comparable to that of GZO deposited onto a glass substrate.

  7. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M.

    2018-05-01

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al2O3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA < 10°, were achieved for deioinized water, diiodomethane, and ethylene glycol. The mechanical stability of the coating could be varied by tuning the thickness of the ALD layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  8. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    Science.gov (United States)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  9. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  10. Evolution of microstructure and related optical properties of ZnO grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Adib Abou Chaaya

    2013-10-01

    Full Text Available A study of transmittance and photoluminescence spectra on the growth of oxygen-rich ultra-thin ZnO films prepared by atomic layer deposition is reported. The structural transition from an amorphous to a polycrystalline state is observed upon increasing the thickness. The unusual behavior of the energy gap with thickness reflected by optical properties is attributed to the improvement of the crystalline structure resulting from a decreasing concentration of point defects at the growth of grains. The spectra of UV and visible photoluminescence emissions correspond to transitions near the band-edge and defect-related transitions. Additional emissions were observed from band-tail states near the edge. A high oxygen ratio and variable optical properties could be attractive for an application of atomic layer deposition (ALD deposited ultrathin ZnO films in optical sensors and biosensors.

  11. A simple model for quantifying the degree of layer-by-layer growth in low energy ion deposition of thin films

    International Nuclear Information System (INIS)

    Huhtamaeki, T.; Jahma, M.O.; Koponen, I.T.

    2007-01-01

    Layer-by-layer growth of thin films can be promoted by using low energy ion deposition (LEID) techniques. The basic process affecting the growth are often quite diverse, but often the ion impact induced inter layer mass transfer processes due to adatom insertion to lower step edges or pile-ups to step edges above dominate. In this paper we propose a simple phenomenological model which describes the growth of thin films in LEID under these conditions. The model makes possible to distinguish the dominant growth, the detection of the transition from the 3D growth to 2D growth, and it can be used to quantify the degree of layer-by-layer growth. The model contains only two parameters, which can be phenomenologically related to the properties of the bombarding ion beam

  12. Atomic layer deposition synthesis and evaluation of core–shell Pt-WC electrocatalysts

    International Nuclear Information System (INIS)

    Hsu, Irene J.; Chen, Jingguang G.; Jiang, Xiaoqiang; Willis, Brian G.

    2015-01-01

    Pt-WC core shell particles were produced using atomic layer deposition (ALD) to deposit Pt layers onto WC particle substrates. A range of Pt depositions were used to determine the growth mechanism for the Pt-WC powder system. TEM imaging and Cu stripping voltammetry found that Pt ALD growth on WC powder substrates was similar to that on WC thin films. However, excess free carbon was found to affect Pt ALD by blocking adsorption sites on WC. The Pt-WC samples were evaluated for the oxygen reduction reaction using a rotating disk electrode to obtain quantitative activity information. The mass and specific activities for the 30 and 50 ALD cycle samples were found to be comparable to a 10 wt. % Pt/C catalyst. However, higher overpotentials and lower limiting currents were observed with ALD Pt-WC compared to Pt/C catalysts, indicating that the oxygen reduction mechanism is not as efficient on Pt-WC as on bulk Pt. Additionally, these Pt-WC catalysts were used to demonstrate hydrogen evolution reaction activity and were found to perform as well as bulk Pt catalyst but with a fraction of the Pt loading, in agreement with the previous work on Pt-WC thin film catalysts

  13. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng

    2018-05-11

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  14. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng; Wang, Zhenwei; Firdaus, Yuliar; Babics, Maxime; Alshareef, Husam N.; Beaujuge, Pierre

    2018-01-01

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  15. Dispersion engineering of thick high-Q silicon nitride ring-resonators via atomic layer deposition.

    Science.gov (United States)

    Riemensberger, Johann; Hartinger, Klaus; Herr, Tobias; Brasch, Victor; Holzwarth, Ronald; Kippenberg, Tobias J

    2012-12-03

    We demonstrate dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition. Both, magnitude and bandwidth of anomalous dispersion can be significantly increased. The results are confirmed by high resolution frequency-comb-assisted-diode-laser spectroscopy and are in very good agreement with the simulated modification of the mode spectrum.

  16. Density functional theory study of bulk and single-layer magnetic semiconductor CrPS4

    Science.gov (United States)

    Zhuang, Houlong L.; Zhou, Jia

    2016-11-01

    Searching for two-dimensional (2D) materials with multifunctionality is one of the main goals of current research in 2D materials. Magnetism and semiconducting are certainly two desirable functional properties for a single 2D material. In line with this goal, here we report a density functional theory (DFT) study of bulk and single-layer magnetic semiconductor CrPS4. We find that the ground-state magnetic structure of bulk CrPS4 exhibits the A-type antiferromagnetic ordering, which transforms to ferromagnetic (FM) ordering in single-layer CrPS4. The calculated formation energy and phonon spectrum confirm the stability of single-layer CrPS4. The band gaps of FM single-layer CrPS4 calculated with a hybrid density functional are within the visible-light range. We also study the effects of FM ordering on the optical absorption spectra and band alignments for water splitting, indicating that single-layer CrPS4 could be a potential photocatalyst. Our work opens up ample opportunities of energy-related applications of single-layer CrPS4.

  17. Enhanced Performance of Nanowire-Based All-TiO2 Solar Cells using Subnanometer-Thick Atomic Layer Deposited ZnO Embedded Layer

    International Nuclear Information System (INIS)

    Ghobadi, Amir; Yavuz, Halil I.; Ulusoy, T. Gamze; Icli, K. Cagatay; Ozenbas, Macit; Okyay, Ali K.

    2015-01-01

    In this paper, the effect of angstrom-thick atomic layer deposited (ALD) ZnO embedded layer on photovoltaic (PV) performance of Nanowire-Based All-TiO 2 solar cells has been systematically investigated. Our results indicate that by varying the thickness of ZnO layer the efficiency of the solar cell can be significantly changed. It is shown that the efficiency has its maximum for optimal thickness of 1 ALD cycle in which this ultrathin ZnO layer improves device performance through passivation of surface traps without hampering injection efficiency of photogenerated electrons. The mechanisms contributing to this unprecedented change in PV performance of the cell have been scrutinized and discussed

  18. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware Project

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  19. Single-layer and dual-layer contrast-enhanced mammography using amorphous selenium flat panel detectors

    Energy Technology Data Exchange (ETDEWEB)

    Allec, N; Abbaszadeh, S; Karim, K S, E-mail: nallec@uwaterloo.ca [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo N2L 3G1 (Canada)

    2011-09-21

    The accumulation of injected contrast agents allows the image enhancement of lesions through the use of contrast-enhanced mammography. In this technique, the combination of two acquired images is used to create an enhanced image. There exist several methods to acquire the images to be combined, which include dual energy subtraction using a single detection layer that suffers from motion artifacts due to patient motion between image acquisition. To mitigate motion artifacts, a detector composed of two layers may be used to simultaneously acquire the low and high energy images. In this work, we evaluate both of these methods using amorphous selenium as the detection material to find the system parameters (tube voltage, filtration, photoconductor thickness and relative intensity ratio) leading to the optimal performance. We then compare the performance of the two detectors under the variation of contrast agent concentration, tumor size and dose. The detectability was found to be most comparable at the lower end of the evaluated factors. The single-layer detector not only led to better contrast, due to its greater spectral separation capabilities, but also had lower quantum noise. The single-layer detector was found to have a greater detectability by a factor of 2.4 for a 2.5 mm radius tumor having a contrast agent concentration of 1.5 mg ml{sup -1} in a 4.5 cm thick 50% glandular breast. The inclusion of motion artifacts in the comparison is part of ongoing research efforts.

  20. Single-layer and dual-layer contrast-enhanced mammography using amorphous selenium flat panel detectors

    Science.gov (United States)

    Allec, N.; Abbaszadeh, S.; Karim, K. S.

    2011-09-01

    The accumulation of injected contrast agents allows the image enhancement of lesions through the use of contrast-enhanced mammography. In this technique, the combination of two acquired images is used to create an enhanced image. There exist several methods to acquire the images to be combined, which include dual energy subtraction using a single detection layer that suffers from motion artifacts due to patient motion between image acquisition. To mitigate motion artifacts, a detector composed of two layers may be used to simultaneously acquire the low and high energy images. In this work, we evaluate both of these methods using amorphous selenium as the detection material to find the system parameters (tube voltage, filtration, photoconductor thickness and relative intensity ratio) leading to the optimal performance. We then compare the performance of the two detectors under the variation of contrast agent concentration, tumor size and dose. The detectability was found to be most comparable at the lower end of the evaluated factors. The single-layer detector not only led to better contrast, due to its greater spectral separation capabilities, but also had lower quantum noise. The single-layer detector was found to have a greater detectability by a factor of 2.4 for a 2.5 mm radius tumor having a contrast agent concentration of 1.5 mg ml-1 in a 4.5 cm thick 50% glandular breast. The inclusion of motion artifacts in the comparison is part of ongoing research efforts.

  1. Electrochemical Deposition of Conformal and Functional Layers on High Aspect Ratio Silicon Micro/Nanowires.

    Science.gov (United States)

    Ozel, Tuncay; Zhang, Benjamin A; Gao, Ruixuan; Day, Robert W; Lieber, Charles M; Nocera, Daniel G

    2017-07-12

    Development of new synthetic methods for the modification of nanostructures has accelerated materials design advances to furnish complex architectures. Structures based on one-dimensional (1D) silicon (Si) structures synthesized using top-down and bottom-up methods are especially prominent for diverse applications in chemistry, physics, and medicine. Yet further elaboration of these structures with distinct metal-based and polymeric materials, which could open up new opportunities, has been difficult. We present a general electrochemical method for the deposition of conformal layers of various materials onto high aspect ratio Si micro- and nanowire arrays. The electrochemical deposition of a library of coaxial layers comprising metals, metal oxides, and organic/inorganic semiconductors demonstrate the materials generality of the synthesis technique. Depositions may be performed on wire arrays with varying diameter (70 nm to 4 μm), pitch (5 μ to 15 μ), aspect ratio (4:1 to 75:1), shape (cylindrical, conical, hourglass), resistivity (0.001-0.01 to 1-10 ohm/cm 2 ), and substrate orientation. Anisotropic physical etching of wires with one or more coaxial shells yields 1D structures with exposed tips that can be further site-specifically modified by an electrochemical deposition approach. The electrochemical deposition methodology described herein features a wafer-scale synthesis platform for the preparation of multifunctional nanoscale devices based on a 1D Si substrate.

  2. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  3. UV protective zinc oxide coating for biaxially oriented polypropylene packaging film by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lahtinen, Kimmo, E-mail: kimmo.lahtinen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kääriäinen, Tommi, E-mail: tommi.kaariainen@colorado.edu [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Johansson, Petri, E-mail: petri.johansson@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Kotkamo, Sami, E-mail: sami.kotkamo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Seppänen, Tarja, E-mail: tarja.seppanen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Cameron, David C., E-mail: david.cameron@miktech.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland)

    2014-11-03

    Biaxially oriented polypropylene (BOPP) packaging film was coated with zinc oxide (ZnO) coatings by atomic layer deposition (ALD) in order to protect the film from UV degradation. The coatings were made at a process temperature of 100 °C using diethylzinc and water as zinc and oxygen precursors, respectively. The UV protective properties of the coatings were tested by using UV–VIS and infrared spectrometry, differential scanning calorimetry (DSC) and a mechanical strength tester, which characterised the tensile and elastic properties of the film. The results obtained with 36 and 67 nm ZnO coatings showed that the ZnO UV protective layer is able to provide a significant decrease in photodegradation of the BOPP film under UV exposure. While the uncoated BOPP film suffered a complete degradation after a 4-week UV exposure, the 67 nm ZnO coated BOPP film was able to preserve half of its original tensile strength and 1/3 of its elongation at break after a 6-week exposure period. The infrared analysis and DSC measurements further proved the UV protection of the ZnO coatings. The results show that a nanometre scale ZnO coating deposited by ALD is a promising option when a transparent UV protection layer is sought for polymer substrates. - Highlights: • Atomic layer deposited zinc oxide coatings were used as UV protection layers. • Biaxially oriented polypropylene (BOPP) film was well protected against UV light. • Formation of UV degradation products in BOPP was significantly reduced. • Mechanical properties of the UV exposed BOPP film were significantly improved.

  4. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  5. Large Area Deposition of MoS2 by Pulsed Laser Deposition with In-Situ Thickness Control

    KAUST Repository

    Serna, Martha I.

    2016-05-24

    A scalable and catalyst-free method to deposit stoichiometric Molybdenum Disulfide (MoS2) films over large areas is reported with the maximum area limited by the size of the substrate holder. The method allows deposition of MoS2 layers on a wide range of substrates without any additional surface preparation including single crystals (sapphire and quartz), polycrystalline (HfO2), and amorphous (SiO2). The films are deposited using carefully designed MoS2 targets fabricated with excess of sulfur (S) and variable MoS2 and S particle size. Uniform and layered MoS2 films as thin as two monolayers, with an electrical resistivity of 1.54 × 104 Ω cm-1 were achieved. The MoS2 stoichiometry was as confirmed by High Resolution Rutherford Backscattering Spectrometry (HRRBS). With the method reported here, in situ graded MoS2 films ranging from ~1 to 10 monolayers can also be deposited.

  6. Large Area Deposition of MoS2 by Pulsed Laser Deposition with In-Situ Thickness Control

    KAUST Repository

    Serna, Martha I.; Yoo, Seong H.; Moreno, Salvador; Xi, Yang; Oviedo, Juan Pablo; Choi, Hyunjoo; Alshareef, Husam N.; Kim, Moon J.; Minary-Jolandan, Majid; Quevedo-Lopez, Manuel A.

    2016-01-01

    A scalable and catalyst-free method to deposit stoichiometric Molybdenum Disulfide (MoS2) films over large areas is reported with the maximum area limited by the size of the substrate holder. The method allows deposition of MoS2 layers on a wide range of substrates without any additional surface preparation including single crystals (sapphire and quartz), polycrystalline (HfO2), and amorphous (SiO2). The films are deposited using carefully designed MoS2 targets fabricated with excess of sulfur (S) and variable MoS2 and S particle size. Uniform and layered MoS2 films as thin as two monolayers, with an electrical resistivity of 1.54 × 104 Ω cm-1 were achieved. The MoS2 stoichiometry was as confirmed by High Resolution Rutherford Backscattering Spectrometry (HRRBS). With the method reported here, in situ graded MoS2 films ranging from ~1 to 10 monolayers can also be deposited.

  7. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    International Nuclear Information System (INIS)

    Maydannik, Philipp S.; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C.; Söderlund, Mikko; Soininen, Pekka; Johansson, Petri; Kuusipalo, Jurkka; Moro, Lorenza; Zeng, Xianghui

    2014-01-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al 2 O 3 diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al 2 O 3 films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument ( −4  g/m 2 day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10 −6 g/m 2 day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate

  8. Synthesis of Epitaxial Single-Layer MoS2 on Au(111).

    Science.gov (United States)

    Grønborg, Signe S; Ulstrup, Søren; Bianchi, Marco; Dendzik, Maciej; Sanders, Charlotte E; Lauritsen, Jeppe V; Hofmann, Philip; Miwa, Jill A

    2015-09-08

    We present a method for synthesizing large area epitaxial single-layer MoS2 on the Au(111) surface in ultrahigh vacuum. Using scanning tunneling microscopy and low energy electron diffraction, the evolution of the growth is followed from nanoscale single-layer MoS2 islands to a continuous MoS2 layer. An exceptionally good control over the MoS2 coverage is maintained using an approach based on cycles of Mo evaporation and sulfurization to first nucleate the MoS2 nanoislands and then gradually increase their size. During this growth process the native herringbone reconstruction of Au(111) is lifted as shown by low energy electron diffraction measurements. Within the MoS2 islands, we identify domains rotated by 60° that lead to atomically sharp line defects at domain boundaries. As the MoS2 coverage approaches the limit of a complete single layer, the formation of bilayer MoS2 islands is initiated. Angle-resolved photoemission spectroscopy measurements of both single and bilayer MoS2 samples show a dramatic change in their band structure around the center of the Brillouin zone. Brief exposure to air after removing the MoS2 layer from vacuum is not found to affect its quality.

  9. Enhanced Optical and Electrical Properties of TiO_2 Buffered IGZO/TiO_2 Bi-Layered Films

    International Nuclear Information System (INIS)

    Moon, Hyun-Joo; Kim, Daeil

    2016-01-01

    In and Ga doped ZnO (IGZO, 100-nm thick) thin films were deposited by radio frequency magnetron sputtering without intentional substrate heating on a bare glass substrate and a TiO_2-deposited glass substrate to determine the effect of the thickness of a thin TiO_2 buffer layer on the structural, optical, and electrical properties of the films. The thicknesses of the TiO_2 buffer layers were 5, 10 and 15 nm, respectively. As-deposited IGZO films with a 10 nm-thick TiO_2 buffer layer had an average optical transmittance of 85.0% with lower resistivity (1.83×10-2 Ω cm) than that of IGZO single layer films. The figure of merit (FOM) reached a maximum of 1.44×10-4 Ω-1 for IGZO/10 nm-thick TiO_2 bi-layered films, which is higher than the FOM of 6.85×10-5 Ω-1 for IGZO single layer films. Because a higher FOM value indicates better quality transparent conducting oxide (TCO) films, the IGZO/10 nm-thick TiO_2 bi-layered films are likely to perform better in TCO applications than IGZO single layer films.

  10. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  11. In-situ atomic layer deposition growth of Hf-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Karavaev, Konstantin

    2010-06-17

    We have grown HfO{sub 2} on Si(001) by atomic layer deposition (ALD) using HfCl{sub 4}, TEMAHf, TDMAHf and H{sub 2}O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl{sub 4} experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO{sub 2}. The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO{sub 2}: contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  12. Excellent c-Si surface passivation by thermal atomic layer deposited aluminum oxide after industrial firing activation

    International Nuclear Information System (INIS)

    Liao, B; Stangl, R; Ma, F; Mueller, T; Lin, F; Aberle, A G; Bhatia, C S; Hoex, B

    2013-01-01

    We demonstrate that by using a water (H 2 O)-based thermal atomic layer deposited (ALD) aluminum oxide (Al 2 O 3 ) film, excellent surface passivation can be attained on planar low-resistivity silicon wafers. Effective carrier lifetime values of up to 12 ms and surface recombination velocities as low as 0.33 cm s −1 are achieved on float-zone wafers after a post-deposition thermal activation of the Al 2 O 3 passivation layer. This post-deposition activation is achieved using an industrial high-temperature firing process which is commonly used for contact formation of standard screen-printed silicon solar cells. Neither a low-temperature post-deposition anneal nor a silicon nitride capping layer is required in this case. Deposition temperatures in the 100–400 °C range and peak firing temperatures of about 800 °C (set temperature) are investigated. Photoluminescence imaging shows that the surface passivation is laterally uniform. Corona charging and capacitance–voltage measurements reveal that the negative fixed charge density near the AlO x /c-Si interface increases from 1.4 × 10 12 to 3.3 × 10 12 cm −2 due to firing, while the midgap interface defect density reduces from 3.3 × 10 11 to 0.8 × 10 11 cm −2 eV −1 . This work demonstrates that direct firing activation of thermal ALD Al 2 O 3 is feasible, which could be beneficial for solar cell manufacturing. (paper)

  13. Site selective generation of sol-gel deposits in layered bimetallic macroporous electrode architectures.

    Science.gov (United States)

    Lalo, Hélène; Bon-Saint-Côme, Yémima; Plano, Bernard; Etienne, Mathieu; Walcarius, Alain; Kuhn, Alexander

    2012-02-07

    The elaboration of an original composite bimetallic macroporous electrode containing a site-selective sol-gel deposit is reported. Regular colloidal crystals, obtained by a modified Langmuir-Blodgett approach, are used as templates for the electrogeneration of the desired metals in the form of a well-defined layered bimetallic porous electrode. This porous matrix shows a spatially modulated electroactivity which is subsequently used as a strategy for targeted electrogeneration of a sol-gel deposit, exclusively in one predefined part of the porous electrode.

  14. Single-layer graphene-TiO{sub 2} nanotubes array heterojunction for ultraviolet photodetector application

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Deng-Yue [School of Material Sciences and Engineering, Hefei University of Technology, Hefei, Anhui 230009 (China); Ge, Cai-Wang [School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei, Anhui 230009 (China); Wang, Jiu-Zhen [School of Material Sciences and Engineering, Hefei University of Technology, Hefei, Anhui 230009 (China); Zhang, Teng-Fei [School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei, Anhui 230009 (China); Wu, Yu-Cheng, E-mail: ycwu@hfut.edu.cn [School of Material Sciences and Engineering, Hefei University of Technology, Hefei, Anhui 230009 (China); Liang, Feng-Xia, E-mail: fxliang@hfut.edu.cn [School of Material Sciences and Engineering, Hefei University of Technology, Hefei, Anhui 230009 (China)

    2016-11-30

    Highlights: • Heterostructures comprised of a single-layer graphene and TiO{sub 2} nanotube arrays were constructed for ultraviolet detection. • The electrical properties of the fabricated heterostructures were dependent on the annealing atmospheres. • The effect of anodic TiO{sub 2} nanotube length on the performance of the photodetector were investigated. - Abstract: In this work, we reported on the fabrication of a single-layer graphene (SLG)-TiO{sub 2} nanotube arrays (NTs) heterostructures ultraviolet photodetector (UVPD) by transferring chemical vapor deposition derived MLG on the surface of anodic TiO{sub 2}NTs array. Through varying the annealing atmosphere and anodization time in the TiO{sub 2} synthesis procedure, the electronic and optoelectronic properties of the as-fabricated Schottky junction UVPD were studied. It was revealed that the anodic TiO{sub 2}NTs annealed in air showed a better rectifying behavior and was highly sensitive to UV light irradiation. Further investigation found that the device performance of the UVPD can be readily modulated by the anodization time, and the anodic TiO{sub 2}NTs with a medium tube length of 9.6 μm exhibits the highest device performance. These results demonstrated that the present SLG-TiO{sub 2}NTs array hetero-junction UVPD will be highly promising for fabricating high-performance optoelectronic device and system in the future.

  15. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  16. Growth model and structure evolution of Ag layers deposited on Ge films.

    Science.gov (United States)

    Ciesielski, Arkadiusz; Skowronski, Lukasz; Górecka, Ewa; Kierdaszuk, Jakub; Szoplik, Tomasz

    2018-01-01

    We investigated the crystallinity and optical parameters of silver layers of 10-35 nm thickness as a function 2-10 nm thick Ge wetting films deposited on SiO 2 substrates. X-ray reflectometry (XRR) and X-ray diffraction (XRD) measurements proved that segregation of germanium into the surface of the silver film is a result of the gradient growth of silver crystals. The free energy of Ge atoms is reduced by their migration from boundaries of larger grains at the Ag/SiO 2 interface to boundaries of smaller grains near the Ag surface. Annealing at different temperatures and various durations allowed for a controlled distribution of crystal dimensions, thus influencing the segregation rate. Furthermore, using ellipsometric and optical transmission measurements we determined the time-dependent evolution of the film structure. If stored under ambient conditions for the first week after deposition, the changes in the transmission spectra are smaller than the measurement accuracy. Over the course of the following three weeks, the segregation-induced effects result in considerably modified transmission spectra. Two months after deposition, the slope of the silver layer density profile derived from the XRR spectra was found to be inverted due to the completed segregation process, and the optical transmission spectra increased uniformly due to the roughened surfaces, corrosion of silver and ongoing recrystallization. The Raman spectra of the Ge wetted Ag films were measured immediately after deposition and ten days later and demonstrated that the Ge atoms at the Ag grain boundaries form clusters of a few atoms where the Ge-Ge bonds are still present.

  17. Fabrication of Nanolaminates with Ultrathin Nanolayers Using Atomic Layer Deposition: Nucleation & Growth Issues

    Science.gov (United States)

    2009-02-01

    Tecnologia de Superficies y Materiales (SMCTSM), XXVII Congreso Nacional, Oaxaca, Oaxaca, Mexico, September 26, 2007. 26. "Atomic Layer Deposition of...Nanolaminates: Fabrication and Properties" (Plenary Lecture), Sociedad Mexicana de Ciencia y Tecnologia de Superficies y Materiales (SMCTSM), XXVII

  18. Inductively coupled plasma nanoetching of atomic layer deposition alumina

    DEFF Research Database (Denmark)

    Han, Anpan; Chang, Bingdong; Todeschini, Matteo

    2018-01-01

    such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar...... the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching, and we......Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for Micro and Nano Electro-Mechanical Systems (MEMS and NEMS). 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials...

  19. Effects of annealing on the properties of atomic layer deposited Ru thin films deposited by NH{sub 3} and H{sub 2} as reactants

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Joon; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr

    2016-08-01

    Atomic layer deposition (ALD) of Ru using a non-oxidizing reactant is indispensable considering its application as a seed layer for Cu electroplating and a bottom electrode for dynamic random access memory capacitors. In this study, ALD-Ru films were deposited using a sequential supply of dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C{sub 16}H{sub 22}O{sub 6}Ru) and potential non-oxidizing reducing agents, NH{sub 3} or H{sub 2}, as the reactants at a substrate temperature of 250 °C, and the effects of post-annealing in a H{sub 2} ambient on the film properties were investigated. The highly conformal deposition of Ru films was possible using the present reaction scheme but its resistivity was as high as ~ 750 μΩ-cm due to carbon incorporation into the film and the formation of an amorphous structure. Low temperature annealing at 300 °C at H{sub 2} ambient after deposition was found to improve the properties significantly in terms of the resistivity, impurities contents and crystallinity. For example, the film resistivity was decreased drastically to ~ 40 μΩ-cm with both the release of C in the film and crystallization after annealing based on secondary ion mass spectrometry and transmission electron microscopy, whereas perfect step coverage at a very small-sized dual trench (aspect ratio: ~ 3, the top opening size of 45 nm and bottom size of 20 nm) was maintained after annealing. - Highlights: • Ru thin films were deposited by atomic layer deposition (ALD) using NH{sub 3} and H{sub 2} molecules. • Effects of low temperature (300 °C) post-annealing on the film properties were investigated. • Post annealing improved the properties of ALD-Ru films. • Perfect step coverage of ALD-Ru was confirmed at trench structure (top opening width: 45 nm).

  20. Sims Characterisation of ZnO Layer Prepared By Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Andrej Vincze

    2005-01-01

    Full Text Available New material development requires new technologies to create and prepare basic material for semiconductor industry and device applications. Materials have given properties, which exhibit particulary small tolerances. One of the most important and promising material is recently ZnO. ZnO has specific properties for near UV emission and absorption optical devices. The pulsed laser deposition (PLD is one of the methods to prepare this type of material. The aim of this paper is to compare properties of ZnO layers deposited from pure Zn target in oxygen atmosphere and the analysis of their surface properties by secondary ion mass spectroscopy (SIMS, atomic force microscopy (AFM and scanning electron microscopy (SEM.

  1. Determination of band offsets at GaN/single-layer MoS{sub 2} heterojunction

    Energy Technology Data Exchange (ETDEWEB)

    Tangi, Malleswararao; Mishra, Pawan; Ng, Tien Khee; Janjua, Bilal; Alias, Mohd Sharizal; Ooi, Boon S., E-mail: boon.ooi@kaust.edu.sa [Photonics Laboratory, King Abdullah University of Science and Technology (KAUST), Thuwal 23955-6900 (Saudi Arabia); Hedhili, Mohamed Nejib; Anjum, Dalaver H. [Adavanced Nanofabrication Imaging and Characterization, King Abdullah University of Science and Technology (KAUST), Thuwal 23955-6900 (Saudi Arabia); Tseng, Chien-Chih; Shi, Yumeng; Li, Lain-Jong [Physical Sciences and Engineering Division, King Abdullah University of Science and Technology (KAUST), Thuwal 23955-6900 (Saudi Arabia); Joyce, Hannah J. [Department of Engineering, University of Cambridge, 9 JJ Thomson Avenue, Cambridge, Cambridgeshire CB3 0FA (United Kingdom)

    2016-07-18

    We report the band alignment parameters of the GaN/single-layer (SL) MoS{sub 2} heterostructure where the GaN thin layer is grown by molecular beam epitaxy on CVD deposited SL-MoS{sub 2}/c-sapphire. We confirm that the MoS{sub 2} is an SL by measuring the separation and position of room temperature micro-Raman E{sup 1}{sub 2g} and A{sup 1}{sub g} modes, absorbance, and micro-photoluminescence bandgap studies. This is in good agreement with HRTEM cross-sectional analysis. The determination of band offset parameters at the GaN/SL-MoS{sub 2} heterojunction is carried out by high-resolution X-ray photoelectron spectroscopy accompanying with electronic bandgap values of SL-MoS{sub 2} and GaN. The valence band and conduction band offset values are, respectively, measured to be 1.86 ± 0.08 and 0.56 ± 0.1 eV with type II band alignment. The determination of these unprecedented band offset parameters opens up a way to integrate 3D group III nitride materials with 2D transition metal dichalcogenide layers for designing and modeling of their heterojunction based electronic and photonic devices.

  2. Magnetic moment of single layer graphene rings

    Science.gov (United States)

    Margulis, V. A.; Karpunin, V. V.; Mironova, K. I.

    2018-01-01

    Magnetic moment of single layer graphene rings is investigated. An analytical expression for the magnetic moment as a function of the magnetic field flux through the one-dimensional quantum rings is obtained. This expression has the oscillation character. The oscillation period is equal to one flux quanta.

  3. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.; Capellini, G. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); Niermann, T.; Lehmann, M. [Technische Universität Berlin, Institut für Optik und Atomare Physik, Straße des 17. Juni 135, 10623 Berlin (Germany); Thapa, S. B.; Haeberlen, M.; Storck, P. [SILTRONIC AG, Hanns-Seidel-Platz 4, 81737 München (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); BTU Cottbus, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  4. Low-temperature atomic layer deposition of TiO2 thin layers for the processing of memristive devices

    International Nuclear Information System (INIS)

    Porro, Samuele; Conti, Daniele; Guastella, Salvatore; Ricciardi, Carlo; Jasmin, Alladin; Pirri, Candido F.; Bejtka, Katarzyna; Perrone, Denis; Chiolerio, Alessandro

    2016-01-01

    Atomic layer deposition (ALD) represents one of the most fundamental techniques capable of satisfying the strict technological requirements imposed by the rapidly evolving electronic components industry. The actual scaling trend is rapidly leading to the fabrication of nanoscaled devices able to overcome limits of the present microelectronic technology, of which the memristor is one of the principal candidates. Since their development in 2008, TiO 2 thin film memristors have been identified as the future technology for resistive random access memories because of their numerous advantages in producing dense, low power-consuming, three-dimensional memory stacks. The typical features of ALD, such as self-limiting and conformal deposition without line-of-sight requirements, are strong assets for fabricating these nanosized devices. This work focuses on the realization of memristors based on low-temperature ALD TiO 2 thin films. In this process, the oxide layer was directly grown on a polymeric photoresist, thus simplifying the fabrication procedure with a direct liftoff patterning instead of a complex dry etching process. The TiO 2 thin films deposited in a temperature range of 120–230 °C were characterized via Raman spectroscopy and x-ray photoelectron spectroscopy, and electrical current–voltage measurements taken in voltage sweep mode were employed to confirm the existence of resistive switching behaviors typical of memristors. These measurements showed that these low-temperature devices exhibit an ON/OFF ratio comparable to that of a high-temperature memristor, thus exhibiting similar performances with respect to memory applications

  5. Enhanced magnetic properties of chemical solution deposited BiFeO3 thin film with ZnO buffer layer

    International Nuclear Information System (INIS)

    Rajalakshmi, R.; Kambhala, Nagaiah; Angappane, S.

    2012-01-01

    Highlights: ► Enhanced magnetization of BiFeO 3 is important for strong magnetoelectric coupling. ► BiFeO 3 film with ZnO buffer layer was successfully synthesized by chemical method. ► Magnetization of BiFeO 3 has increased by more than 10 times with ZnO buffer layer. ► A mechanism for enhancement in ferromagnetism of BiFeO 3 film is proposed. - Abstract: Magnetic properties of BiFeO 3 films deposited on Si substrates with and without ZnO buffer layer have been studied in this work. We adopted the chemical solution deposition method for the deposition of BiFeO 3 as well as ZnO films. The x-ray diffraction measurements on the deposited films confirm the formation of crystalline phase of BiFeO 3 and ZnO films, while our electron microscopy measurements help to understand the morphology of few micrometers thick films. It is found that the deposited ZnO film exhibit a hexagonal particulate surface morphology, whereas BiFeO 3 film fully covers the ZnO surface. Our magnetic measurements reveal that the magnetization of BiFeO 3 has increased by more than ten times in BiFeO 3 /ZnO/Si film compared to BiFeO 3 /Si film, indicating the major role played by ZnO buffer layer in enhancing the magnetic properties of BiFeO 3 , a technologically important multiferroic material.

  6. Surface structure of ultrathin metal films deposited on copper single crystals

    International Nuclear Information System (INIS)

    Butterfield, M.T.

    2000-04-01

    Ultrathin films of Cobalt, Iron and Manganese have been thermally evaporated onto an fcc Copper (111) single crystal substrate and investigated using a variety of surface structural techniques. The small lattice mismatch between these metals and the Cu (111) substrate make them an ideal candidate for the study of the phenomena of pseudomorphic film growth. This is important for the understanding of the close relationship between film structure and magnetic properties. Growing films with the structure of their substrate rather than their bulk phase may provide an opportunity to grow materials with novel physical and magnetic properties, and hence new technological applications. Both Cobalt and Iron have been found to initially maintain a registry with the fcc Cu (111) surface in a manner consistent with pseudomorphic growth. This growth is complicated by island rather than layer by layer growth in the initials stages of the film. In both cases a change in the structure of the film seems to occur at a point where the coalescence of islands in the film may be expected to occur. When the film does change structure they do not form a perfect overlayer with the structure of their bulk counterpart. The films do contain a number of features representative of the bulk phase but also contain considerable disorder and possibly remnants of fcc (111) structure. The order present in these films can be greatly improved by annealing. Manganese appears to grow with an fcc Mn (111) lattice spacing and there is no sign of a change in structure in films of up to 4.61 ML thick. The gradual deposition and annealing of a film to 300 deg. C, with a total deposition time the same as that for a 1 ML thick film, causes a surface reconstruction to occur that is apparent in a R30 deg. (√3 x √3) LEED pattern. This is attributed to the formation of a surface alloy, which is also supported by the local expansion of the Cu lattice in the (111) direction. (author)

  7. Modular injector integrated linear apparatus with motion profile optimization for spatial atomic layer deposition

    Science.gov (United States)

    Wang, Xiaolei; Li, Yun; Lin, Jilong; Shan, Bin; Chen, Rong

    2017-11-01

    A spatial atomic layer deposition apparatus integrated with a modular injector and a linear motor has been designed. It consists of four parts: a precursor delivery manifold, a modular injector, a reaction zone, and a driving unit. An injector with multi-layer structured channels is designed to help improve precursor distribution homogeneity. During the back and forth movement of the substrate at high speed, the inertial impact caused by jerk and sudden changes of acceleration will degrade the film deposition quality. Such residual vibration caused by inertial impact will aggravate the fluctuation of the gap distance between the injector and the substrate in the deposition process. Thus, an S-curve motion profile is implemented to reduce the large inertial impact, and the maximum position error could be reduced by 84%. The microstructure of the film under the S-curve motion profile shows smaller root-mean-square and scanning voltage amplitude under an atomic force microscope, which verifies the effectiveness of the S-curve motion profile in reducing the residual vibration and stabilizing the gap distance between the injector and the substrate. The film deposition rate could reach 100 nm/min while maintaining good uniformity without obvious periodic patterns on the surface.

  8. Influence of the thickness of electrochemically deposited polyaniline used as hole transporting layer on the behaviour of polymer light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Alonso, J.L. [Dpto. de Fisica y Arquitectura de Computadores, Universidad Miguel Hernandez, Av. de la Universidad s/n, Ed. Torrepinet, 03202, Elche, Alicante (Spain)], E-mail: j.l.alonso@umh.es; Ferrer, J.C. [Dpto. de Fisica y Arquitectura de Computadores, Universidad Miguel Hernandez, Av. de la Universidad s/n, Ed. Torrepinet, 03202, Elche, Alicante (Spain); Cotarelo, M.A.; Montilla, F. [Dpto. de Quimica Fisica e Instituto Universitario de Materiales de Alicante, Apdo. de Correos 99, E-03080, Alicante (Spain); Fernandez de Avila, S. [Dpto. de Fisica y Arquitectura de Computadores, Universidad Miguel Hernandez, Av. de la Universidad s/n, Ed. Torrepinet, 03202, Elche, Alicante (Spain)

    2009-02-27

    An experimental study about the influence of the thickness of electrochemically deposited polyaniline (PANI), used as hole-transporting layer, on the behaviour of polymer light emitting diodes is presented. Two sets of devices with a different conjugated polymer used as active layer were prepared. Poly(9-vinylcarbazole) was used for the first type of devices, whereas Poly[2-methoxy-5-(3',7'-dimethyloctyloxy)-1,4-phenylene-vinylene] was used for the second type. Each set consists of five polymeric diodes in which the hole-transporting layer has been varied. In one case of each set no layer was deposited, in other one a Poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) layer was deposited, and in the rest of the diodes a different thickness of electrochemically deposited PANI was employed. The optic and electronic characterization of the devices show that controlling the thickness of the PANI hole transporting layer, both the maximum emission peak of the electroluminescence curves and the driving voltage could be tuned. Furthermore, an exponential behaviour has been demonstrated for the maximum intensity of the electroluminescence curves as a function of the applied excitation voltage between anode and cathode.

  9. Influence of the thickness of electrochemically deposited polyaniline used as hole transporting layer on the behaviour of polymer light-emitting diodes

    International Nuclear Information System (INIS)

    Alonso, J.L.; Ferrer, J.C.; Cotarelo, M.A.; Montilla, F.; Fernandez de Avila, S.

    2009-01-01

    An experimental study about the influence of the thickness of electrochemically deposited polyaniline (PANI), used as hole-transporting layer, on the behaviour of polymer light emitting diodes is presented. Two sets of devices with a different conjugated polymer used as active layer were prepared. Poly(9-vinylcarbazole) was used for the first type of devices, whereas Poly[2-methoxy-5-(3',7'-dimethyloctyloxy)-1,4-phenylene-vinylene] was used for the second type. Each set consists of five polymeric diodes in which the hole-transporting layer has been varied. In one case of each set no layer was deposited, in other one a Poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) layer was deposited, and in the rest of the diodes a different thickness of electrochemically deposited PANI was employed. The optic and electronic characterization of the devices show that controlling the thickness of the PANI hole transporting layer, both the maximum emission peak of the electroluminescence curves and the driving voltage could be tuned. Furthermore, an exponential behaviour has been demonstrated for the maximum intensity of the electroluminescence curves as a function of the applied excitation voltage between anode and cathode

  10. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  11. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  12. TMAP-7 simulation of D2 thermal release data from Be co-deposited layers

    International Nuclear Information System (INIS)

    Baldwin, M.J.; Schwarz-Selinger, T.; Yu, J.H.; Doerner, R.P.

    2013-01-01

    The efficacy of (1) bake-out at 513 K and 623 K, and (2) thermal transient (10 ms) loading to up to 1000 K, is explored for reducing D inventory in 1 μm thick Be–D (D/Be ∼0.1) co-deposited layers formed at 323 K for experiment (1) and ∼500 K for experiment (2). D release data from co-deposits are obtained by thermal desorption and used to validate a model input into the Tritium Migration and Analysis Program 7 (TMAP). In (1), good agreement with experiment is found for a TMAP model encorporating traps of activation energies, 0.80 eV and 0.98 eV, whereas an additional 2 eV trap was required to model experiment (2). Thermal release is found to be trap limited, but simulations are optimal when surface recombination is taken into account. Results suggest that thick built-up co-deposited layers will hinder ITER inventory control, and that bake periods (∼1 day) will be more effective in inventory reduction than transient thermal loading

  13. TMAP-7 simulation of D2 thermal release data from Be co-deposited layers

    Science.gov (United States)

    Baldwin, M. J.; Schwarz-Selinger, T.; Yu, J. H.; Doerner, R. P.

    2013-07-01

    The efficacy of (1) bake-out at 513 K and 623 K, and (2) thermal transient (10 ms) loading to up to 1000 K, is explored for reducing D inventory in 1 μm thick Be-D (D/Be ˜0.1) co-deposited layers formed at 323 K for experiment (1) and ˜500 K for experiment (2). D release data from co-deposits are obtained by thermal desorption and used to validate a model input into the Tritium Migration & Analysis Program 7 (TMAP). In (1), good agreement with experiment is found for a TMAP model encorporating traps of activation energies, 0.80 eV and 0.98 eV, whereas an additional 2 eV trap was required to model experiment (2). Thermal release is found to be trap limited, but simulations are optimal when surface recombination is taken into account. Results suggest that thick built-up co-deposited layers will hinder ITER inventory control, and that bake periods (˜1 day) will be more effective in inventory reduction than transient thermal loading.

  14. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  15. Integration of atomic layer deposition CeO2 thin films with functional complex oxides and 3D patterns

    International Nuclear Information System (INIS)

    Coll, M.; Palau, A.; Gonzalez-Rosillo, J.C.; Gazquez, J.; Obradors, X.; Puig, T.

    2014-01-01

    We present a low-temperature, < 300 °C, ex-situ integration of atomic layer deposition (ALD) ultrathin CeO 2 layers (3 to 5 unit cells) with chemical solution deposited La 0.7 Sr 0.3 MnO 3 (LSMO) functional complex oxides for multilayer growth without jeopardizing the morphology, microstructure and physical properties of the functional oxide layer. We have also extended this procedure to pulsed laser deposited YBa 2 Cu 3 O 7 (YBCO) thin films. Scanning force microscopy, X-ray diffraction, aberration corrected scanning transmission electron microscopy and macroscopic magnetic measurements were used to evaluate the quality of the perovskite films before and after the ALD process. By means of microcontact printing and ALD we have prepared CeO 2 patterns using an ozone-robust photoresist that will avoid the use of hazardous lithography processes directly on the device components. These bilayers, CeO 2 /LSMO and CeO 2 /YBCO, are foreseen to have special interest for resistive switching phenomena in resistive random-access memory. - Highlights: • Integration of atomic layer deposition (ALD) CeO 2 layers on functional complex oxides • Resistive switching is identified in CeO 2 /La 0.7 Sr 0.3 MnO 3 and CeO 2 /YBa 2 Cu 3 O 7 bilayers. • Study of the robustness of organic polymers for area-selective ALD • Combination of ALD and micro-contact printing to obtain 3D patterns of CeO 2

  16. Deposition of silica protected luminescent layers of Eu:GdVO_4 nanoparticles assisted by atmospheric pressure plasma jet

    International Nuclear Information System (INIS)

    Moretti, Elisa; Pizzol, Giorgia; Fantin, Marina; Enrichi, Francesco; Scopece, Paolo; Nuñez, Nuria O.; Ocaña, Manuel; Benedetti, Alvise; Polizzi, Stefano

    2016-01-01

    Eu:GdVO_4 nanophosphors with an average size of 60 nm, synthesized by a facile solvothermal method, were deposited on monocrystalline silicon wafers by a spray-coating technique with artworks anti-counterfeiting applications in mind. Atmospheric pressure plasma jet (APPJ) was used to deposit a silica-based layer on top of the nanometric luminescent layer, in order to improve its adhesion to the substrate and to protect it from the environment. The nanophosphors were characterized by X-ray diffraction (XRD) and transmission electron microscopy (TEM). Coating composition was investigated by Fourier transform infrared spectroscopy (FT-IR) and its morphology was characterized by scanning electron microscopy (FEG-SEM). The film thickness was evaluated by means of ellipsometry and adhesion was estimated by a peeling test. Luminescent properties of the nanophosphors deposited and fixed on silicon wafers were also measured. The whole layer resulted well-adhered to the silicon substrate, transparent and undetectable in the presence of visible light, but easily activated by UV light source. - Highlights: • Luminescent films were obtained by spray deposition of Eu:GdVO_4 nanophosphors. • Plasma jet deposition of SiO_2 fixed the nanophosphors on the substrate. • Optical properties of nanophosphors were preserved after deposition-fixing process. • Films well-adhered to the substrate, even after a scotch tape peeling test and a scratch test.

  17. The Morphology of Silver Layers on SU8 polymers prepared by Electroless Deposition

    Science.gov (United States)

    Dutta, Aniruddha; Yuan, Biao; Heinrich, Helge; Grabill, Chris; Williams, Henry; Kuebler, Stephen; Bhattacharya, Aniket

    2010-03-01

    Silver was deposited onto the functionalized surface of polymeric SU-8 where gold nanoparticles (Au-NPs) act as nucleation sites using electroless metallization chemistry. Here we report on the evolution of the nanoscale morphology of deposited Ag studied by Transmission Electron Microscopy (TEM). In TEM of sample cross sections correlations between the original gold and the silver nanoparticles were obtained while plan-view TEM results showed the distribution of nanoparticles on the surface. Scanning TEM with a high-angle annular dark field detector was used to obtain atomic number contrast. The morphology of the deposited Ag was controlled through the presence and absence of gum Arabic. The thickness and height fluctuations of the Ag layer were determined as a function of time and a statistical analysis of the growth process was conducted for the initial deposition periods.

  18. Development of ZnO:Al-based transparent contacts deposited at low-temperature by RF-sputtering on InN layers

    Energy Technology Data Exchange (ETDEWEB)

    Fernandez, S. [Departamento de Energias Renovables, Energia Solar Fotovoltaica, Centro de Investigaciones Energeticas, Medioambientales y Tecnologicas (CIEMAT), Avda. Complutense 22, 28040 Madrid (Spain); Naranjo, F.B.; Valdueza-Felip, S. [Grupo de Ingenieria Fotonica, Departamento de Electronica, Escuela Politecnica Superior, Universidad de Alcala Campus Universitario, 28871 Alcala de Henares, Madrid (Spain); Abril, O. de [ISOM and Departamento de Fisica Aplicada, Escuela Tecnica Superior de Ingenieros de Telecomunicacion, Universidad Politenica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain)

    2012-03-15

    Nitride semiconductors (Al,Ga,In)N attain material properties that make them suitable for photovoltaic and optoelectronics devices to be used in hard environments. These properties include an energy gap continuously tuneable within the energy range of the solar spectrum, a high radiation resistance and thermal stability. The developing of efficient devices requires contacts with low resistivity and high transmittance in visible region. ZnO:Al (AZO) emerges as a feasible candidate for transparent contact to nitride semiconductors, taking advantage of its low resistivity, high transparency in visible wavelengths and a very low lattice mismatch with respect to nitride semiconductors. This work presents a study of the applications of AZO films deposited at low-temperature by RF magnetron sputtering as transparent contact for InN layers. The optimization of AZO conditions deposition lead to the obtaining of contacts which shows an ohmic behaviour for the as-deposited layer, regardless the thickness of the ZnO:Al contact layer. Specific contact resistances of 1.6 {omega}.cm{sup 2} were achieved for the contact with 90 nm thick ZnO:Al layer without any post-deposition treatment (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Learning rate and attractor size of the single-layer perceptron

    International Nuclear Information System (INIS)

    Singleton, Martin S.; Huebler, Alfred W.

    2007-01-01

    We study the simplest possible order one single-layer perceptron with two inputs, using the delta rule with online learning, in order to derive closed form expressions for the mean convergence rates. We investigate the rate of convergence in weight space of the weight vectors corresponding to each of the 14 out of 16 linearly separable rules. These vectors follow zigzagging lines through the piecewise constant vector field to their respective attractors. Based on our studies, we conclude that a single-layer perceptron with N inputs will converge in an average number of steps given by an Nth order polynomial in (t/l), where t is the threshold, and l is the size of the initial weight distribution. Exact values for these averages are provided for the five linearly separable classes with N=2. We also demonstrate that the learning rate is determined by the attractor size, and that the attractors of a single-layer perceptron with N inputs partition R N +R N

  20. Atomic layer deposition on polymer based flexible packaging materials: Growth characteristics and diffusion barrier properties

    International Nuclear Information System (INIS)

    Kaeaeriaeinen, Tommi O.; Maydannik, Philipp; Cameron, David C.; Lahtinen, Kimmo; Johansson, Petri; Kuusipalo, Jurkka

    2011-01-01

    One of the most promising areas for the industrial application of atomic layer deposition (ALD) is for gas barrier layers on polymers. In this work, a packaging material system with improved diffusion barrier properties has been developed and studied by applying ALD on flexible polymer based packaging materials. Nanometer scale metal oxide films have been applied to polymer-coated papers and their diffusion barrier properties have been studied by means of water vapor and oxygen transmission rates. The materials for the study were constructed in two stages: the paper was firstly extrusion coated with polymer film, which was then followed by the ALD deposition of oxide layer. The polymers used as extrusion coatings were polypropylene, low and high density polyethylene, polylactide and polyethylene terephthalate. Water vapor transmission rates (WVTRs) were measured according to method SCAN-P 22:68 and oxygen transmission rates (O 2 TRs) according to a standard ASTM D 3985. According to the results a 10 nm oxide layer already decreased the oxygen transmission by a factor of 10 compared to uncoated material. WVTR with 40 nm ALD layer was better than the level currently required for most common dry flexible packaging applications. When the oxide layer thickness was increased to 100 nm and above, the measured WVTRs were limited by the measurement set up. Using an ALD layer allowed the polymer thickness on flexible packaging materials to be reduced. Once the ALD layer was 40 nm thick, WVTRs and O 2 TRs were no longer dependent on polymer layer thickness. Thus, nanometer scale ALD oxide layers have shown their feasibility as high quality diffusion barriers on flexible packaging materials.

  1. Atomic layer deposition on polymer based flexible packaging materials: Growth characteristics and diffusion barrier properties

    Energy Technology Data Exchange (ETDEWEB)

    Kaeaeriaeinen, Tommi O., E-mail: tommi.kaariainen@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Cameron, David C., E-mail: david.cameron@lut.f [ASTRaL, Lappeenranta University of Technology, Prikaatinkatu 3 E, 50100 Mikkeli (Finland); Lahtinen, Kimmo, E-mail: kimmo.lahtinen@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland); Johansson, Petri, E-mail: petri.johansson@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.f [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 541, 33101 Tampere (Finland)

    2011-03-01

    One of the most promising areas for the industrial application of atomic layer deposition (ALD) is for gas barrier layers on polymers. In this work, a packaging material system with improved diffusion barrier properties has been developed and studied by applying ALD on flexible polymer based packaging materials. Nanometer scale metal oxide films have been applied to polymer-coated papers and their diffusion barrier properties have been studied by means of water vapor and oxygen transmission rates. The materials for the study were constructed in two stages: the paper was firstly extrusion coated with polymer film, which was then followed by the ALD deposition of oxide layer. The polymers used as extrusion coatings were polypropylene, low and high density polyethylene, polylactide and polyethylene terephthalate. Water vapor transmission rates (WVTRs) were measured according to method SCAN-P 22:68 and oxygen transmission rates (O{sub 2}TRs) according to a standard ASTM D 3985. According to the results a 10 nm oxide layer already decreased the oxygen transmission by a factor of 10 compared to uncoated material. WVTR with 40 nm ALD layer was better than the level currently required for most common dry flexible packaging applications. When the oxide layer thickness was increased to 100 nm and above, the measured WVTRs were limited by the measurement set up. Using an ALD layer allowed the polymer thickness on flexible packaging materials to be reduced. Once the ALD layer was 40 nm thick, WVTRs and O{sub 2}TRs were no longer dependent on polymer layer thickness. Thus, nanometer scale ALD oxide layers have shown their feasibility as high quality diffusion barriers on flexible packaging materials.

  2. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  3. Morphology and gas sensing properties of as-deposited and thermally treated doped thin SnO{sub x} layers

    Energy Technology Data Exchange (ETDEWEB)

    Georgieva, B; Pirov, J; Podolesheva, I [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria); Nihtianova, D, E-mail: biliana@clf.bas.b [Central Laboratory of Mineralogy and Crystallography, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.107, 1113 Sofia (Bulgaria)

    2010-04-01

    Thin layers intended for gas sensors are prepared by vacuum co-evaporation of TeO{sub 2} and Sn. The as-deposited layers consist of a nanosized oxide matrix and finely dispersed dopants (Te, Sn, TeO{sub 2} or SnTe, depending on the atomic ratio R{sub Sn/Te}). In order to improve the characteristics of the layers they are additionally doped with platinum. The gas sensing properties are strongly dependent on the atomic ratio R{sub Sn/Te}, as well as on the structure, composition and surface morphology. The as-deposited layers with R{sub Sn/Te} 0.8 are highly sensitive humidity sensors working at room temperature. Thermally treated Pt-doped layers with R{sub Sn/Te} 2.3 are promising as ethanol sensors. With the aim of obtaining more detailed knowledge about the surface morphology, structure and composition of layers sensitive to different environments, various techniques -TEM, SAED, SEM, EDS in SEM and white light interferometry (WLI), are applied. It is shown that all layers with 1.0 > R{sub Sn/Te} > 2, as-deposited and thermally treated, exhibit a columnar structure and a very smooth surface along with the nanograined matrix. The thermal treatment causes changes in the structure and composition of the layers. The ethanol-sensitive layers consist of nanosized polycrystalline phases of SnO{sub 2}, Sn{sub 2}O{sub 3}, Sn{sub 3}O{sub 4} and TeO{sub 2}. This knowledge could help us understand better the behaviour and govern the characteristics of layers obtained by co-evaporation of Sn and TeO{sub 2}.

  4. Pt thermal atomic layer deposition for silicon x-ray micropore optics.

    Science.gov (United States)

    Takeuchi, Kazuma; Ezoe, Yuichiro; Ishikawa, Kumi; Numazawa, Masaki; Terada, Masaru; Ishi, Daiki; Fujitani, Maiko; Sowa, Mark J; Ohashi, Takaya; Mitsuda, Kazuhisa

    2018-04-20

    We fabricated a silicon micropore optic using deep reactive ion etching and coated by Pt with atomic layer deposition (ALD). We confirmed that a metal/metal oxide bilayer of Al 2 O 3 ∼10  nm and Pt ∼20  nm was successfully deposited on the micropores whose width and depth are 20 μm and 300 μm, respectively. An increase of surface roughness of sidewalls of the micropores was observed with a transmission electron microscope and an atomic force microscope. X-ray reflectivity with an Al Kα line at 1.49 keV before and after the deposition was measured and compared to ray-tracing simulations. The surface roughness of the sidewalls was estimated to increase from 1.6±0.2  nm rms to 2.2±0.2  nm rms. This result is consistent with the microscope measurements. Post annealing of the Pt-coated optic at 1000°C for 2 h showed a sign of reduced surface roughness and better angular resolution. To reduce the surface roughness, possible methods such as the annealing after deposition and a plasma-enhanced ALD are discussed.

  5. Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications

    Energy Technology Data Exchange (ETDEWEB)

    Maydannik, Philipp S., E-mail: philipp.maydannik@lut.fi; Kääriäinen, Tommi O.; Lahtinen, Kimmo; Cameron, David C. [Advanced Surface Technology Research Laboratory, Lappeenranta University of Technology, Sammonkatu 12, 50130 Mikkeli (Finland); Söderlund, Mikko; Soininen, Pekka [Beneq Oy, P.O. Box 262, 01511 Vantaa (Finland); Johansson, Petri; Kuusipalo, Jurkka [Tampere University of Technology, Paper Converting and Packaging Technology, P.O. Box 589, 33101 Tampere (Finland); Moro, Lorenza; Zeng, Xianghui [Samsung Cheil Industries, San Jose R and D Center, 2186 Bering Drive, San Jose, California 95131 (United States)

    2014-09-01

    At present flexible electronic devices are under extensive development and, among them, flexible organic light-emitting diode displays are the closest to a large market deployment. One of the remaining unsolved challenges is high throughput production of impermeable flexible transparent barrier layers that protect sensitive light-emitting materials against ambient moisture. The present studies deal with the adaptation of the atomic layer deposition (ALD) process to high-throughput roll-to-roll production using the spatial ALD concept. We report the development of such a process for the deposition of 20 nm thickness Al{sub 2}O{sub 3} diffusion barrier layers on 500 mm wide polymer webs. The process uses trimethylaluminum and water as precursors at a substrate temperature of 105 °C. The observation of self-limiting film growth behavior and uniformity of thickness confirms the ALD growth mechanism. Water vapor transmission rates for 20 nm Al{sub 2}O{sub 3} films deposited on polyethylene naphthalate (PEN) substrates were measured as a function of substrate residence time, that is, time of exposure of the substrate to one precursor zone. Moisture permeation levels measured at 38 °C/90% relative humidity by coulometric isostatic–isobaric method were below the detection limit of the instrument (<5 × 10{sup −4} g/m{sup 2} day) for films coated at web moving speed of 0.25 m/min. Measurements using the Ca test indicated water vapor transmission rates ∼5 × 10{sup −6} g/m{sup 2} day. Optical measurements on the coated web showed minimum transmission of 80% in the visible range that is the same as the original PEN substrate.

  6. TiO2 nanosheets synthesized by atomic layer deposition for photocatalysis

    Directory of Open Access Journals (Sweden)

    Riyanto Edy

    2016-10-01

    Full Text Available Two-dimensional TiO2 nanosheets were synthesized by atomic layer deposition (ALD on dissolvable sacrificial polymer layer. The photocatalytic performance of free-standing TiO2 nanosheets prepared with different numbers of ALD cycles (100, 300, 500, and 1000 were investigated by evaluating the degradation rates of methyl orange solutions. It is shown that the photocatalytic activity increases due to Ti3+ defect and the locally ordered structures in amorphous TiO2 nanosheets. The difference in the surface areas of nanosheets may also play a crucial role in the photocatalytic activity. The results obtained in this work can have potential applications in fields like water splitting and dye-sensitized solar cells.

  7. Thermal vibration of a rectangular single-layered graphene sheet with quantum effects

    International Nuclear Information System (INIS)

    Wang, Lifeng; Hu, Haiyan

    2014-01-01

    The thermal vibration of a rectangular single-layered graphene sheet is investigated by using a rectangular nonlocal elastic plate model with quantum effects taken into account when the law of energy equipartition is unreliable. The relation between the temperature and the Root of Mean Squared (RMS) amplitude of vibration at any point of the rectangular single-layered graphene sheet in simply supported case is derived first from the rectangular nonlocal elastic plate model with the strain gradient of the second order taken into consideration so as to characterize the effect of microstructure of the graphene sheet. Then, the RMS amplitude of thermal vibration of a rectangular single-layered graphene sheet simply supported on an elastic foundation is derived. The study shows that the RMS amplitude of the rectangular single-layered graphene sheet predicted from the quantum theory is lower than that predicted from the law of energy equipartition. The maximal relative difference of RMS amplitude of thermal vibration appears at the sheet corners. The microstructure of the graphene sheet has a little effect on the thermal vibrations of lower modes, but exhibits an obvious effect on the thermal vibrations of higher modes. The quantum effect is more important for the thermal vibration of higher modes in the case of smaller sides and lower temperature. The relative difference of maximal RMS amplitude of thermal vibration of a rectangular single-layered graphene sheet decreases monotonically with an increase of temperature. The absolute difference of maximal RMS amplitude of thermal vibration of a rectangular single-layered graphene sheet increases slowly with the rising of Winkler foundation modulus.

  8. Single-crystalline AlN growth on sapphire using physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cardenas-Valencia, Andres M., E-mail: andres.cardenas@sri.co [SRI International (United States); Onishi, Shinzo; Rossie, Benjamin [SRI International (United States)

    2011-02-07

    A novel technique for growing single crystalline aluminum nitride (AlN) films is presented. The novelty of the technique, specifically, comes from the use of an innovative physical vapor deposition magnetron sputtering tool, which embeds magnets into the target material. A relatively high deposition rates is achieved ({approx}0.2 {mu}m/min), at temperatures between 860 and 940 {sup o}C. The AlN, grown onto sapphire, is single-crystalline as evidenced by observation using transmission electron microscopy. Tool configuration and growth conditions are discussed, as well as a first set of other analytical results, namely, x-ray diffraction and ultraviolet-visible transmission spectrophotometry.

  9. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  10. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  11. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  12. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  13. The influence of the electrical asymmetry effect on deposition uniformity of thin silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Hrunski, D., E-mail: Dzmitry.Hrunski@leyboldoptics.com; Janssen, A.; Fritz, T.; Hegemann, T.; Clark, C.; Schreiber, U.; Grabosch, G.

    2013-04-01

    The deposition of amorphous and microcrystalline silicon is an important step in the production of thin silicon film solar panels. Deposition rate, layer uniformity and material quality are key attributes for achieving high efficiency in such panels. Due to the multilayer structure of tandem solar cells (more than 6 thin silicon layers), it is becoming increasingly important to improve the uniformity of deposition without sacrificing deposition rate and material quality. This paper reports the results of an investigation into the influence of the electrical asymmetry effect (EAE) on the uniformity of deposited layers. 13.56 MHz + 27.12 MHz excitation frequencies were used for thin silicon film deposition in a Gen5 reactor (1100 × 1400 mm). To change the plasma properties, the DC self bias voltage on the RF electrode was varied by adjustment of the phase angle between the two frequencies applied. It was found that the layers deposited by EAE method have better uniformity than layers deposited in single frequency 27.12 MHz discharge. The EAE provides additional opportunities for improvement of uniformity, deposition rate and material quality. - Highlights: ► The electrical asymmetry effect technique tested for thin silicon film deposition ► Bias voltage has an influence on film uniformity. ► Minimized the deterioration of layer uniformity while increasing discharge frequency.

  14. Thermal barrier coatings of rare earth materials deposited by electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xu Zhenhua [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); He Limin, E-mail: he_limin@yahoo.co [Beijing Institute of Aeronautical Materials, Department 5, P.O. Box 81-5, Beijing 100095 (China); Chen Xiaolong; Zhao Yu [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China); Graduate School of Chinese Academy of Sciences, Beijing 100039 (China); Cao Xueqiang, E-mail: xcao@ciac.jl.c [State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun 130022 (China)

    2010-10-15

    Thermal barrier coatings (TBCs) have very important applications in gas turbines for higher thermal efficiency and protection of components at high temperature. TBCs of rare earth materials such as lanthanum zirconate (La{sub 2}Zr{sub 2}O{sub 7}, LZ), lanthanum cerate (La{sub 2}Ce{sub 2}O{sub 7}, LC), lanthanum cerium zirconate (La{sub 2}(Zr{sub 0.7}Ce{sub 0.3}){sub 2}O{sub 7}, LZ7C3) were prepared by electron beam-physical vapor deposition (EB-PVD). The composition, crystal structure, cross-sectional morphology and cyclic oxidation behavior of these coatings were studied. These coatings have partially deviated from their original compositions due to the different evaporation rates of oxides, and the deviation could be reduced by properly controlling the deposition condition. A double ceramic layer-thermal barrier coatings (DCL-TBCs) of LZ7C3 and LC could also be deposited with a single LZ7C3 ingot by properly controlling the deposition energy. LaAlO{sub 3} is formed due to the chemical reaction between LC and Al{sub 2}O{sub 3} in the thermally grown oxide (TGO) layer. The failure of DCL-TBCs is a result of the sintering-induced of LZ7C3 coating and the chemical incompatibility of LC and TGO. Since no single material that has been studied so far satisfies all the requirements for high temperature applications, DCL-TBCs are an important development direction of TBCs.

  15. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    Science.gov (United States)

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  16. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  17. Quantum chemical study of the elementary reactions in zirconium oxide atomic layer deposition

    International Nuclear Information System (INIS)

    Widjaja, Yuniarto; Musgrave, Charles B.

    2002-01-01

    Elementary reactions in atomic layer deposition of zirconia using zirconium tetrachloride and water are investigated using the density functional theory. The atomistic mechanisms of the two deposition half cycles on the Zr-OH and Zr-Cl surface sites are investigated. Both half reactions proceed through the formation of stable intermediates, resulting in high barriers for HCl formation. We find that the intermediate stability is lowered as the surface temperature is raised. However, increasing temperature also increases the dissociation free-energy barrier, which in turn results in increased desorption of adsorbed precursors

  18. Study of underpotential deposited Cu layers on Pt(111) and their stability against CO and CO2 in perchloric acid

    DEFF Research Database (Denmark)

    Schlaup, Christian Georg; Horch, Sebastian

    2013-01-01

    The underpotential deposition (UPD) of copper on a Pt(111) electrode and the influence of gas coadsorbates, i.e. CO and CO2, on the thus deposited copper layer were studied in a 0.1 M HClO4 electrolyte by means of EC-STM. By UPD, an atomically flat Cu layer is formed, which exhibits a pseudomorph...

  19. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  20. Fabrication of 5 cm long epitaxial Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} single buffer layer on textured Ni-5%W substrate for YBCO coated conductors via dip-coating PACSD method

    Energy Technology Data Exchange (ETDEWEB)

    Lei, M.; Wang, W.T.; Pu, M.H.; Yang, X.S.; He, L.J. [Key Laboratory of Magnetic Levitation and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Cheng, C.H. [Science and Engineering, University of New South Wales, Sydney 2052, New South Wales (Australia); Zhao, Y., E-mail: yzhao@home.swjtu.edu.cn [Key Laboratory of Magnetic Levitation and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China)] [Science and Engineering, University of New South Wales, Sydney 2052, New South Wales (Australia)

    2011-11-15

    Epitaxial Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} single buffer layer for YBCO coated conductors was deposited via fluorine-free dip-coating CSD. Flat, dense and crack-free SCO films with sharp (2 0 0) c-axis texture were obtained by carefully controlling the processing. YBCO thin films with a homogeneous surface microstructure were deposited on the SCO-buffered NiW substrate via CSD approach. Five centimeters long epitaxial Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} (SCO) single buffer layer for YBCO coated conductors was deposited via dip-coating polymer-assisted chemical solution deposition (PACSD) approach on bi-axially textured Ni-5%W (2 0 0) alloy substrate. The film formation and texture evolution were investigated using X-ray diffraction and scanning electron microscopy. Flat, dense and crack-free SCO films with sharp (2 0 0) c-axis texture were obtained by way of carefully controlling the concentration of precursor solution, withdrawing speed, annealing temperature and dwelling time. On consideration of both microstructure and texture, epitaxial SCO single buffer layers were fabricated using precursor solution of 0.3 M cationic concentration, the withdrawing speed of 10 mm/min and heat treatment at 1100 deg. C in Ar-5%H{sub 2} mixture gas for 0.5 h. Epitaxial YBCO thin films with a homogeneous surface microstructure were deposited on the SCO-buffered NiW substrate via dip-coating PACSD approach. The PACSD approach was a promising way to fabricate long and low-cost YBCO coated conductors.