WorldWideScience

Sample records for silicon-rich oxide films

  1. Photoconduction in silicon rich oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Luna-Lopez, J A; Carrillo-Lopez, J; Flores-Gracia, F J; Garcia-Salgado, G [CIDS-ICUAP, Benemerita Universidad Autonoma de Puebla. Ed. 103 D and C, col. San Manuel, Puebla, Pue. Mexico 72570 (Mexico); Aceves-Mijares, M; Morales-Sanchez, A, E-mail: jluna@buap.siu.m, E-mail: jluna@inaoep.m [INAOE, Luis Enrique Erro No. 1, Apdo. 51, Tonantzintla, Puebla, Mexico 72000 (Mexico)

    2009-05-01

    Photoconduction of silicon rich oxide (SRO) thin films were studied by current-voltage (I-V) measurements, where ultraviolet (UV) and white (Vis) light illumination were applied. SRO thin films were deposited by low pressure chemical vapour deposition (LPCVD) technique, using SiH{sub 4} (silane) and N{sub 2}O (nitrous oxide) as reactive gases at 700 {sup 0}. The gas flow ratio, Ro = [N{sub 2}O]/[SiH{sub 4}] was used to control the silicon excess. The thickness and refractive index of the SRO films were 72.0 nm, 75.5 nm, 59.1 nm, 73.4 nm and 1.7, 1.5, 1.46, 1.45, corresponding to R{sub o} = 10, 20, 30 and 50, respectively. These results were obtained by null ellipsometry. Si nanoparticles (Si-nps) and defects within SRO films permit to obtain interesting photoelectric properties as a high photocurrent and photoconduction. These effects strongly depend on the silicon excess, thickness and structure type. Two different structures (Al/SRO/Si and Al/SRO/SRO/Si metal-oxide-semiconductor (MOS)-like structures) were fabricated and used as devices. The photocurrent in these structures is dominated by the generation of carriers due to the incident photon energies ({approx}3.0-1.6 eV and 5 eV). These structures showed large photoconductive response at room temperature. Therefore, these structures have potential applications in optoelectronics devices.

  2. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  3. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  4. Fluorescence and thermoluminescence in silicon oxide films rich in silicon; Fluorescencia y termoluminiscencia en peliculas de oxido de silicio rico en silicio

    Energy Technology Data Exchange (ETDEWEB)

    Berman M, D.; Piters, T. M. [Centro de Investigacion en Fisica, Universidad de Sonora, Apdo. Postal 5-088, Hermosillo 83190, Sonora (Mexico); Aceves M, M.; Berriel V, L. R. [Instituto Nacional de Astrofisica, Optica y Electronica, Apdo. Postal 51, Puebla 72000, Puebla (Mexico); Luna L, J. A. [CIDS, Benemerita Universidad Autonoma de Puebla, Apdo. Postal 1651, Puebla 72000, Puebla (Mexico)

    2009-10-15

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 {omega}-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N{sub 2} at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  5. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  6. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  7. Vibrational Spectroscopy of Chemical Species in Silicon and Silicon-Rich Nitride Thin Films

    Directory of Open Access Journals (Sweden)

    Kirill O. Bugaev

    2012-01-01

    Full Text Available Vibrational properties of hydrogenated silicon-rich nitride (SiN:H of various stoichiometry (0.6≤≤1.3 and hydrogenated amorphous silicon (a-Si:H films were studied using Raman spectroscopy and Fourier transform infrared spectroscopy. Furnace annealing during 5 hours in Ar ambient at 1130∘C and pulse laser annealing were applied to modify the structure of films. Surprisingly, after annealing with such high-thermal budget, according to the FTIR data, the nearly stoichiometric silicon nitride film contains hydrogen in the form of Si–H bonds. From analysis of the FTIR data of the Si–N bond vibrations, one can conclude that silicon nitride is partly crystallized. According to the Raman data a-Si:H films with hydrogen concentration 15% and lower contain mainly Si–H chemical species, and films with hydrogen concentration 30–35% contain mainly Si–H2 chemical species. Nanosecond pulse laser treatments lead to crystallization of the films and its dehydrogenization.

  8. Structural and optical properties of silicon rich oxide films in graded-stoichiometric multilayers for optoelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Palacios-Huerta, L.; Aceves-Mijares, M. [Electronics Department, INAOE, Apdo. 51, Puebla, Pue. 72000, México (Mexico); Cabañas-Tay, S. A.; Cardona-Castro, M. A.; Morales-Sánchez, A., E-mail: alfredo.morales@cimav.edu.mx [Centro de Investigación en Materiales Avanzados S.C., Unidad Monterrey-PIIT, Apodaca, NL 66628, México (Mexico); Domínguez-Horna, C. [Instituto de Microelectrónica de Barcelona, IMB-CNM (CSIC), Bellaterra 08193, Barcelona (Spain)

    2016-07-18

    Silicon nanocrystals (Si-ncs) are excellent candidates for the development of optoelectronic devices. Nevertheless, different strategies are still necessary to enhance their photo and electroluminescent properties by controlling their structural and compositional properties. In this work, the effect of the stoichiometry and structure on the optical properties of silicon rich oxide (SRO) films in a multilayered (ML) structure is studied. SRO MLs with silicon excess gradually increased towards the top and bottom and towards the center of the ML produced through the variation of the stoichiometry in each SRO layer were fabricated and confirmed by X-ray photoelectron spectroscopy. Si-ncs with three main sizes were observed by a transmission electron microscope, in agreement with the stoichiometric profile of each SRO layer. The presence of the three sized Si-ncs and some oxygen related defects enhances intense violet/blue and red photoluminescence (PL) bands. The SRO MLs were super-enriched with additional excess silicon by Si{sup +} implantation, which enhanced the PL intensity. Oxygen-related defects and small Si-ncs (<2 nm) are mostly generated during ion implantation enhancing the violet/blue band to become comparable to the red band. The structural, compositional, and luminescent characteristics of the multilayers are the result of the contribution of the individual characteristics of each layer.

  9. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  10. Preparation and characterization of polymer-derived amorphous silicon carbide with silicon-rich stoichiometry

    Energy Technology Data Exchange (ETDEWEB)

    Masuda, Takashi, E-mail: mtakashi@jaist.ac.jp [School of Material and Science, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Iwasaka, Akira [School of Material and Science, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Takagishi, Hideyuki [Faculty of Symbiotic System Science, Fukushima University, 1 Kanayagawa, Fukushima-shi, Fukushima 960-1296 (Japan); Shimoda, Tatsuya [School of Material and Science, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)

    2016-08-01

    Polydihydrosilane with pendant hexyl groups was synthesized to obtain silicon-rich amorphous silicon carbide (a-SiC) films via the solution route. Unlike conventional polymeric precursors, this polymer requires neither catalysts nor oxidation for its synthesis and cross-linkage. Therefore, the polymer provides sufficient purity for the fabrication of semiconducting a-SiC. Here, we investigated the correlation of Si/C stoichiometry between the polymer and the resultant a-SiC film. The structural, optical, and electrical properties of the films with various carbon contents were also explored. Experimental results suggested that the excess carbon that did not participate in Si−C configurations was decomposed and was evaporated during polymer-to-SiC conversion. Consequently, the upper limit of the carbon in resultant a-SiC film was < 50 at.%; namely, the polymer provided silicon-rich a-SiC, whereas the conventionally used polycarbosilane inevitably provides carbon-rich one. These features of this unusual polymer open up a frontier of polymer-derived SiC and solution-processed SiC electronics. - Highlights: • Polymeric precursor solution for silicon carbide (SiC) is synthesized. • Semiconducting amorphous SiC is prepared via solution route. • The excess carbon is decomposed during cross-linking resulting in Si-rich SiC films. • The grown SiC films contain substantial amount of hydrogen atoms as SiH{sub n}/CH{sub n} entities. • Presence of CH{sub n} entities induces dangling bonds, causing poor electrical properties.

  11. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    International Nuclear Information System (INIS)

    Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-01-01

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  12. Silicon oxynitride films deposited by reactive high power impulse magnetron sputtering using nitrous oxide as a single-source precursor

    Energy Technology Data Exchange (ETDEWEB)

    Hänninen, Tuomas, E-mail: tuoha@ifm.liu.se; Schmidt, Susann; Jensen, Jens; Hultman, Lars; Högberg, Hans [Thin Film Physics Division, Department of Physics, Chemistry, and Biology (IFM), Linköping University, Linköping SE-581 83 (Sweden)

    2015-09-15

    Silicon oxynitride thin films were synthesized by reactive high power impulse magnetron sputtering of silicon in argon/nitrous oxide plasmas. Nitrous oxide was employed as a single-source precursor supplying oxygen and nitrogen for the film growth. The films were characterized by elastic recoil detection analysis, x-ray photoelectron spectroscopy, x-ray diffraction, x-ray reflectivity, scanning electron microscopy, and spectroscopic ellipsometry. Results show that the films are silicon rich, amorphous, and exhibit a random chemical bonding structure. The optical properties with the refractive index and the extinction coefficient correlate with the film elemental composition, showing decreasing values with increasing film oxygen and nitrogen content. The total percentage of oxygen and nitrogen in the films is controlled by adjusting the gas flow ratio in the deposition processes. Furthermore, it is shown that the film oxygen-to-nitrogen ratio can be tailored by the high power impulse magnetron sputtering-specific parameters pulse frequency and energy per pulse.

  13. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-04-15

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  14. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    Directory of Open Access Journals (Sweden)

    Akarapu Ashok

    2014-01-01

    Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.

  15. Waveguiding properties of Er-implanted silicon-rich oxides

    International Nuclear Information System (INIS)

    Elliman, R.G.; Forcales, M.; Wilkinson, A.R.; Smith, N.J.

    2007-01-01

    The optical properties of erbium-doped silicon-rich silicon-oxide waveguides containing amorphous silicon nanoclusters and/or silicon nanocrystals are reported. Both amorphous nanoclusters and nanocrystals are shown to act as effective sensitizers for Er, with nanocrystals being more effective at low pump powers and nanoclusters being more effective at higher pump powers. All samples are shown to exhibit photo-induced absorption, as measured for a guided 1.5 μm probe beam while the waveguide was illuminated from above with a 477 nm pump beam. At a given pump power samples containing silicon nanocrystals exhibited greater attenuation than samples containing amorphous nanoclusters. The absorption is shown to be consistent with confined-carrier absorption due to photoexcited carriers in the nanocrystals and/or nanoclusters

  16. Y-Ba-Cu-O superconducting film on oxidized silicon

    International Nuclear Information System (INIS)

    Gupta, R.P.; Khokle, W.S.; Dubey, R.C.; Singhal, S.; Nagpal, K.C.; Rao, G.S.T.; Jain, J.D.

    1988-01-01

    We report thick superconducting films of Y-Ba-Cu-O on oxidized silicon substrates. The critical temperatures for onset and zero resistance are 96 and 77 K, respectively. X-ray diffraction analysis predicts 1, 2, 3 composition and orthorhombic phase of the film

  17. Annealing temperature dependence of photoluminescent characteristics of silicon nanocrystals embedded in silicon-rich silicon nitride films grown by PECVD

    International Nuclear Information System (INIS)

    Chao, D.S.; Liang, J.H.

    2013-01-01

    Recently, light emission from silicon nanostructures has gained great interest due to its promising potential of realizing silicon-based optoelectronic applications. In this study, luminescent silicon nanocrystals (Si–NCs) were in situ synthesized in silicon-rich silicon nitride (SRSN) films grown by plasma-enhanced chemical vapor deposition (PECVD). SRSN films with various excess silicon contents were deposited by adjusting SiH 4 flow rate to 100 and 200 sccm and keeping NH 3 one at 40 sccm, and followed by furnace annealing (FA) treatments at 600, 850 and 1100 °C for 1 h. The effects of excess silicon content and post-annealing temperature on optical properties of Si–NCs were investigated by photoluminescence (PL) and Fourier transform infrared spectroscopy (FTIR). The origins of two groups of PL peaks found in this study can be attributed to defect-related interface states and quantum confinement effects (QCE). Defect-related interface states lead to the photon energy levels almost kept constant at about 3.4 eV, while QCE results in visible and tunable PL emission in the spectral range of yellow and blue light which depends on excess silicon content and post-annealing temperature. In addition, PL intensity was also demonstrated to be highly correlative to the excess silicon content and post-annealing temperature due to its corresponding effects on size, density, crystallinity, and surface passivation of Si–NCs. Considering the trade-off between surface passivation and structural properties of Si–NCs, an optimal post-annealing temperature of 600 °C was suggested to maximize the PL intensity of the SRSN films

  18. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. Aceves-Mijares

    2012-01-01

    Full Text Available Silicon Rich Oxide (SRO has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD. In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept that SRO emission properties are due to oxidation state nanoagglomerates rather than to nanocrystals. The emission mechanism is similar to Donor-Acceptor decay in semiconductors, and a wide emission spectrum, from 450 to 850 nm, has been observed. The results show that emission is a function of both silicon excess in the film and excitation energy. As a result different color emissions can be obtained by selecting the suitable excitation energy.

  19. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    Science.gov (United States)

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  20. Stressing effects on the charge trapping of silicon oxynitride prepared by thermal oxidation of LPCVD Si-rich silicon nitride

    International Nuclear Information System (INIS)

    Choi, H.Y.; Wong, H.; Filip, V.; Sen, B.; Kok, C.W.; Chan, M.; Poon, M.C.

    2006-01-01

    It was recently found that the silicon oxynitride prepared by oxidation of silicon-rich silicon nitride (SRN) has several important features. The high nitrogen and extremely low hydrogen content of this material allows it to have a high dielectric constant and a low trap density. The present work investigates in further detail the electrical reliability of this kind of gate dielectric films by studying the charge trapping and interface state generation induced by constant current stressing. Capacitance-voltage (C-V) measurements indicate that for oxidation temperatures of 850 and 950 deg. C, the interface trap generation is minimal because of the high nitrogen content at the interface. At a higher oxidation temperature of 1050 deg. C, a large flatband shift is found for constant current stressing. This observation can be explained by the significant reduction of the nitrogen content and the phase separation effect at this temperature as found by X-ray photoelectron spectroscopy study. In addition to the high nitrogen content, the Si atoms at the interface exist in the form of random bonding to oxygen and nitrogen atoms for samples oxidized at 850 and 950 deg. C. This structure reduces the interface bonding constraint and results in the low interface trap density. For heavily oxidized samples the trace amount of interface nitrogen atoms exist in the form of a highly constraint SiN 4 phase and the interface oxynitride layer is a random mixture of SiO 4 and SiN 4 phases, which consequently reduces the reliability against high energy electron stressing

  1. Study on the preparation of boron-rich film by magnetron sputtering in oxygen atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Pan, Zhangmin; Yang, Yiming; Huang, Jian; Ren, Bing; Yu, Hongze; Xu, Run; Ji, Huanhuan; Wang, Lin; Wang, Linjun, E-mail: ljwang@shu.edu.cn

    2016-12-01

    Highlights: • Boron ({sup 10}B) oxide films were successfully grown using RF magnetron sputtering. • Effects of oxygen partial pressure on the property of the films were studied. • Substrates were covered with B-rich film and film surface was covered with B{sub 2}O{sub 3}. • The growth mechanism of films in oxygen atmosphere was analyzed using XPS. - Abstract: In this paper, the growth of boron ({sup 10}B) oxide films on (1 0 0) silicon substrate were achieved by radio frequency (r.f.) magnetron sputtering under the different oxygen partial pressure with a target of boron and boron oxide. The structure and properties of deposited films were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy spectrometer (FTIR), X-ray photoelectron spectroscopy (XPS), respectively. The results showed that the substrate was covered with boron-rich films tightly and the surface of films was covered with B{sub 2}O{sub 3}. And the growth mechanism of boron-rich film in oxygen atmosphere was also analyzed.

  2. Development of Doped Microcrystalline Silicon Oxide and its Application to Thin‑Film Silicon Solar Cells

    NARCIS (Netherlands)

    Lambertz, A.

    2015-01-01

    The aim of the present study is the development of doped microcrystalline silicon oxide (µc‑SiOx:H) alloys and its application in thin‑film silicon solar cells. The doped µc‑SiOx:H material was prepared from carbon dioxide (CO2), silane (SiH4), hydrogen (H2) gas mixtures using plasma enhanced

  3. Transparent conductive oxides for thin-film silicon solar cells

    NARCIS (Netherlands)

    Löffler, J.

    2005-01-01

    This thesis describes research on thin-film silicon solar cells with focus on the transparent conductive oxide (TCO) for such devices. In addition to the formation of a transparent and electrically conductive front electrode for the solar cell allowing photocurrent collection with low ohmic losses,

  4. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  5. On the oxidation mechanism of microcrystalline silicon thin films studied by Fourier transform infrared spectroscopy

    NARCIS (Netherlands)

    Bronneberg, A. C.; Smets, A. H. M.; Creatore, M.; M. C. M. van de Sanden,

    2011-01-01

    Insight into the oxidation mechanism of microcrystalline silicon thin films has been obtained by means of Fourier transform infrared spectroscopy. The films were deposited by using the expanding thermal plasma and their oxidation upon air exposure was followed in time. Transmission spectra were

  6. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  7. Effect of the stoichiometry of Si-rich silicon nitride thin films on their photoluminescence and structural properties

    Energy Technology Data Exchange (ETDEWEB)

    Torchynska, T.V., E-mail: ttorch@esfm.ipn.mx [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Casas Espinola, J.L. [ESFM—Instituto Politecnico Nacional, Mexico DF 07738 (Mexico); Vergara Hernandez, E. [UPIITA—Instituto Politecnico Nacional, Mexico DF 07320 (Mexico); Khomenkova, L., E-mail: khomen@ukr.net [V. Lashkaryov Institute of Semiconductor Physics, 45 Pr. Nauky, 03028 Kyiv (Ukraine); Delachat, F.; Slaoui, A. [ICube, 23 rue du Loess, BP 20 CR, 67037 Strasbourg Cedex 2 (France)

    2015-04-30

    Si-rich Silicon nitride films were grown on silicon substrates by plasma enhanced chemical vapor deposition. The film stoichiometry was controlled via the variation of NH{sub 3}/SiH{sub 4} ratio from 0.45 up to 1.0. Thermal annealing at 1100 °C for 30 min in the nitrogen flow was applied to form the Si nanocrystals in the films that have been investigated by means of photoluminescence and Raman scattering methods, as well as transmission electron microscopy. Several emission bands have been detected with the peak positions at: 2.8–3.0 eV, 2.5–2.7 eV, 2.10–2.25 eV, and 1.75–1.98 eV. The temperature dependences of photoluminescence spectra were studied with the aim to confirm the types of optical transitions and the nature of light emitting defects in silicon nitride. The former three bands were assigned to the defects in silicon nitride, whereas the last one (1.75–1.98 eV) was attributed to the exciton recombination inside of Si nanocrystals. The photoluminescence mechanism is discussed. - Highlights: • Substoichiometric silicon nitride films were grown by PECVD technique. • The variation of the NH{sub 3}/SiH{sub 4} ratio controls excess Si content in the films. • Both Si nanocrystals and amorphous Si phase were observed in annealed films. • Temperature evolution of carrier recombination via Si nanocrystals and host defects.

  8. Heterojunction Solar Cells Based on Silicon and Composite Films of Graphene Oxide and Carbon Nanotubes.

    Science.gov (United States)

    Yu, LePing; Tune, Daniel; Shearer, Cameron; Shapter, Joseph

    2015-09-07

    Graphene oxide (GO) sheets have been used as the surfactant to disperse single-walled carbon nanotubes (CNT) in water to prepare GO/CNT electrodes that are applied to silicon to form a heterojunction that can be used in solar cells. GO/CNT films with different ratios of the two components and with various thicknesses have been used as semitransparent electrodes, and the influence of both factors on the performance of the solar cell has been studied. The degradation rate of the GO/CNT-silicon devices under ambient conditions has also been explored. The influence of the film thickness on the device performance is related to the interplay of two competing factors, namely, sheet resistance and transmittance. CNTs help to improve the conductivity of the GO/CNT film, and GO is able to protect the silicon from oxidation in the atmosphere. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Characterization of silicon oxynitride films prepared by the simultaneous implantation of oxygen and nitrogen ions into silicon

    International Nuclear Information System (INIS)

    Hezel, R.; Streb, W.

    1985-01-01

    Silicon oxynitride films about 5 nm in thickness were prepared by simultaneously implanting 5 keV oxygen and nitrogen ions into silicon at room temperature up to saturation. These films with concentrations ranging from pure silicon oxide to silicon nitride were characterized using Auger electron spectroscopy, electron energy loss spectroscopy and depth-concentration profiling. The different behaviour of the silicon oxynitride films compared with those of silicon oxide and silicon nitride with regard to thermal stability and hardness against electron and argon ion irradiation is pointed out. (Auth.)

  10. Fabrication of amorphous silicon nanoribbons by atomic force microscope tip-induced local oxidation for thin film device applications

    International Nuclear Information System (INIS)

    Pichon, L; Rogel, R; Demami, F

    2010-01-01

    We demonstrate the feasibility of induced local oxidation of amorphous silicon by atomic force microscopy. The resulting local oxide is used as a mask for the elaboration of a thin film silicon resistor. A thin amorphous silicon layer deposited on a glass substrate is locally oxidized following narrow continuous lines. The corresponding oxide line is then used as a mask during plasma etching of the amorphous layer leading to the formation of a nanoribbon. Such an amorphous silicon nanoribbon is used for the fabrication of the resistor

  11. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  12. Optical characterization of nanocrystals in silicon rich oxide superlattices and porous silicon

    International Nuclear Information System (INIS)

    Agocs, E.; Petrik, P.; Milita, S.; Vanzetti, L.; Gardelis, S.; Nassiopoulou, A.G.; Pucker, G.; Balboni, R.; Fried, M.

    2011-01-01

    We propose to analyze ellipsometry data by using effective medium approximation (EMA) models. Thanks to EMA, having nanocrystalline reference dielectric functions and generalized critical point (GCP) model the physical parameters of two series of samples containing silicon nanocrystals, i.e. silicon rich oxide (SRO) superlattices and porous silicon layers (PSL), have been determined. The superlattices, consisting of ten SRO/SiO 2 layer pairs, have been prepared using plasma enhanced chemical vapor deposition. The porous silicon layers have been prepared using short monopulses of anodization current in the transition regime between porous silicon formation and electropolishing, in a mixture of hydrofluoric acid and ethanol. The optical modeling of both structures is similar. The effective dielectric function of the layer is calculated by EMA using nanocrystalline components (nc-Si and GCP) in a dielectric matrix (SRO) or voids (PSL). We discuss the two major problems occurring when modeling such structures: (1) the modeling of the vertically non-uniform layer structures (including the interface properties like nanoroughness at the layer boundaries) and (2) the parameterization of the dielectric function of nanocrystals. We used several techniques to reduce the large number of fit parameters of the GCP models. The obtained results are in good agreement with those obtained by X-ray diffraction and electron microscopy. We investigated the correlation of the broadening parameter and characteristic EMA components with the nanocrystal size and the sample preparation conditions, such as the annealing temperatures of the SRO superlattices and the anodization current density of the porous silicon samples. We found that the broadening parameter is a sensitive measure of the nanocrystallinity of the samples, even in cases, where the nanocrystals are too small to be visible for X-ray scattering. Major processes like sintering, phase separation, and intermixing have been

  13. Rate equation modelling of erbium luminescence dynamics in erbium-doped silicon-rich-silicon-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Shah, Miraj, E-mail: m.shah@ee.ucl.ac.uk [Department of Electronic and Electrical Engineering, UCL, Torrington Place, London WC1E 7JE (United Kingdom); Wojdak, Maciej; Kenyon, Anthony J. [Department of Electronic and Electrical Engineering, UCL, Torrington Place, London WC1E 7JE (United Kingdom); Halsall, Matthew P.; Li, Hang; Crowe, Iain F. [Photon Science Institute and School of Electrical and Electronic Engineering, University of Manchester, Sackville St Building, Manchester M13 9PL (United Kingdom)

    2012-12-15

    Erbium doped silicon-rich silica offers broad band and very efficient excitation of erbium photoluminescence (PL) due to a sensitization effect attributed to silicon nanocrystals (Si-nc), which grow during thermal treatment. PL decay lifetime measurements of sensitised Er{sup 3+} ions are usually reported to be stretched or multi exponential, very different to those that are directly excited, which usually show a single exponential decay component. In this paper, we report on SiO{sub 2} thin films doped with Si-nc's and erbium. Time resolved PL measurements reveal two distinct 1.54 {mu}m Er decay components; a fast microsecond component, and a relatively long lifetime component (10 ms). We also study the structural properties of these samples through TEM measurements, and reveal the formation of Er clusters. We propose that these Er clusters are responsible for the fast {mu}s decay component, and we develop rate equation models that reproduce the experimental transient observations, and can explain some of the reported transient behaviour in previously published literature.

  14. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    Science.gov (United States)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  15. Down-conversion luminescence from (Ce, Yb) co-doped oxygen-rich silicon oxides

    International Nuclear Information System (INIS)

    Heng, C. L.; Wang, T.; Su, W. Y.; Wu, H. C.; Yin, P. G.; Finstad, T. G.

    2016-01-01

    We have studied down-conversion photoluminescence (PL) from (Ce, Yb) co-doped “oxygen rich” silicon oxide films prepared by sputtering and annealing. The Ce"3"+ ∼510 nm PL is sensitive to the Ce concentration of the films and is much stronger for 3 at. % Ce than for 2 at. % Ce after annealing at 1200 °C. The PL emission and excitation spectroscopy results indicate that the excitation of Yb"3"+ is mainly through an energy transfer from Ce"3"+ to Yb"3"+, oxide defects also play a role in the excitation of Yb"3"+ after lower temperature (∼800 °C) annealing. The Ce"3"+ 510 nm photon excites mostly only one Yb"3"+ 980 nm photon. Temperature-dependent PL measurements suggest that the energy transfer from Ce"3"+ to Yb"3"+ is partly thermally activated.

  16. Characterization of Transition Metal Oxide/Silicon Heterojunctions for Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Luis G. Gerling

    2015-10-01

    Full Text Available During the last decade, transition metal oxides have been actively investigated as hole- and electron-selective materials in organic electronics due to their low-cost processing. In this study, four transition metal oxides (V2O5, MoO3, WO3, and ReO3 with high work functions (>5 eV were thermally evaporated as front p-type contacts in planar n-type crystalline silicon heterojunction solar cells. The concentration of oxygen vacancies in MoO3−x was found to be dependent on film thickness and redox conditions, as determined by X-ray Photoelectron Spectroscopy. Transfer length method measurements of oxide films deposited on glass yielded high sheet resistances (~109 Ω/sq, although lower values (~104 Ω/sq were measured for oxides deposited on silicon, indicating the presence of an inversion (hole rich layer. Of the four oxide/silicon solar cells, ReO3 was found to be unstable upon air exposure, while V2O5 achieved the highest open-circuit voltage (593 mV and conversion efficiency (12.7%, followed by MoO3 (581 mV, 12.6% and WO3 (570 mV, 11.8%. A short-circuit current gain of ~0.5 mA/cm2 was obtained when compared to a reference amorphous silicon contact, as expected from a wider energy bandgap. Overall, these results support the viability of a simplified solar cell design, processed at low temperature and without dopants.

  17. Oxidation Properties of Nitrogen-Doped Silicon Films Deposited from Si2H6 and NH3

    Science.gov (United States)

    Scheid, Emmanuel; Boyer, Pierre; Samitier, Josep; Hassani, Ahmed

    1994-03-01

    Si2H6/NH3 gas mixture was employed to obtain, by low-pressure chemical vapor deposition (LPCVD) at low temperature, nitrogen-doped silicon (NIDOS) films with various N/Si ratios. Thermal oxide was grown in dry oxygen at 900°C and 1100°C on NIDOS films. The result indicates that the nitrogen content of NIDOS films, assessed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), greatly influences their oxidation rate.

  18. The role of extra-atomic relaxation in determining Si2p binding energy shifts at silicon/silicon oxide interfaces

    International Nuclear Information System (INIS)

    Zhang, K.Z.; Greeley, J.N.; Banaszak Holl, M.M.; McFeely, F.R.

    1997-01-01

    The observed binding energy shift for silicon oxide films grown on crystalline silicon varies as a function of film thickness. The physical basis of this shift has previously been ascribed to a variety of initial state effects (Si endash O ring size, strain, stoichiometry, and crystallinity), final state effects (a variety of screening mechanisms), and extrinsic effects (charging). By constructing a structurally homogeneous silicon oxide film on silicon, initial state effects have been minimized and the magnitude of final state stabilization as a function of film thickness has been directly measured. In addition, questions regarding the charging of thin silicon oxide films on silicon have been addressed. From these studies, it is concluded that initial state effects play a negligible role in the thickness-dependent binding energy shift. For the first ∼30 Angstrom of oxide film, the thickness-dependent binding energy shift can be attributed to final state effects in the form of image charge induced stabilization. Beyond about 30 Angstrom, charging of the film occurs. copyright 1997 American Institute of Physics

  19. Orientationally ordered ridge structures of aluminum films on hydrogen terminated silicon

    DEFF Research Database (Denmark)

    Quaade, Ulrich; Pantleon, Karen

    2006-01-01

    Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the < 110 > direct......Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the ... > directions on the silicon substrate. The ridge structure appears when the film thickness is above 500 nm, and increasing the film thickness makes the structure more distinct. Anodic oxidation enhances the structure even further. X-ray diffraction indicates that grains in the film have mostly (110) facets...

  20. Thin film silicon photovoltaics: Architectural perspectives and technological issues

    Energy Technology Data Exchange (ETDEWEB)

    Mercaldo, Lucia Vittoria; Addonizio, Maria Luisa; Noce, Marco Della; Veneri, Paola Delli; Scognamiglio, Alessandra; Privato, Carlo [ENEA, Portici Research Center, Piazzale E. Fermi, 80055 Portici (Napoli) (Italy)

    2009-10-15

    Thin film photovoltaics is a particularly attractive technology for building integration. In this paper, we present our analysis on architectural issues and technological developments of thin film silicon photovoltaics. In particular, we focus on our activities related to transparent and conductive oxide (TCO) and thin film amorphous and microcrystalline silicon solar cells. The research on TCO films is mainly dedicated to large-area deposition of zinc oxide (ZnO) by low pressure-metallorganic chemical vapor deposition. ZnO material, with a low sheet resistance (<8 {omega}/sq) and with an excellent transmittance (>82%) in the whole wavelength range of photovoltaic interest, has been obtained. ''Micromorph'' tandem devices, consisting of an amorphous silicon top cell and a microcrystalline silicon bottom cell, are fabricated by using the very high frequency plasma enhanced chemical vapor deposition technique. An initial efficiency of 11.1% (>10% stabilized) has been obtained. (author)

  1. Charge trapping and carrier transport mechanism in silicon-rich silicon oxynitride

    International Nuclear Information System (INIS)

    Yu Zhenrui; Aceves, Mariano; Carrillo, Jesus; Lopez-Estopier, Rosa

    2006-01-01

    The charge-trapping and carrier transport properties of silicon-rich silicon oxynitride (SRO:N) were studied. The SRO:N films were deposited by low pressure chemical vapor deposition. Infrared (IR) and transmission electron microscopic (TEM) measurements were performed to characterize their structural properties. Capacitance versus voltage and current versus voltage measurements (I-V) were used to study the charge-trapping and carrier transport mechanism. IR and TEM measurements revealed the existence of Si nanodots in SRO:N films. I-V measurements revealed that there are two conduction regimes divided by a threshold voltage V T . When the applied voltage is smaller than V T , the current is dominated by the charge transfer between the SRO:N and substrate; and in this regime only dynamic charging/discharging of the SRO:N layer is observed. When the voltage is larger than V T , the current increases rapidly and is dominated by the Poole-Frenkel mechanism; and in this regime, large permanent trapped charge density is obtained. Nitrogen incorporation significantly reduced the silicon nanodots or defects near the SRO:N/Si interface. However, a significant increase of the density of silicon nanodot in the bulk of the SRO:N layer is obtained

  2. Fabrication of heterojunction solar cells by using microcrystalline hydrogenated silicon oxide film as an emitter

    International Nuclear Information System (INIS)

    Banerjee, Chandan; Sritharathikhun, Jaran; Konagai, Makoto; Yamada, Akira

    2008-01-01

    Wide gap, highly conducting n-type hydrogenated microcrystalline silicon oxide (μc-SiO : H) films were prepared by very high frequency plasma enhanced chemical vapour deposition at a very low substrate temperature (170 deg. C) as an alternative to amorphous silicon (a-Si : H) for use as an emitter layer of heterojunction solar cells. The optoelectronic properties of n-μc-SiO : H films prepared for the emitter layer are dark conductivity = 0.51 S cm -1 at 20 nm thin film, activation energy = 23 meV and E 04 = 2.3 eV. Czochralski-grown 380 μm thick p-type (1 0 0) oriented polished silicon wafers with a resistivity of 1-10 Ω cm were used for the fabrication of heterojunction solar cells. Photovoltaic parameters of the device were found to be V oc = 620 mV, J sc = 32.1 mA cm -2 , FF = 0.77, η = 15.32% (active area efficiency)

  3. RBS and XRD analysis of silicon doped titanium diboride films

    International Nuclear Information System (INIS)

    Mollica, S.; Sood, D.K.; Ghantasala, M.K.; Kothari, R.

    1999-01-01

    Titanium diboride is a newly developed material suitable for protective coatings. Its high temperature oxidation resistance at temperatures of 700 deg C and beyond is limited due to its poor oxidative behaviour. This paper presents a novel approach to improving the coatings' oxidative characteristics at temperatures of 700 deg C by doping with silicon. Titanium diboride films were deposited onto Si(100) wafer substrates using a DC magnetron sputtering system. Films were deposited in two different compositions, one at pure TiB 2 and the other with 20 % Si doping. These samples were vacuum annealed at 700 deg C at 1x10 -6 Torr to investigate the anaerobic behaviour of the material at elevated temperatures and to ensure that they were crystalline. Samples were then oxidised in air at 700 deg C to investigate their oxidation resistance. Annealing the films at 700 deg C in air results in the oxidation of the film as titanium and boron form TiO 2 and B 2 O 3 . Annealing is seen to produce only minor changes in the films. There is some silicon diffusion from the substrate at elevated temperatures, which is related to the porous nature of the deposited film and the high temperature heat treatments. However, silicon doped films showed relatively less oxidation characteristics after annealing in air compared with the pure TiB 2 samples

  4. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  5. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    OpenAIRE

    Aceves-Mijares, M.; González-Fernández, A. A.; López-Estopier, R.; Luna-López, A.; Berman-Mendoza, D.; Morales, A.; Falcony, C.; Domínguez, C.; Murphy-Arteaga, R.

    2012-01-01

    Silicon Rich Oxide (SRO) has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD). In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept th...

  6. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  7. Effect of porous silicon layer on the performance of Si/oxide photovoltaic and photoelectrochemical cells

    International Nuclear Information System (INIS)

    Badawy, Waheed A.

    2008-01-01

    Photovoltaic and photoelectrochemical systems were prepared by the formation of a thin porous film on silicon. The porous silicon layer was formed on the top of a clean oxide free silicon wafer surface by anodic etching in HF/H 2 O/C 2 H 5 OH mixture (2:1:1). The silicon was then covered by an oxide film (tin oxide, ITO or titanium oxide). The oxide films were prepared by the spray/pyrolysis technique which enables doping of the oxide film by different atoms like In, Ru or Sb during the spray process. Doping of SnO 2 or TiO 2 films with Ru atoms improves the surface characteristics of the oxide film which improves the solar conversion efficiency. The prepared solar cells are stable against environmental attack due to the presence of the stable oxide film. It gives relatively high short circuit currents (I sc ), due to the presence of the porous silicon layer, which leads to the recorded high conversion efficiency. Although the open-circuit potential (V oc ) and fill factor (FF) were not affected by the thickness of the porous silicon film, the short circuit current was found to be sensitive to this thickness. An optimum thickness of the porous film and also the oxide layer is required to optimize the solar cell efficiency. The results represent a promising system for the application of porous silicon layers in solar energy converters. The use of porous silicon instead of silicon single crystals in solar cell fabrication and the optimization of the solar conversion efficiency will lead to the reduction of the cost as an important factor and also the increase of the solar cell efficiency making use of the large area of the porous structures

  8. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    Science.gov (United States)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-09-01

    Sponge-like Si nanostructures embedded in SiO2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations.

  9. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    International Nuclear Information System (INIS)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-01-01

    Sponge-like Si nanostructures embedded in SiO 2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO 2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations

  10. Annealing and deposition effects of the chemical composition of silicon rich nitride

    DEFF Research Database (Denmark)

    Andersen, Karin Nordström; Svendsen, Winnie Edith; Stimpel-Lindner, T.

    2005-01-01

    Silicon-rich nitride, deposited by LPCVD, is a low stress amorphous material with a high refractive index. After deposition the silicon-rich nitride thin film is annealed at temperatures above 1100 oC to break N-H bonds, which have absorption peaks in the wavelength band important for optical...... in optical waveguides. This means that the annealing temperature must be high enough to break the N-H bonds, but no so high as to produce clusters. Therefore, the process window for an annealing step lies between 1100 and 1150 oC. The chemical composition of amorphous silicon-rich nitride has been...... investigated by Rutherford back scattering (RBS) and X-ray photoelectron spectroscopy (XPS). The influence of deposition parameters and annealing temperatures on the stoichiometry and the chemical bonds will be discussed. The origin of the clusters has been found to be silicon due to severe silicon out...

  11. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  12. Effect of grain alignment on interface trap density of thermally oxidized aligned-crystalline silicon films

    Science.gov (United States)

    Choi, Woong; Lee, Jung-Kun; Findikoglu, Alp T.

    2006-12-01

    The authors report studies of the effect of grain alignment on interface trap density of thermally oxidized aligned-crystalline silicon (ACSi) films by means of capacitance-voltage (C-V) measurements. C-V curves were measured on metal-oxide-semiconductor (MOS) capacitors fabricated on ⟨001⟩-oriented ACSi films on polycrystalline substrates. From high-frequency C-V curves, the authors calculated a decrease of interface trap density from 2×1012to1×1011cm-2eV-1 as the grain mosaic spread in ACSi films improved from 13.7° to 6.5°. These results demonstrate the effectiveness of grain alignment as a process technique to achieve significantly enhanced performance in small-grained (⩽1μm ) polycrystalline Si MOS-type devices.

  13. Optically induced paramagnetism in amorphous hydrogenated silicon nitride thin films

    International Nuclear Information System (INIS)

    Warren, W.L.; Kanicki, J.; Buchwald, W.R.; Rong, F.C.; Harmatz, M.

    1992-01-01

    This paper reports that the creation mechanisms of Si and N dangling bond defect centers in amorphous hydrogenated silicon nitride thin films by ultra-violet (UV) illumination are investigated. The creation efficiency and density of Si centers in the N-rich films are independent of illumination temperature, strongly suggesting that the creation mechanism of the spins in electronic in nature, i.e., a charge transfer mechanism. However, our results suggest that the creation of the Si dangling bond in the Si-rich films are different. Last, we find that the creation of the N dangling-bond in N-rich films can be fit to a stretched exponential time dependence, which is characteristic of dispersive charge transport

  14. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    Energy Technology Data Exchange (ETDEWEB)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao, E-mail: yxzheng@fudan.edu.c [Key Laboratory of Micro and Nano Photonic Structures, Ministry of Education, Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China)

    2010-11-10

    A series of SiO{sub 2} films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO{sub 2} films thicker than 60 nm are close to those of bulk SiO{sub 2}. For the thin films deposited at the rate of {approx}1.0 nm s{sup -1}, the refractive indices increase with decreasing thickness from {approx}60 to {approx}10 nm and then drop sharply with decreasing thickness below {approx}10 nm. However, for thin films deposited at the rates of {approx}0.4 and {approx}0.2 nm s{sup -1}, the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  15. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    International Nuclear Information System (INIS)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao

    2010-01-01

    A series of SiO 2 films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO 2 films thicker than 60 nm are close to those of bulk SiO 2 . For the thin films deposited at the rate of ∼1.0 nm s -1 , the refractive indices increase with decreasing thickness from ∼60 to ∼10 nm and then drop sharply with decreasing thickness below ∼10 nm. However, for thin films deposited at the rates of ∼0.4 and ∼0.2 nm s -1 , the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  16. Real-time observations of interface formation for barium strontium titanate films on silicon

    Science.gov (United States)

    Mueller, A. H.; Suvorova, N. A.; Irene, E. A.; Auciello, O.; Schultz, J. A.

    2002-05-01

    Ba.5Sr.5TiO3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Å film with intermediate static dielectric constant (K˜12) and refractive index (n˜2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST.

  17. Real-time observations of interface formation for barium strontium titanate films on silicon

    International Nuclear Information System (INIS)

    Mueller, A.H.; Suvorova, N.A.; Irene, E.A.; Auciello, O.; Schultz, J.A.

    2002-01-01

    Ba .5 Sr .5 TiO 3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Aa film with intermediate static dielectric constant (K∼12) and refractive index (n∼2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST

  18. Non-classical polycrystalline silicon thin-film transistor with embedded block-oxide for suppressing the short channel effect

    International Nuclear Information System (INIS)

    Lin, Jyi-Tsong; Huang, Kuo-Dong; Hu, Shu-Fen

    2008-01-01

    In this paper, a polycrystalline silicon (polysilicon) thin-film transistor with a block oxide enclosing body, BTFT, is fabricated and investigated. By utilizing the block-oxide structure of thin-film transistors, the BTFT is shown to suppress the short channel effect. This proposed structure is formed by burying self-aligned oxide spacers along the sidewalls of the source and drain junctions, which reduces the P–N junction area, thereby reducing the junction capacitance and leakage current. Measurements demonstrate that the BTFT eliminates the punch-through effect even down to gate lengths of 1.5 µm, whereas the conventional TFT suffers serious short channel effects at this gate length

  19. ZnO transparent conductive oxide for thin film silicon solar cells

    Science.gov (United States)

    Söderström, T.; Dominé, D.; Feltrin, A.; Despeisse, M.; Meillaud, F.; Bugnon, G.; Boccard, M.; Cuony, P.; Haug, F.-J.; Faÿ, S.; Nicolay, S.; Ballif, C.

    2010-03-01

    There is general agreement that the future production of electric energy has to be renewable and sustainable in the long term. Photovoltaic (PV) is booming with more than 7GW produced in 2008 and will therefore play an important role in the future electricity supply mix. Currently, crystalline silicon (c-Si) dominates the market with a share of about 90%. Reducing the cost per watt peak and energy pay back time of PV was the major concern of the last decade and remains the main challenge today. For that, thin film silicon solar cells has a strong potential because it allies the strength of c-Si (i.e. durability, abundancy, non toxicity) together with reduced material usage, lower temperature processes and monolithic interconnection. One of the technological key points is the transparent conductive oxide (TCO) used for front contact, barrier layer or intermediate reflector. In this paper, we report on the versatility of ZnO grown by low pressure chemical vapor deposition (ZnO LP-CVD) and its application in thin film silicon solar cells. In particular, we focus on the transparency, the morphology of the textured surface and its effects on the light in-coupling for micromorph tandem cells in both the substrate (n-i-p) and superstrate (p-i-n) configurations. The stabilized efficiencies achieved in Neuchâtel are 11.2% and 9.8% for p-i-n (without ARC) and n-i-p (plastic substrate), respectively.

  20. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  1. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    OpenAIRE

    Geissbühler Jonas; Werner Jérémie; Martin de Nicolas Silvia; Barraud Loris; Hessler-Wyser Aïcha; Despeisse Matthieu; Nicolay Sylvain; Tomasi Andrea; Niesen Bjoern; De Wolf Stefaan; Ballif Christophe

    2015-01-01

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p type amorphous silicon with molybdenum oxide films. In this article we evidence that annealing above 130?°C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited c...

  2. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    Energy Technology Data Exchange (ETDEWEB)

    Geissbühler, Jonas, E-mail: jonas.geissbuehler@epfl.ch; Werner, Jérémie; Martin de Nicolas, Silvia; Hessler-Wyser, Aïcha; Tomasi, Andrea; Niesen, Bjoern; De Wolf, Stefaan [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); Barraud, Loris; Despeisse, Matthieu; Nicolay, Sylvain [CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland); Ballif, Christophe [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland)

    2015-08-24

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide-bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p-type amorphous silicon with molybdenum oxide films. In this article, we evidence that annealing above 130 °C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited copper front metallization and demonstrate a silicon heterojunction solar cell with molybdenum oxide hole collector, featuring a fill factor value higher than 80% and certified energy conversion efficiency of 22.5%.

  3. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  4. Physical and electrical characteristics of silicon oxynitride films with various refractive indices

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Jeng-Hwa; Hsieh, Jung-Yu; Lin, Hsing-Ju; Tang, Wei-Yao; Chiang, Chun-Ling; Yang, Ling-Wu; Yang, Tahone; Chen, Kuang-Chao; Lu, Chih-Yuan [Macronix International Co. Ltd, No 16, Li-Hsin Road, Hsinchu Science Park, Hsinchu 300, Taiwan (China); Lo, Yun-Shan; Wu, Tai-Bor, E-mail: jhliao@mxic.com.t [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300, Taiwan (China)

    2009-09-07

    This study explores the relationship between both the physical and the electrical characteristics of silicon oxynitride (SiON) films and the refractive index. The single wafer rapid thermal process modules were used for low pressure chemical vapour deposition of SiON films. A series of SiON films with refractive index between 1.50 and 1.83 were fabricated. Fourier transform infrared absorption spectroscopy and x-ray photoelectron spectroscopy identified the chemical bonding configurations of different SiON films: the Si-N bonds are replaced by Si-O bonds as the refractive index of the SiON films declines. Moreover, the Si atomic ratio is kept between 35% and 40% while the oxygen atomic ratio increases and the nitrogen atomic ratio decreases as the refractive index of the SiON film declines. The electrical characteristics of different SiON-based silicon-oxide-nitride-oxide-silicon (SONOS) devices suggest that (1) the dielectric constant increases with increasing refractive index of the SiON film and (2) the charge-trap density is inversely proportional to the oxygen concentration in the SiON film. Based on these results, the SiON films with various refractive indices can provide a wider application for silicon-based devices, such as SONOS and MOS devices.

  5. Physical and electrical characteristics of silicon oxynitride films with various refractive indices

    International Nuclear Information System (INIS)

    Liao, Jeng-Hwa; Hsieh, Jung-Yu; Lin, Hsing-Ju; Tang, Wei-Yao; Chiang, Chun-Ling; Yang, Ling-Wu; Yang, Tahone; Chen, Kuang-Chao; Lu, Chih-Yuan; Lo, Yun-Shan; Wu, Tai-Bor

    2009-01-01

    This study explores the relationship between both the physical and the electrical characteristics of silicon oxynitride (SiON) films and the refractive index. The single wafer rapid thermal process modules were used for low pressure chemical vapour deposition of SiON films. A series of SiON films with refractive index between 1.50 and 1.83 were fabricated. Fourier transform infrared absorption spectroscopy and x-ray photoelectron spectroscopy identified the chemical bonding configurations of different SiON films: the Si-N bonds are replaced by Si-O bonds as the refractive index of the SiON films declines. Moreover, the Si atomic ratio is kept between 35% and 40% while the oxygen atomic ratio increases and the nitrogen atomic ratio decreases as the refractive index of the SiON film declines. The electrical characteristics of different SiON-based silicon-oxide-nitride-oxide-silicon (SONOS) devices suggest that (1) the dielectric constant increases with increasing refractive index of the SiON film and (2) the charge-trap density is inversely proportional to the oxygen concentration in the SiON film. Based on these results, the SiON films with various refractive indices can provide a wider application for silicon-based devices, such as SONOS and MOS devices.

  6. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  7. Application of plasma silicon nitride to crystalline thin-film silicon solar cells. Paper

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, J.; Oberbeck, L.; Rinke, T.J.; Berge, C.; Bergmann, R.B.

    2002-07-01

    We use plasma-enhanced chemical vapour deposition to deposit silicon nitride (SiN{sub x}) films at low temperature(400 C) onto the front surface of two different types of crystalline thin-film Si solar cells. The silicon nitride acts as an excellent antireflection coating on Si and provides a very high degree of electronic surface passivation over a wide range of compositions, including near-stoichiometric and Si-rich SiN{sub x}. Application of stoichiometric SiN{sub x} to non-textured thin-film cells, epitaxially grown at low temperature by ion-assisted deposition onto a monocrystalline Si substrate, results in an open-circuit voltage of 622 mV, a short-circuit current density of 26.6 mA/cm{sup 2} and an efficiency of 12.7%. It is shown that the SiN{sub x}-passivated in-situ grown n{sup +}-emitter of this cell type allows to reach open-circuit voltages of up to 667 mV. Silicon-rich SiN{sub x} is applied to the phosphorus-diffused n{sup +}-emitter of a textured thin-film cell on a glass superstrate fabricated by layer-transfer. The emitter saturation current density of these cells is only 40-64 fA/cm{sup 2}, which allows for open-circuit voltages of up to 699 mV. An impressively high open-circuit voltage of 638 mV and a short-circuit current density of 32.0 mA/cm{sup 2} are obtained for a 25 {mu}m thick SiN{sub x}-passivated, random pyramid-textured transfer cell. A transfer cell efficiency of 15.3% is independently confirmed.

  8. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film

    International Nuclear Information System (INIS)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-01-01

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices’ applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H 2 O 2 /HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing. (paper)

  9. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film.

    Science.gov (United States)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-04-17

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices' applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H2O2/HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing.

  10. Electrochemical and hydrothermal deposition of ZnO on silicon: from continuous films to nanocrystals

    International Nuclear Information System (INIS)

    Balucani, M.; Nenzi, P.; Chubenko, E.; Klyshko, A.; Bondarenko, V.

    2011-01-01

    This article presents the study of the electrochemical deposition of zinc oxide from the non-aqueous solution based on dimethyl sulfoxide and zinc chloride into the porous silicon matrix. The features of the deposition process depending on the thickness of the porous silicon layer are presented. It is shown that after deposition process the porous silicon matrix is filled with zinc oxide nanocrystals with a diameter of 10–50 nm. The electrochemically deposited zinc oxide layers on top of porous silicon are shown to have a crystalline structure. It is also shown that zinc oxide crystals formed by hydrothermal method on the surface of electrochemically deposited zinc oxide film demonstrate ultra-violet luminescence. The effect of the porous silicon layer thickness on the morphology of the zinc oxide is shown. The structures obtained demonstrated two luminescence bands peaking at the 375 and 600 nm wavelengths. Possible applications of ZnO nanostructures, porous and continuous polycrystalline ZnO films such as gas sensors, light-emitting diodes, photovoltaic devices, and nanopiezo energy generators are considered. Aspects of integration with conventional silicon technology are also discussed.

  11. Optical and electrical characteristics of zirconium oxide thin films deposited on silicon substrates by spray pyrolysis

    International Nuclear Information System (INIS)

    Aguilar-Frutis, M.; Araiza, J.J.; Falcony, C.; Garcia, M.

    2002-01-01

    The optical and electrical characteristics of zirconium oxide thin films deposited by spray pyrolysis on silicon substrates are reported. The films were deposited from a spraying solution of zirconium acetylacetonate in N,N-dimethylformamide using an ultrasonic mist generator on (100) Si substrates. The substrate temperature during deposition was in the range of 400 to 600 grad C. Deposition rates up to 16 A/sec were obtained depending on the spraying solution concentration and on the substrate temperature. A refraction index of the order of 2.0 was measured on these films by ellipsometry. The electrical characteristics of the films were determined from the capacitance and current versus voltage measurements. The addition of water mist during the spraying deposition process was also studied in the characteristics of the films. (Authors)

  12. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  13. Dielectric discontinuity at interfaces in the atomic-scale limit: permittivity of ultrathin oxide films on silicon.

    Science.gov (United States)

    Giustino, Feliciano; Umari, Paolo; Pasquarello, Alfredo

    2003-12-31

    Using a density-functional approach, we study the dielectric permittivity across interfaces at the atomic scale. Focusing on the static and high-frequency permittivities of SiO2 films on silicon, for oxide thicknesses from 12 A down to the atomic scale, we find a departure from bulk values in accord with experiment. A classical three-layer model accounts for the calculated permittivities and is supported by the microscopic polarization profile across the interface. The local screening varies on length scales corresponding to first-neighbor distances, indicating that the dielectric transition is governed by the chemical grading. Silicon-induced gap states are shown to play a minor role.

  14. Study of an Amorphous Silicon Oxide Buffer Layer for p-Type Microcrystalline Silicon Oxide/n-Type Crystalline Silicon Heterojunction Solar Cells and Their Temperature Dependence

    Directory of Open Access Journals (Sweden)

    Taweewat Krajangsang

    2014-01-01

    Full Text Available Intrinsic hydrogenated amorphous silicon oxide (i-a-SiO:H films were used as front and rear buffer layers in crystalline silicon heterojunction (c-Si-HJ solar cells. The surface passivity and effective lifetime of these i-a-SiO:H films on an n-type silicon wafer were improved by increasing the CO2/SiH4 ratios in the films. Using i-a-SiO:H as the front and rear buffer layers in c-Si-HJ solar cells was investigated. The front i-a-SiO:H buffer layer thickness and the CO2/SiH4 ratio influenced the open-circuit voltage (Voc, fill factor (FF, and temperature coefficient (TC of the c-Si-HJ solar cells. The highest total area efficiency obtained was 18.5% (Voc=700 mV, Jsc=33.5 mA/cm2, and FF=0.79. The TC normalized for this c-Si-HJ solar cell efficiency was −0.301%/°C.

  15. Gas Permeation, Mechanical Behavior and Cytocompatibility of Ultrathin Pure and Doped Diamond-Like Carbon and Silicon Oxide Films

    Directory of Open Access Journals (Sweden)

    Juergen M. Lackner

    2013-12-01

    Full Text Available Protective ultra-thin barrier films gather increasing economic interest for controlling permeation and diffusion from the biological surrounding in implanted sensor and electronic devices in future medicine. Thus, the aim of this work was a benchmarking of the mechanical oxygen permeation barrier, cytocompatibility, and microbiological properties of inorganic ~25 nm thin films, deposited by vacuum deposition techniques on 50 µm thin polyetheretherketone (PEEK foils. Plasma-activated chemical vapor deposition (direct deposition from an ion source was applied to deposit pure and nitrogen doped diamond-like carbon films, while physical vapor deposition (magnetron sputtering in pulsed DC mode was used for the formation of silicon as well as titanium doped diamond-like carbon films. Silicon oxide films were deposited by radio frequency magnetron sputtering. The results indicate a strong influence of nanoporosity on the oxygen transmission rate for all coating types, while the low content of microporosity (particulates, etc. is shown to be of lesser importance. Due to the low thickness of the foil substrates, being easily bent, the toughness as a measure of tendency to film fracture together with the elasticity index of the thin films influence the oxygen barrier. All investigated coatings are non-pyrogenic, cause no cytotoxic effects and do not influence bacterial growth.

  16. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  17. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  18. Comparative study of the biodegradability of porous silicon films in simulated body fluid.

    Science.gov (United States)

    Peckham, J; Andrews, G T

    2015-01-01

    The biodegradability of oxidized microporous, mesoporous and macroporous silicon films in a simulated body fluid with ion concentrations similar to those found in human blood plasma were studied using gravimetry. Film dissolution rates were determined by periodically weighing the samples after removal from the fluid. The dissolution rates for microporous silicon were found to be higher than those for mesoporous silicon of comparable porosity. The dissolution rate of macroporous silicon was much lower than that for either microporous or mesoporous silicon. This is attributed to the fact that its specific surface area is much lower than that of microporous and mesoporous silicon. Using an equation adapted from [Surf. Sci. Lett. 306 (1994), L550-L554], the dissolution rate of porous silicon in simulated body fluid can be estimated if the film thickness and specific surface area are known.

  19. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  20. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  1. Nanopatterning of Crystalline Silicon Using Anodized Aluminum Oxide Templates for Photovoltaics

    Science.gov (United States)

    Chao, Tsu-An

    A novel thin film anodized aluminum oxide templating process was developed and applied to make nanopatterns on crystalline silicon to enhance the optical properties of silicon. The thin film anodized aluminum oxide was created to improve the conventional thick aluminum templating method with the aim for potential large scale fabrication. A unique two-step anodizing method was introduced to create high quality nanopatterns and it was demonstrated that this process is superior over the original one-step approach. Optical characterization of the nanopatterned silicon showed up to 10% reduction in reflection in the short wavelength range. Scanning electron microscopy was also used to analyze the nanopatterned surface structure and it was found that interpore spacing and pore density can be tuned by changing the anodizing potential.

  2. Self-assembly silicon/porous reduced graphene oxide composite film as a binder-free and flexible anode for lithium-ion batteries

    International Nuclear Information System (INIS)

    Tang, H.; Zhang, Y.J.; Xiong, Q.Q.; Cheng, J.D.; Zhang, Q.; Wang, X.L.; Gu, C.D.; Tu, J.P.

    2015-01-01

    A Si/porous reduced graphene oxide (rGO) composite film synthesized by evaporation and leavening method are developed as a high-performance anode material for lithium ion batteries. The porous structure as buffer base can effectively release the volume expansion of the silicon particles, increase the electrical conductivity and reduce the transfer resistance of Li ions. The Si/porous rGO composite film presents high specific capacity and good cycling stability (1261 mA h g −1 at 50 mA g −1 up to 70 cycles), as well as enhanced rate capability. This approach to prepare such a unique structure is a low-cost and facile route for the silicon-based anode materials

  3. Laser-Induced, Local Oxidation of Copper Nanoparticle Films During Raman Measurements

    Science.gov (United States)

    Hight Walker, Angela R.; Cheng, Guangjun; Calizo, Irene

    2011-03-01

    The optical properties of gold and silver nanoparticles and their films have been thoroughly investigated as surface enhanced Raman scattering (SERS) substrates and chemical reaction promoters. Similar to gold and silver nanoparticles, copper nanoparticles exhibit distinct plasmon absorptions in the visible region. The work on copper nanoparticles and their films is limited due to their oxidization in air. However, their high reactivity actually provides an opportunity to exploit the laser-induced thermal effect and chemical reactions of these nanoparticles. Here, we present our investigation of the local oxidation of a copper nanoparticle film induced by a visible laser source during Raman spectroscopic measurements. The copper nanoparticle film is prepared by drop-casting chemically synthesized copper colloid onto silicon oxide/silicon substrate. The local oxidation induced by visible lasers in Raman spectroscopy is monitored with the distinct scattering peaks for copper oxides. Optical microscopy and scanning electron microscopy have been used to characterize the laser-induced morphological changes in the film. The results of this oxidation process with different excitation wavelengths and different laser powers will be presented.

  4. Oxidation of mullite-zirconia-alumina-silicon carbide composites

    International Nuclear Information System (INIS)

    Baudin, C.; Moya, J.S.

    1990-01-01

    This paper reports the isothermal oxidation of mullite-alumina-zirconia-silicon carbide composites obtained by reaction sintering studied in the temperature interval 800 degrees to 1400 degrees C. The kinetics of the oxidation process was related to the viscosity of the surface glassy layer as well as to the crystallization of the surface film. The oxidation kinetics was halted to T ≤ 1300 degrees C, presumably because of crystallization

  5. Tunnel Oxides Formed by Field-Induced Anodisation for Passivated Contacts of Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Jingnan Tong

    2018-02-01

    Full Text Available Tunnel silicon oxides form a critical component for passivated contacts for silicon solar cells. They need to be sufficiently thin to allow carriers to tunnel through and to be uniform both in thickness and stoichiometry across the silicon wafer surface, to ensure uniform and low recombination velocities if high conversion efficiencies are to be achieved. This paper reports on the formation of ultra-thin silicon oxide layers by field-induced anodisation (FIA, a process that ensures uniform oxide thickness by passing the anodisation current perpendicularly through the wafer to the silicon surface that is anodised. Spectroscopical analyses show that the FIA oxides contain a lower fraction of Si-rich sub-oxides compared to wet-chemical oxides, resulting in lower recombination velocities at the silicon and oxide interface. This property along with its low temperature formation highlights the potential for FIA to be used to form low-cost tunnel oxide layers for passivated contacts of silicon solar cells.

  6. The role of oxide interlayers in back reflector configurations for amorphous silicon solar cells

    NARCIS (Netherlands)

    Demontis, V.; Sanna, C.; Melskens, J.; Santbergen, R.; Smets, A.H.M.; Damiano, A.; Zeman, M.

    2013-01-01

    Thin oxide interlayers are commonly added to the back reflector of thin-film silicon solar cells to increase their current. To gain more insight in the enhancement mechanism, we tested different back reflector designs consisting of aluminium-doped zinc oxide (ZnO:Al) and/or hydrogenated silicon

  7. Four-Wave Mixing in Silicon-Rich Nitride Waveguides

    DEFF Research Database (Denmark)

    Mitrovic, Miranda; Guan, Xiaowei; Ji, Hua

    2015-01-01

    We demonstrate four-wave mixing wavelength conversion in silicon-rich nitride waveguides which are a promising alternative to silicon for nonlinear applications. The obtained conversion efficiency reaches -13.6 dB while showing no significant nonlinear loss.......We demonstrate four-wave mixing wavelength conversion in silicon-rich nitride waveguides which are a promising alternative to silicon for nonlinear applications. The obtained conversion efficiency reaches -13.6 dB while showing no significant nonlinear loss....

  8. Stable solar-driven oxidation of water by semiconducting photoanodes protected by transparent catalytic nickel oxide films.

    Science.gov (United States)

    Sun, Ke; Saadi, Fadl H; Lichterman, Michael F; Hale, William G; Wang, Hsin-Ping; Zhou, Xinghao; Plymale, Noah T; Omelchenko, Stefan T; He, Jr-Hau; Papadantonakis, Kimberly M; Brunschwig, Bruce S; Lewis, Nathan S

    2015-03-24

    Reactively sputtered nickel oxide (NiOx) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O2(g). These NiOx coatings provide protective layers on a variety of technologically important semiconducting photoanodes, including textured crystalline Si passivated by amorphous silicon, crystalline n-type cadmium telluride, and hydrogenated amorphous silicon. Under anodic operation in 1.0 M aqueous potassium hydroxide (pH 14) in the presence of simulated sunlight, the NiOx films stabilized all of these self-passivating, high-efficiency semiconducting photoelectrodes for >100 h of sustained, quantitative solar-driven oxidation of water to O2(g).

  9. Ferroelectric and piezoelectric properties of epitaxial PZT films and devices on silicon

    NARCIS (Netherlands)

    Nguyen, Duc Minh

    2010-01-01

    In this thesis, the integration of lead zirconate titanate Pb(Zr,Ti)O3 (PZT) thin films into piezoelectric microelectromechanical systems (MEMS) based on silicon is studied. In these structures, all epitaxial oxide layers (thin film/electrode/buffer-layer(s)) were deposited by pulsed laser

  10. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    Science.gov (United States)

    Yoo, Hana; Park, Soojin

    2010-06-01

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm × 5 cm.

  11. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Hana; Park, Soojin, E-mail: spark@unist.ac.kr [Interdisciplinary School of Green Energy, Ulsan National Institute of Science and Technology, Banyeon-ri 100, Ulsan 689-798 (Korea, Republic of)

    2010-06-18

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm x 5 cm.

  12. The silicon-silicon oxide multilayers utilization as intrinsic layer on pin solar cells

    International Nuclear Information System (INIS)

    Colder, H.; Marie, P.; Gourbilleau, F.

    2008-01-01

    Silicon nanostructures are promising candidate for the intrinsic layer on pin solar cells. In this work we report on new material: silicon-rich silicon oxide (SRSO) deposited by reactive magnetron sputtering of a pure silica target and an interesting structure: multilayers consisting of a stack of SRSO and pure silicon oxide layers. Two thicknesses of the SRSO sublayer, t SRSO , are studied 3 nm and 5 nm whereas the thickness of silica sublayer is maintaining at 3 nm. The presence of nanocrystallites of silicon, evidenced by X-Ray diffraction (XRD), leads to photoluminescence (PL) emission at room temperature due to the quantum confinement of the carriers. The PL peak shifts from 1.3 eV to 1.5 eV is correlated to the decreasing of t SRSO from 5 nm down to 3 nm. In the purpose of their potential utilization for i-layer, the optical properties are studied by absorption spectroscopy. The achievement a such structures at promising absorption properties. Moreover by favouring the carriers injection by the tunnel effect between silicon nanograins and silica sublayers, the multilayers seem to be interesting for solar cells

  13. Enhanced Electroluminescence from Silicon Quantum Dots Embedded in Silicon Nitride Thin Films Coupled with Gold Nanoparticles in Light Emitting Devices

    Directory of Open Access Journals (Sweden)

    Ana Luz Muñoz-Rosas

    2018-03-01

    Full Text Available Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC-sputtering technique, and an aluminum doped zinc oxide thin film (AZO which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer.

  14. Behavior of ion-implanted cesium in silicon dioxide films

    International Nuclear Information System (INIS)

    Fishbein, B.J.

    1988-01-01

    Charged impurities in silicon dioxide can be used to controllably shift the flatband voltage of metal-oxide-semiconductor devices independently of the substrate doping, the gate oxide thickness and the gate-electrode work function. Cesium is particularly well suited for this purpose because it is immobile in SiO 2 at normal device operating temperatures, and because it can be controllably introduced into oxide films by ion implantation. Cesium is positively charged in silicon dioxide, resulting in a negative flatband voltage shift. Possible applications for cesium technology include solar cells, devices operated at liquid nitrogen temperature, and power devices. The goal of this work has been to characterize as many aspects of cesium behavior in silicon dioxide as are required for practical applications. Accordingly, cesium-ion implantation, cesium diffusion, and cesium electrical activation in SiO 2 were studied over a broad range of processing conditions. The electrical properties of cesium-containing oxides, including current-voltage characteristics, interface trap density, and inversion-layer carrier mobility were examined, and several potential applications for cesium technology have been experimentally demonstrated

  15. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  16. Size modulation of nanocrystalline silicon embedded in amorphous silicon oxide by Cat-CVD

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Godavarthi, S.; Ortega, M.; Sanchez, V.; Velumani, S.; Mallick, P.S.

    2011-01-01

    Different issues related to controlling size of nanocrystalline silicon (nc-Si) embedded in hydrogenated amorphous silicon oxide (a-SiO x :H) deposited by catalytic chemical vapor deposition (Cat-CVD) have been reported. Films were deposited using tantalum (Ta) and tungsten (W) filaments and it is observed that films deposited using tantalum filament resulted in good control on the properties. The parameters which can affect the size of nc-Si domains have been studied which include hydrogen flow rate, catalyst and substrate temperatures. The deposited samples are characterized by X-ray diffraction, HRTEM and micro-Raman spectroscopy, for determining the size of the deposited nc-Si. The crystallite formation starts for Ta-catalyst around the temperature of 1700 o C.

  17. Compact chromium oxide thin film resistors for use in nanoscale quantum circuits

    Energy Technology Data Exchange (ETDEWEB)

    Nash, C. R.; Fenton, J. C.; Constantino, N. G. N.; Warburton, P. A. [London Centre for Nanotechnology, UCL, 17–19 Gordon Street, London WC1H 0AH (United Kingdom)

    2014-12-14

    We report on the electrical characterisation of a series of thin amorphous chromium oxide (CrO{sub x}) films, grown by dc sputtering, to evaluate their suitability for use as on-chip resistors in nanoelectronics. By increasing the level of oxygen doping, the room-temperature sheet resistance of the CrO{sub x} films was varied from 28 Ω/◻ to 32.6 kΩ/◻. The variation in resistance with cooling to 4.2 K in liquid helium was investigated; the sheet resistance at 4.2 K varied with composition from 65 Ω/◻ to above 20 GΩ/◻. All of the films measured displayed linear current–voltage characteristics at all measured temperatures. For on-chip devices for quantum phase-slip measurements using niobium–silicon nanowires, interfaces between niobium–silicon and chromium oxide are required. We also characterised the contact resistance for one CrO{sub x} composition at an interface with niobium–silicon. We found that a gold intermediate layer is favourable: the specific contact resistivity of chromium-oxide-to-gold interfaces was 0.14 mΩcm{sup 2}, much lower than the value for direct CrO{sub x} to niobium–silicon contact. We conclude that these chromium oxide films are suitable for use in nanoscale circuits as high-value resistors, with resistivity tunable by oxygen content.

  18. Stable solar-driven oxidation of water by semiconducting photoanodes protected by transparent catalytic nickel oxide films

    KAUST Repository

    Sun, Ke

    2015-03-11

    Reactively sputtered nickel oxide (NiOx) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O2(g). These NiOx coatings provide protective layers on a variety of technologically important semiconducting photoanodes, including textured crystalline Si passivated by amorphous silicon, crystalline n-type cadmium telluride, and hydrogenated amorphous silicon. Under anodic operation in 1.0 M aqueous potassium hydroxide (pH 14) in the presence of simulated sunlight, the NiOx films stabilized all of these self-passivating, high-efficiency semiconducting photoelectrodes for >100 h of sustained, quantitative solar-driven oxidation of water to O2(g). © 2015, National Academy of Sciences. All rights reserved.

  19. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  20. Direct bonding of ALD Al2O3 to silicon nitride thin films

    DEFF Research Database (Denmark)

    Laganà, Simone; Mikkelsen, E. K.; Marie, Rodolphe

    2017-01-01

    microscopy (TEM) by improving low temperature annealing bonding strength when using atomic layer deposition of aluminum oxide. We have investigated and characterized bonding of Al2O3-SixNy (low stress silicon rich nitride) and Al2O3-Si3N4 (stoichiometric nitride) thin films annealed from room temperature up......O3 can be bonded to. Preliminary tests demonstrating a well-defined nanochannel system with-100 nm high channels successfully bonded and tests against leaks using optical fluorescence technique and transmission electron microscopy (TEM) characterization of liquid samples are also reported. Moreover...

  1. The oxidation of titanium nitride- and silicon nitride-coated stainless steel in carbon dioxide environments

    International Nuclear Information System (INIS)

    Mitchell, D.R.G.; Stott, F.H.

    1992-01-01

    A study has been undertaken into the effects of thin titanium nitride and silicon nitride coatings, deposited by physical vapour deposition and chemical vapour deposition processes, on the oxidation resistance of 321 stainless steel in a simulated advanced gas-cooled reactor carbon dioxide environment for long periods at 550 o C and 700 o C under thermal-cycling conditions. The uncoated steel contains sufficient chromium to develop a slow-growing chromium-rich oxide layer at these temperatures, particularly if the surfaces have been machine-abraded. Failure of this layer in service allows formation of less protective iron oxide-rich scales. The presence of a thin (3-4 μm) titanium nitride coating is not very effective in increasing the oxidation resistance since the ensuing titanium oxide scale is not a good barrier to diffusion. Even at 550 o C, iron oxide-rich nodules are able to develop following relatively rapid oxidation and breakdown of the coating. At 700 o C, the coated specimens oxidize at relatively similar rates to the uncoated steel. A thin silicon nitride coating gives improved oxidation resistance, with both the coating and its slow-growing oxide being relatively electrically insulating. The particular silicon nitride coating studied here was susceptible to spallation on thermal cycling, due to an inherently weak coating/substrate interface. (Author)

  2. Fabrication of disposable topographic silicon oxide from sawtoothed patterns: control of arrays of gold nanoparticles.

    Science.gov (United States)

    Cho, Heesook; Yoo, Hana; Park, Soojin

    2010-05-18

    Disposable topographic silicon oxide patterns were fabricated from polymeric replicas of sawtoothed glass surfaces, spin-coating of poly(dimethylsiloxane) (PDMS) thin films, and thermal annealing at certain temperature and followed by oxygen plasma treatment of the thin PDMS layer. A simple imprinting process was used to fabricate the replicated PDMS and PS patterns from sawtoothed glass surfaces. Next, thin layers of PDMS films having different thicknesses were spin-coated onto the sawtoothed PS surfaces and annealed at 60 degrees C to be drawn the PDMS into the valley of the sawtoothed PS surfaces, followed by oxygen plasma treatment to fabricate topographic silicon oxide patterns. By control of the thickness of PDMS layers, silicon oxide patterns having various line widths were fabricated. The silicon oxide topographic patterns were used to direct the self-assembly of polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) block copolymer thin films via solvent annealing process. A highly ordered PS-b-P2VP micellar structure was used to let gold precursor complex with P2VP chains, and followed by oxygen plasma treatment. When the PS-b-P2VP thin films containing gold salts were exposed to oxygen plasma environments, gold salts were reduced to pure gold nanoparticles without changing high degree of lateral order, while polymers were completely degraded. As the width of trough and crest in topographic patterns increases, the number of gold arrays and size of gold nanoparticles are tuned. In the final step, the silicon oxide topographic patterns were selectively removed by wet etching process without changing the arrays of gold nanoparticles.

  3. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  4. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  5. Transparent conducting oxide layers for thin film silicon solar cells

    NARCIS (Netherlands)

    Rath, J.K.; Liu, Y.; de Jong, M.M.; de Wild, J.; Schuttauf, J.A.; Brinza, M.; Schropp, R.E.I.

    2009-01-01

    Texture etching of ZnO:1%Al layers using diluted HCl solution provides excellent TCOs with crater type surface features for the front contact of superstrate type of thin film silicon solar cells. The texture etched ZnO:Al definitely gives superior performance than Asahi SnO2:F TCO in case of

  6. A photoemission study of the effectiveness of nickel, manganese, and cobalt based corrosion barriers for silicon photo-anodes during water oxidation

    Energy Technology Data Exchange (ETDEWEB)

    O' Connor, Robert; Bogan, Justin; McCoy, Anthony; Byrne, Conor; Hughes, Greg [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland)

    2016-05-21

    Silicon is an attractive material for solar water splitting applications due to its abundance and its capacity to absorb a large fraction of incident solar radiation. However, it has not received as much attention as other materials due to its tendency to oxidize very quickly in aqueous environments, particularly when it is employed as the anode where it drives the oxygen evolution reaction. In recent years, several works have appeared in the literature examining the suitability of thin transition metal oxide films grown on top of the silicon to act as a corrosion barrier. The film should be transparent to solar radiation, allow hole transport from the silicon surface to the electrolyte, and stop the diffusion of oxygen from the electrolyte back to the silicon. In this work, we compare Mn-oxide, Co-oxide, and Ni-oxide thin films grown using physical vapor deposition in order to evaluate which material offers the best combination of photocurrent and corrosion protection. In addition to the electrochemical data, we also present a detailed before-and-after study of the surface chemistry of the films using x-ray photoelectron spectroscopy. This approach allows for a comprehensive analysis of the mechanisms by which the corrosion barriers protect the underlying silicon, and how they degrade during the water oxidation reaction.

  7. Mesoporous silicon oxide films and their uses as templates in obtaining nanostructured conductive polymers

    Science.gov (United States)

    Salgado, R.; Arteaga, G. C.; Arias, J. M.

    2018-04-01

    Obtaining conductive polymers (CPs) for the manufacture of OLEDs, solar cells, electrochromic devices, sensors, etc., has been possible through the use of electrochemical techniques that allow obtaining films of controlled thickness with positive results in different applications. Current trends point towards the manufacture of nanomaterials, and therefore it is necessary to develop methods that allow obtaining CPs with nanostructured morphology. This is possible by using a porous template to allow the growth of the polymeric materials. However, prior and subsequent treatments are required to separate the material from the template so that it can be evaluated in the applications mentioned above. This is why mesoporous silicon oxide films (template) are essential for the synthesis of nanostructured polymers since both the template and the polymer are obtained on the electrode surface, and therefore it is not necessary to separate the material from the template. Thus, the material can be evaluated directly in the applications mentioned above. The dimensions of the resulting nanostructures will depend on the power, time and technique used for electropolymerization as well as the monomer and the surfactant of the mesoporous film.

  8. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  9. Band engineering of amorphous silicon ruthenium thin film and its near-infrared absorption enhancement combined with nano-holes pattern on back surface of silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Anran; Zhong, Hao [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Li, Wei, E-mail: wli@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Gu, Deen; Jiang, Xiangdong [School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Jiang, Yadong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2016-10-30

    Highlights: • The increase of Ru concentration leads to a narrower bandgap of a-Si{sub 1-x}Ru{sub x} thin film. • The absorption coefficient of a-Si{sub 1-x}Ru{sub x} is higher than that of SiGe. • A double-layer absorber comprising of a-Si{sub 1-x}Ru{sub x} film and Si nano-holes layer is achieved. - Abstract: Silicon is widely used in semiconductor industry but has poor performance in near-infrared photoelectronic devices because of its bandgap limit. In this study, a narrow bandgap silicon rich semiconductor is achieved by introducing ruthenium (Ru) into amorphous silicon (a-Si) to form amorphous silicon ruthenium (a-Si{sub 1-x}Ru{sub x}) thin films through co-sputtering. The increase of Ru concentration leads to an enhancement of light absorption and a narrower bandgap. Meanwhile, a specific light trapping technique is employed to realize high absorption of a-Si{sub 1-x}Ru{sub x} thin film in a finite thickness to avoid unnecessary carrier recombination. A double-layer absorber comprising of a-Si{sub 1-x}Ru{sub x} thin film and silicon random nano-holes layer is formed on the back surface of silicon substrates, and significantly improves near-infrared absorption while the leaky light intensity is less than 5%. This novel absorber, combining narrow bandgap thin film with light trapping structure, may have a potential application in near-infrared photoelectronic devices.

  10. Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films

    International Nuclear Information System (INIS)

    Ohdaira, Keisuke; Tomura, Naohito; Ishii, Shohei; Matsumura, Hideki

    2011-01-01

    We investigate residual forms of hydrogen (H) atoms such as bonding configuration in poly-crystalline silicon (poly-Si) films formed by the flash-lamp-induced crystallization of catalytic chemical vapor deposited (Cat-CVD) a-Si films. Raman spectroscopy reveals that at least part of H atoms in flash-lamp-crystallized (FLC) poly-Si films form Si-H 2 bonds as well as Si-H bonds with Si atoms even using Si-H-rich Cat-CVD a-Si films, which indicates the rearrangement of H atoms during crystallization. The peak desorption temperature during thermal desorption spectroscopy (TDS) is as high as 900 o C, similar to the reported value for bulk poly-Si.

  11. Modulated surface textures for enhanced scattering in thin-film silicon solar cells

    NARCIS (Netherlands)

    Isabella, O.; Battaglia, C.; Ballif, C.; Zeman, M.

    2012-01-01

    Nano-scale randomly textured front transparent oxides are superposed on micro-scale etched glass substrates to form modulated surface textures. The resulting enhanced light scattering is implemented in single and double junction thin-film silicon solar cells.

  12. Formation of nanocrystals embedded in a silicon nitride film at a low temperature ({<=}200 deg. C)

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyoung-Min; Kim, Tae-Hwan [Department of Nano Science and Technology, University of Seoul, Seoul 130-743 (Korea, Republic of); Hong, Wan-Shick [Department of Nano Science and Technology, University of Seoul, Seoul 130-743 (Korea, Republic of)], E-mail: wshong@uos.ac.kr

    2008-12-15

    Silicon-rich silicon nitride films with embedded silicon nanocrystals (Si NCs) were fabricated successfully on plastic substrates at a low temperature by catalytic chemical vapor deposition. A mixture of SiH{sub 4}, NH{sub 3} and H{sub 2} was used as a source gas. Formation of the silicon nanocrystals was analyzed by photoluminescence spectra and was confirmed by transmission electron microscopy. The formation of Si NCs required an H{sub 2}/SiH{sub 4} mixture ratio that was higher than four.

  13. Accelerated life test of an ONO stacked insulator film for a silicon micro-strip detector

    International Nuclear Information System (INIS)

    Okuno, Shoji; Ikeda, Hirokazu; Saitoh, Yutaka

    1996-01-01

    We have used to acquire the signal through an integrated capacitor for a silicon micro-strip detector. When we have been using a double-sided silicon micro-strip detector, we have required a long-term stability and a high feasibility for the integrated capacitor. An oxide-nitride-oxide (ONO) insulator film was theoretically expected to have a superior nature in terms of long term reliability. In order to test long term reliability for integrated capacitor of a silicon micro-strip detector, we made a multi-channel measuring system for capacitors

  14. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Piezoresistive pressure sensor using low-temperature aluminium induced crystallization of sputter-deposited amorphous silicon film

    International Nuclear Information System (INIS)

    Tiwari, Ruchi; Chandra, Sudhir

    2013-01-01

    In the present work, we have investigated the piezoresistive properties of silicon films prepared by the radio frequency magnetron sputtering technique, followed by the aluminium induced crystallization (AIC) process. Orientation and grain size of the polysilicon films were studied by x-ray diffraction analysis and found to be in the range 30–50 nm. Annealing of the Al–Si stack on an oxidized silicon substrate was performed in air ambient at 300–550 °C, resulting in layer exchange and transformation from amorphous to polysilicon phase. Van der Pauw and Hall measurement techniques were used to investigate the sheet resistance and carrier mobility of the resulting polycrystalline silicon film. The effect of Al thickness on the sheet resistance and mobility was also studied in the present work. A piezoresistive pressure sensor was fabricated on an oxidized silicon substrate in a Wheatstone bridge configuration, comprising of four piezoresistors made of polysilicon film obtained by the AIC process. The diaphragm was formed by the bulk-micromachining of silicon substrate. The response of the pressure sensor with applied negative pressure in 10–95 kPa range was studied. The gauge factor was estimated to be 5 and 18 for differently located piezoresistors on the diaphragm. The sensitivity of the pressure sensor was measured to be ∼ 30 mV MPa −1 , when the Wheatstone bridge was biased at 1 V input voltage. (paper)

  16. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  17. Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Riahi, R., E-mail: riahirim01@gmail.com [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Faculty of Sciences Tunis–El Manar University (Tunisia); Derbali, L. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Ouertani, B. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Environment Science and Technology of Borj-Cedria (Tunisia); Ezzaouia, H. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2017-05-15

    Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total

  18. Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon

    International Nuclear Information System (INIS)

    Riahi, R.; Derbali, L.; Ouertani, B.; Ezzaouia, H.

    2017-01-01

    Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total

  19. Tuning the cathodoluminescence of porous silicon films

    International Nuclear Information System (INIS)

    Biaggi-Labiosa, A.; Fonseca, L.F.; Resto, O.; Balberg, I.

    2008-01-01

    We have obtained intense cathodoluminescence (CL) emission from electron beam modified porous silicon films by excitation with electrons with kinetic energies below 2 keV. Two types of CL emissions were observed, a stable one and a non-stable one. The first type is obtained in well-oxidized samples and is characterized by a spectral peak that is red shifted with respect to the photoluminescence (PL) peak. The physically interesting and technologically promising CL is however the CL that correlates closely with the PL. Tuning of this CL emission was achieved by controlling the average size of the nanostructure thus showing that the origin of this CL emission is associated with the quantum confinement and the surface chemistry effects that are known to exist in the porous silicon system. We also found that the electron bombardment causes microscale morphological modifications of the films, but the nanoscale features appear to be unchanged. The structural changes are manifested by the increase in the density of the nanoparticles which explains the significant enhancement of the PL that follows the electron irradiation

  20. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  1. First-principles study of the effects of halogen dopants on the properties of intergranular films in silicon nitride ceramics

    International Nuclear Information System (INIS)

    Painter, Gayle S.; Becher, Paul F.; Kleebe, H.-J.; Pezzotti, G.

    2002-01-01

    The nanoscale intergranular films that form in the sintering of ceramics often occur as adherent glassy phases separating the crystalline grains in the ceramic. Consequently, the properties of these films are often equal in importance to those of the constituent grains in determining the ceramic's properties. The measured characteristics of the silica-rich phase separating the crystalline grains in Si 3 N 4 and many other ceramics are so reproducible that SiO 2 has become a model system for studies of intergranular films (IGF's). Recently, the influence of fluorine and chlorine dopants in SiO 2 -rich IGF's in silicon nitride was precisely documented by experiment. Along with the expected similarities between the halogens, some dramatically contrasting effects were found. But the atomic-scale mechanisms distinguishing the effects F and Cl on IGF behavior have not been well understood. First-principles density functional calculations reported here provide a quantum-level description of how these dopant-host interactions affect the properties of IGF's, with specific modeling of F and Cl in the silica-rich IGF in silicon nitride. Calculations were carried out for the energetics, structural changes, and forces on the atoms making up a model cluster fragment of an SiO 2 intergranular film segment in silicon nitride with and without dopants. Results show that both anions participate in the breaking of bonds within the IGF, directly reducing the viscosity of the SiO 2 -rich film and promoting decohesion. Observed differences in the way fluorine and chlorine affect IGF behavior become understandable in terms of the relative stabilities of the halogens as they interact with Si atoms that have lost one if their oxygen bridges

  2. Effect of Cu addition on coercivity and interfacial state of Nd-Fe-B/Nd-rich thin films

    International Nuclear Information System (INIS)

    Matsuura, M; Sugimoto, S; Fukada, T; Tezuka, N; Goto, R

    2010-01-01

    This study provides the effect of Cu addition on coercivity (H cJ ) and interfacial microstructure in Nd-Fe-B/Nd-rich thin films. All films were deposited by using ultra high vacuum (UHV) magnetron sputtering, and the Nd-Fe-B layer was oxidized under several atmospheres with different oxygen content. Then, the films were annealed at 250-550 0 C under UHV. The films oxidized in low vacuum (10 -2 -10 -5 Pa) (under low oxygen state) exhibited the recovery of H cJ by the annealing at 450 0 C. On the contrary, the H cJ of the films oxidized in Ar (under high oxygen state) decreased with increasing annealing temperature. However, the H cJ increased drastically at the temperatures above 550 0 C. In addition, the Cu added films, which were annealed at temperatures above 350 0 C, showed higher coercivities than the films without Cu addition. The XRD analysis suggested the existence of C-Nd 2 O 3 phase in the Cu added films annealed at 550 0 C. It can be considered that the Cu addition decreases the eutectic temperature of Nd-rich phase and influences the interfacial state between Nd 2 Fe 14 B and Nd-rich phase.

  3. Controllable film densification and interface flatness for high-performance amorphous indium oxide based thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Ou-Yang, Wei, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Kizu, Takio; Gao, Xu; Lin, Meng-Fang; Tsukagoshi, Kazuhito, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp [International Center for Materials Nanoarchitectronics (WPI-MANA), National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan); Nabatame, Toshihide [MANA Foundry and MANA Advanced Device Materials Group, National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan)

    2014-10-20

    To avoid the problem of air sensitive and wet-etched Zn and/or Ga contained amorphous oxide transistors, we propose an alternative amorphous semiconductor of indium silicon tungsten oxide as the channel material for thin film transistors. In this study, we employ the material to reveal the relation between the active thin film and the transistor performance with aid of x-ray reflectivity study. By adjusting the pre-annealing temperature, we find that the film densification and interface flatness between the film and gate insulator are crucial for achieving controllable high-performance transistors. The material and findings in the study are believed helpful for realizing controllable high-performance stable transistors.

  4. Amorphous silicon rich silicon nitride optical waveguides for high density integrated optics

    DEFF Research Database (Denmark)

    Philipp, Hugh T.; Andersen, Karin Nordström; Svendsen, Winnie Edith

    2004-01-01

    Amorphous silicon rich silicon nitride optical waveguides clad in silica are presented as a high-index contrast platform for high density integrated optics. Performance of different cross-sectional geometries have been measured and are presented with regards to bending loss and insertion loss...

  5. Very high-cycle fatigue failure in micron-scale polycrystalline silicon films : Effects of environment and surface oxide thickness

    NARCIS (Netherlands)

    Alsem, D. H.; Boyce, B. L.; Stach, E. A.; De Hosson, J. Th. M.; Ritchie, R. O.

    2007-01-01

    Fatigue failure in micron-scale polycrystalline silicon structural films, a phenomenon that is not observed in bulk silicon, can severely impact the durability and reliability of microelectromechanical system devices. Despite several studies on the very high-cycle fatigue behavior of these films (up

  6. High resolution medium energy ion scattering study of silicon oxidation and oxy nitridation

    International Nuclear Information System (INIS)

    Gusev, E.P.; Lu, H.C.; Garfunkel, E.; Gustafsson, T.

    1998-01-01

    Full text: Silicon oxide is likely to remain the material of choice for gate oxides in microelectronics for the foreseeable future. As device become ever smaller and faster, the thickness of these layers in commercial products is predicted to be less than 50 Angstroms in just a few years. An understanding of such devices will therefore likely to be based on microscopic concepts and should now be investigated by atomistic techniques. With medium energy ion scattering (MEIS) using an electrostatic energy analyzer, depth profiling of thin (<60 Angstroms) silicon oxide films on Si(100) with 3 - 5 Angstroms depth resolution in the near region has been done. The growth mechanism of thin oxide films on Si(100) has been studied, using sequential oxygen isotope exposures. It is found that the oxide films are stoichiometric to within approx. 10 Angstroms of the interface. It is also found that the oxidation reactions occur at the surface, in the transition region and at interface, with only the third region being included in the conventional (Deal-Grove) model for oxide formation. Nitrogen is sometimes added to gate oxides, as it has been found empirically that his improves some of the electrical properties. The role, location and even the amount of nitrogen that exists in such films are poorly understood, and represent interesting analytical challenges. MEIS data will be presented that address these questions, measured for a number of different processing conditions. We have recently demonstrated how to perform nitrogen nano-engineering in such ultrathin gate dielectrics, and these results will also be discussed

  7. Chemical and structural properties of polymorphous silicon thin films grown from dichlorosilane

    Energy Technology Data Exchange (ETDEWEB)

    Álvarez-Macías, C.; Monroy, B.M.; Huerta, L.; Canseco-Martínez, M.A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico); Picquart, M. [Departamento de Física, Universidad Autónoma Metropolitana, Iztapalapa, A.P. 55-534, 09340 México, D.F. (Mexico); Santoyo-Salazar, J. [Departamento de Física, CINVESTAV-IPN, A.P. 14-740, C.P. 07000 México, D.F. (Mexico); Sánchez, M.F. García [Unidad Profesional Interdisciplinaria en Ingeniería y Tecnologías Avanzadas, Instituto Politécnico Nacional, Av. I.P.N. 2580, Gustavo A. Madero, 07340 México .D.F. (Mexico); Santana, G., E-mail: gsantana@iim.unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico)

    2013-11-15

    We have examined the effects of hydrogen dilution (R{sub H}) and deposition pressure on the morphological, structural and chemical properties of polymorphous silicon thin films (pm-Si:H), using dichlorosilane as silicon precursor in the plasma enhanced chemical vapor deposition (PECVD) process. The use of silicon chlorinated precursors enhances the crystallization process in as grown pm-Si:H samples, obtaining crystalline fractions from Raman spectra in the range of 65–95%. Atomic Force Microscopy results show the morphological differences obtained when the chlorine chemistry dominates the growth process and when the plasma–surface interactions become more prominent. Augmenting R{sub H} causes a considerable reduction in both roughness and topography, demonstrating an enhancement of ion bombardment and attack of the growing surface. X-ray Photoelectron Spectroscopy results show that, after ambient exposure, there is low concentration of oxygen inside the films grown at low R{sub H}, present in the form of Si-O, which can be considered as structural defects. Instead, oxidation increases with deposition pressure and dilution, along with film porosity, generating a secondary SiO{sub x} phase. For higher pressure and dilution, the amount of chlorine incorporated to the film decreases congruently with HCl chlorine extraction processes involving atomic hydrogen interactions with the surface. In all cases, weak silicon hydride (Si-H) bonds were not detected by infrared spectroscopy, while bonding configurations associated to the silicon nanocrystal surface were clearly observed. Since these films are generally used in photovoltaic devices, analyzing their chemical and structural properties such as oxygen incorporation to the films, along with chlorine and hydrogen, is fundamental in order to understand and optimize their electrical and optical properties.

  8. Microstructural variation in titanium oxide thin films deposited by DC magnetron sputtering

    International Nuclear Information System (INIS)

    Pandian, Ramanathaswamy; Natarajan, Gomathi; Kamruddin, M.; Tyagi, A.K.

    2013-01-01

    We report on the microstructural evolution of titanium oxide thin films deposited by reactive DC magnetron sputtering using titanium metal target. By varying the ratio of sputter-gas mixture containing argon, oxygen and nitrogen various phases of titanium oxide, almost pure rutile, rutile-rich and anatase-rich nano-crystalline, were deposited on Si substrates at room temperature. Using high-resolution scanning electron microscopy, X-ray diffraction and micro-Raman techniques the microstructure of the films were revealed. The relationship between the microstructure of the films and the oxygen partial pressure during sputtering is discussed

  9. Thermal radiative near field transport between vanadium dioxide and silicon oxide across the metal insulator transition

    Energy Technology Data Exchange (ETDEWEB)

    Menges, F.; Spieser, M.; Riel, H.; Gotsmann, B., E-mail: bgo@zurich.ibm.com [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Dittberner, M. [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Novotny, L. [Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Passarello, D.; Parkin, S. S. P. [IBM Almaden Research Center, 650 Harry Road, San Jose, California 95120 (United States)

    2016-04-25

    The thermal radiative near field transport between vanadium dioxide and silicon oxide at submicron distances is expected to exhibit a strong dependence on the state of vanadium dioxide which undergoes a metal-insulator transition near room temperature. We report the measurement of near field thermal transport between a heated silicon oxide micro-sphere and a vanadium dioxide thin film on a titanium oxide (rutile) substrate. The temperatures of the 15 nm vanadium dioxide thin film varied to be below and above the metal-insulator-transition, and the sphere temperatures were varied in a range between 100 and 200 °C. The measurements were performed using a vacuum-based scanning thermal microscope with a cantilevered resistive thermal sensor. We observe a thermal conductivity per unit area between the sphere and the film with a distance dependence following a power law trend and a conductance contrast larger than 2 for the two different phase states of the film.

  10. Permeation mechanisms of pulsed microwave plasma deposited silicon oxide films for food packaging applications

    International Nuclear Information System (INIS)

    Deilmann, Michael; Grabowski, Mirko; Theiss, Sebastian; Bibinov, Nikita; Awakowicz, Peter

    2008-01-01

    Silicon oxide barrier layers are deposited on polyethylene terephthalate as permeation barriers for food packaging applications by means of a low pressure microwave plasma. Hexamethyldisiloxane (HMDSO) and oxygen are used as process gases to deposit SiO x coatings via pulsed low pressure plasmas. The layer composition of the coating is investigated by Fourier transform infrared spectroscopy and energy dispersive x-ray spectroscopy to show correlations with barrier properties of the films. The oxygen permeation barrier is determined by the carrier gas method using an electrochemical detector. The transition from low to high barrier films is mapped by the transition from organic SiO x C y H z layers to quartz-like SiO 1.7 films containing silanol bound hydrogen. A residual permeation as low as J = 1 ± 0.3 cm 3 m -2 day -1 bar -1 is achieved, which is a good value for food packaging applications. Additionally, the activation energy E p of oxygen permeation is analysed and a strong increase from E p = 31.5 kJ mol -1 for SiO x C y H z -like coatings to E p = 53.7 kJ mol -1 for SiO 1.7 films is observed by increasing the oxygen dilution of HMDSO:O 2 plasma. The reason for the residual permeation of high barrier films is discussed and coating defects are visualized by capacitively coupled atomic oxygen plasma etching of coated substrates. A defect density of 3000 mm -2 is revealed

  11. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    Science.gov (United States)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  12. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  13. Electrical conductivity of free-standing mesoporous silicon thin films

    International Nuclear Information System (INIS)

    Khardani, M.; Bouaicha, M.; Dimassi, W.; Zribi, M.; Aouida, S.; Bessais, B.

    2006-01-01

    The effective electrical conductivity of free-standing p + -type porous silicon layers having porosities ranging from 30% to 80% was studied at both experimental and theoretical sides. An Effective Medium Approximation (EMA) model was used as a theoretical support. The porous silicon (PS) films were prepared by the electrochemical etching method for different values of the anodic current density. In order to model the PS electrical conductivity, the free-standing porous layer was assumed to be formed of three phases; vacuum, oxide and Si nanocrystallites. The analytical expression of the electrical conductivity of the Si nanocrystallites was established using the quantum confinement theory. This enables us to correlate the electrical conductivity of the mesoporous film to the value of the effective band gap energy estimated from the absorption coefficient. A perfect agreement between the theoretical and the experimental electrical conductivity values was obtained for all prospected PS porosities

  14. On the origin of the changes in the opto-electrical properties of boron-doped zinc oxide films after plasma surface treatment for thin-film silicon solar cell applications

    Science.gov (United States)

    Le, Anh Huy Tuan; Kim, Youngkuk; Lee, Youn-Jung; Hussain, Shahzada Qamar; Nguyen, Cam Phu Thi; Lee, Jaehyung; Yi, Junsin

    2018-03-01

    The modification of the steep and sharp valleys on the surface of the boron-doped zinc oxide (BZO) front electrodes by plasma surface treatment is a critical process for avoiding a significant reduction in the electrical performance of thin-film silicon solar cells. In this work, we report the origin of the changes in the electrical and optical properties of the BZO films that occur after this process. On the basis of an analysis of the chemical states, we found an improvement of the carrier concentration along with the treatment time that was mainly due to an increase of the oxygen vacancy. This indicated a deficiency of the oxygen in the BZO films under argon-ion bombardment. The red-shift of the A1 longitudinal optical mode frequency in the Raman spectra that was attributed to the existence of vacancy point defects within the films also strengthened this argument. The significant reduction of the haze ratio as well as the appearance of interference peaks on the transmittance spectra as the treatment time was increased were mainly due to the smoothing of the film surface, which indicated a degradation of the light-scattering capability of the BZO films. We also observed a gain of the visible-region transmittance that was attributed to the decrease of the thickness of the BZO films after the plasma surface treatment, instead of the crystallinity improvement. On the basis of our findings, we have proposed a further design rule of the BZO front electrodes for thin-film silicon solar cell applications.

  15. Effect of deposition temperature on the bonding configurations and properties of fluorine doped silicon oxide film

    International Nuclear Information System (INIS)

    Lu, Wei-Lun; Kuo, Ting-Wei; Huang, Chun-Hsien; Wang, Na-Fu; Tsai, Yu-Zen; Wang, Ming-Wei; Hung, Chen-I.; Houng, Mau-Phon

    2011-01-01

    In our study, fluorine-doped silicon oxide (SiOF) films were prepared using a mixture of SiH 4 , N 2 O, and CF 4 in a conventional plasma enhanced chemical vapor deposition system at various deposition temperatures. Deposition behaviors are determined by the deposition temperature. Our results show that for temperatures below 300 deg. C the process is surface-reaction-limited controlled, but becomes diffusion-limited when the deposition temperature exceeds 300 deg. C. The surface topography images obtained using an atomic force microscope show that a large amount of free volume space was created in the film with a low temperature deposition. The optical microscope and secondary ion mass spectrometer analyses show that precipitates were produced at the near-surface at the deposition temperature of 150 deg. C with a higher fluorine concentration of 2.97 at.%. Our results show that the properties of the SiOF film are controlled not only by the free volume space but also by the fluorine concentration. An optimal SiOF film prepared at a temperature of 200 deg. C shows a low dielectric constant of 3.55, a leakage current of 1.21 x 10 -8 A/cm 2 at 1 MV/cm, and a fluorine concentration of 2.5 at.%.

  16. Semiconducting properties of oxide and passive films formed on AISI 304 stainless steel and Alloy 600

    Directory of Open Access Journals (Sweden)

    Ferreira M. G. S.

    2002-01-01

    Full Text Available The semiconducting properties of passive films formed on AISI 304 stainless steel and Alloy 600 in borate buffer solution were studied by capacitance (Mott-Schottky approach and photocurrent measurements. Oxide films formed on 304 stainless steel in air at 350 ºC have also been studied. The results obtained show that, in all cases the electronic structure of the films is comparable to that of a p-n heterojunction in which the space charges developed at the metal-film and film-electrolyte interfaces have also to be considered. This is in accordance with analytical results showing that the oxide films are in all cases composed of an inner region rich in chromium oxide and an outer region rich in iron oxide.

  17. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Science.gov (United States)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  18. Research and development of photovoltaic power system. Development of novel technologies for fabrication of high quality silicon thin films for solar cells; Taiyoko hatsuden system no kenkyu kaihatsu. Kohinshitsu silicon usumaku sakusei gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Shimizu, T [Kanazawa University, Ishikawa (Japan). Faculty of Engineering

    1994-12-01

    Described herein are the results of the FY1994 research program for development of novel technologies for fabrication of high quality thin films of silicon for solar cells. The study on the mechanisms and effects of chemical annealing reveals that the film structure greatly varies depending on substrate temperature during the hydrotreatment process, based on the tests with substrate temperature, deposition of superthin film (T1) and hydrotreatment (T2) as the variable parameters. Chemical annealing at low temperature produces a high-quality a-Si:H film of low defect content. The study on fabrication of thin polycrystalline silicon films at low temperature observes on real time the process of deposition of the thin films on polycrystalline silicon substrates, where a natural oxide film is removed beforehand from the substrate. The results indicate that a thin polycrystalline silicon film of 100% crystallinity can be formed even on a polycrystalline silicon substrate by controlling starting gas composition and substrate temperature. The layer-by-layer method is used as the means for forming the seed crystals on a glass substrate, where deposition and hydrotreatment are repeated alternately, to produce the thin crystalline silicon films of high crystallinity. 3 figs.

  19. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    Science.gov (United States)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  20. High-density oxidized porous silicon

    International Nuclear Information System (INIS)

    Gharbi, Ahmed; Souifi, Abdelkader; Remaki, Boudjemaa; Halimaoui, Aomar; Bensahel, Daniel

    2012-01-01

    We have studied oxidized porous silicon (OPS) properties using Fourier transform infraRed (FTIR) spectroscopy and capacitance–voltage C–V measurements. We report the first experimental determination of the optimum porosity allowing the elaboration of high-density OPS insulators. This is an important contribution to the research of thick integrated electrical insulators on porous silicon based on an optimized process ensuring dielectric quality (complete oxidation) and mechanical and chemical reliability (no residual pores or silicon crystallites). Through the measurement of the refractive indexes of the porous silicon (PS) layer before and after oxidation, one can determine the structural composition of the OPS material in silicon, air and silica. We have experimentally demonstrated that a porosity approaching 56% of the as-prepared PS layer is required to ensure a complete oxidation of PS without residual silicon crystallites and with minimum porosity. The effective dielectric constant values of OPS materials determined from capacitance–voltage C–V measurements are discussed and compared to FTIR results predictions. (paper)

  1. Silicon rich nitride ring resonators for rare - earth doped telecommunications-band amplifiers pumped at the O-band.

    Science.gov (United States)

    Xing, P; Chen, G F R; Zhao, X; Ng, D K T; Tan, M C; Tan, D T H

    2017-08-22

    Ring resonators on silicon rich nitride for potential use as rare-earth doped amplifiers pumped at 1310 nm with amplification at telecommunications-band are designed and characterized. The ring resonators are fabricated on 300 nm and 400 nm silicon rich nitride films and characterized at both 1310 nm and 1550 nm. We demonstrate ring resonators exhibiting similar quality factors exceeding 10,000 simultaneously at 1310 nm and 1550 nm. A Dysprosium-Erbium material system exhibiting photoluminescence at 1510 nm when pumped at 1310 nm is experimentally demonstrated. When used together with Dy-Er co-doped particles, these resonators with similar quality factors at 1310 nm and 1550 nm may be used for O-band pumped amplifiers for the telecommunications-band.

  2. Effect of thermal processing on silver thin films of varying thickness deposited on zinc oxide and indium tin oxide

    International Nuclear Information System (INIS)

    Sivaramakrishnan, K.; Ngo, A. T.; Alford, T. L.; Iyer, S.

    2009-01-01

    Silver films of varying thicknesses (25, 45, and 60 nm) were deposited on indium tin oxide (ITO) on silicon and zinc oxide (ZnO) on silicon. The films were annealed in vacuum for 1 h at different temperatures (300-650 deg. C). Four-point-probe measurements were used to determine the resistivity of the films. All films showed an abrupt change in resistivity beyond an onset temperature that varied with thickness. Rutherford backscattering spectrometry measurements revealed agglomeration of the Ag films upon annealing as being responsible for the resistivity change. X-ray pole figure analysis determined that the annealed films took on a preferential texturing; however, the degree of texturing was significantly higher in Ag/ZnO/Si than in Ag/ITO/Si samples. This observation was accounted for by interface energy minimization. Atomic force microscopy (AFM) measurements revealed an increasing surface roughness of the annealed films with temperature. The resistivity behavior was explained by the counterbalancing effects of increasing crystallinity and surface roughness. Average surface roughness obtained from the AFM measurements were also used to model the agglomeration of Ag based on Ostwald ripening theory

  3. Characterization of interfacial reactions and oxide films on 316L stainless steel in various simulated PWR primary water environments

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Junjie; Xiao, Qian [Institute of Materials Science, School of Materials Science and Engineering, Shanghai University, Mailbox 269, 149 Yanchang Road, Shanghai, 200072 (China); State Key Laboratory of Advanced Special Steels, Shanghai University, 149 Yanchang Road, Shanghai, 200072 (China); Lu, Zhanpeng, E-mail: zplu@t.shu.edu.cn [Institute of Materials Science, School of Materials Science and Engineering, Shanghai University, Mailbox 269, 149 Yanchang Road, Shanghai, 200072 (China); State Key Laboratory of Advanced Special Steels, Shanghai University, 149 Yanchang Road, Shanghai, 200072 (China); Shanghai Key Laboratory of Advanced Ferrometallurgy, Shanghai University, 149 Yanchang Road, Shanghai, 200072 (China); Ru, Xiangkun; Peng, Hao; Xiong, Qi; Li, Hongjuan [Institute of Materials Science, School of Materials Science and Engineering, Shanghai University, Mailbox 269, 149 Yanchang Road, Shanghai, 200072 (China)

    2017-06-15

    The effect of water chemistry on the electrochemical and oxidizing behaviors of 316L SS was investigated in hydrogenated, deaerated and oxygenated PWR primary water at 310 °C. Water chemistry significantly influenced the electrochemical impedance spectroscopy parameters. The highest charge-transfer resistance and oxide-film resistance occurred in oxygenated water. The highest electric double-layer capacitance and constant phase element of the oxide film were in hydrogenated water. The oxide films formed in deaerated and hydrogenated environments were similar in composition but different in morphology. An oxide film with spinel outer particles and a compact and Cr-rich inner layer was formed in both hydrogenated and deaerated water. Larger and more loosely distributed outer oxide particles were formed in deaerated water. In oxygenated water, an oxide film with hematite outer particles and a porous and Ni-rich inner layer was formed. The reaction kinetics parameters obtained by electrochemical impedance spectroscopy measurements and oxidation film properties relating to the steady or quasi-steady state conditions in the time-period of measurements could provide fundamental information for understanding stress corrosion cracking processes and controlling parameters. - Highlights: •Long-term EIS measurements of 316L SS in simulated PWR primary water. •Highest charge-transfer resistance and oxide film resistance in oxygenated water. •Highest electric double-layer capacitance and oxide film CPE in hydrogenated water. •Similar compositions, different shapes of oxides in deaerated/hydrogenated water. •Inner layer Cr-rich in hydrogenated/deaerated water, Ni-rich in oxygenated water.

  4. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Youroukov, S; Kitova, S; Danev, G [Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 113 Sofia (Bulgaria)], E-mail: skitova@clf.bas.bg

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO{sub 2} together with concurrent bombardment with low energy N{sub 2}{sup +} ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N{sub 2}{sup +} ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV)

  5. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  6. Synchrotron-radiation XPS analysis of ultra-thin silane films: Specifying the organic silicon

    Energy Technology Data Exchange (ETDEWEB)

    Dietrich, Paul M., E-mail: paul.dietrich@yahoo.de [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Glamsch, Stephan [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Freie Universität Berlin, Institut für Chemie und Biochemie, Fabeckstr. 34/36, 14195 Berlin (Germany); Ehlert, Christopher [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Institut für Chemie, Universität Potsdam, Karl-Liebknecht-Straße 24-25, 14476 Potsdam (Germany); Lippitz, Andreas [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Kulak, Nora [Freie Universität Berlin, Institut für Chemie und Biochemie, Fabeckstr. 34/36, 14195 Berlin (Germany); Unger, Wolfgang E.S. [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany)

    2016-02-15

    Graphical abstract: - Highlights: • A synchrotron-based XPS method to analyze ultra-thin silane films is presented. • Specification and quantification of organic next to inorganic silicon is demonstrated. • Non-destructive chemical depth profiles of the silane monolayers were obtained. - Abstract: The analysis of chemical and elemental in-depth variations in ultra-thin organic layers with thicknesses below 5 nm is very challenging. Energy- and angle-resolved XPS (ER/AR-XPS) opens up the possibility for non-destructive chemical ultra-shallow depth profiling of the outermost surface layer of ultra-thin organic films due to its exceptional surface sensitivity. For common organic materials a reliable chemical in-depth analysis with a lower limit of the XPS information depth z{sub 95} of about 1 nm can be performed. As a proof-of-principle example with relevance for industrial applications the ER/AR-XPS analysis of different organic monolayers made of amino- or benzamidosilane molecules on silicon oxide surfaces is presented. It is demonstrated how to use the Si 2p core-level region to non-destructively depth-profile the organic (silane monolayer) – inorganic (SiO{sub 2}/Si) interface and how to quantify Si species, ranging from elemental silicon over native silicon oxide to the silane itself. The main advantage of the applied ER/AR-XPS method is the improved specification of organic from inorganic silicon components in Si 2p core-level spectra with exceptional low uncertainties compared to conventional laboratory XPS.

  7. Electronic structure of indium-tungsten-oxide alloys and their energy band alignment at the heterojunction to crystalline silicon

    Science.gov (United States)

    Menzel, Dorothee; Mews, Mathias; Rech, Bernd; Korte, Lars

    2018-01-01

    The electronic structure of thermally co-evaporated indium-tungsten-oxide films is investigated. The stoichiometry is varied from pure tungsten oxide to pure indium oxide, and the band alignment at the indium-tungsten-oxide/crystalline silicon heterointerface is monitored. Using in-system photoelectron spectroscopy, optical spectroscopy, and surface photovoltage measurements, we show that the work function of indium-tungsten-oxide continuously decreases from 6.3 eV for tungsten oxide to 4.3 eV for indium oxide, with a concomitant decrease in the band bending at the hetero interface to crystalline silicon than indium oxide.

  8. "Silicon millefeuille": From a silicon wafer to multiple thin crystalline films in a single step

    Science.gov (United States)

    Hernández, David; Trifonov, Trifon; Garín, Moisés; Alcubilla, Ramon

    2013-04-01

    During the last years, many techniques have been developed to obtain thin crystalline films from commercial silicon ingots. Large market applications are foreseen in the photovoltaic field, where important cost reductions are predicted, and also in advanced microelectronics technologies as three-dimensional integration, system on foil, or silicon interposers [Dross et al., Prog. Photovoltaics 20, 770-784 (2012); R. Brendel, Thin Film Crystalline Silicon Solar Cells (Wiley-VCH, Weinheim, Germany 2003); J. N. Burghartz, Ultra-Thin Chip Technology and Applications (Springer Science + Business Media, NY, USA, 2010)]. Existing methods produce "one at a time" silicon layers, once one thin film is obtained, the complete process is repeated to obtain the next layer. Here, we describe a technology that, from a single crystalline silicon wafer, produces a large number of crystalline films with controlled thickness in a single technological step.

  9. Polycystalline silicon thin films for electronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Jaeger, Christian Claus

    2012-01-15

    For the thin polycrystalline Si films fabricated with the aluminium-induced-layer-exchange (ALILE) process a good structural quality up to a layer-thickness value of 10 nm was determined. For 5 nm thick layers however after the layer exchange no closes poly-silicon film was present. In this case the substrate was covered with spherically arranged semiconductor material. Furthermore amorphous contributions in the layer could be determined. The electrical characterization of the samples at room temperature proved a high hole concentration in the range 10{sup 18} cm{sup -3} up to 9.10{sup 19} cm{sup -3}, which is influenced by the process temperature and the layer thickness. Hereby higher hole concentrations at higher process temperatures and thinner films were observed. Furthermore above 150-200 K a thermically activated behaviour of the electrical conductivity was observed. At lower temperatures a deviation of the measured characteristic from the exponential Arrhenius behaviour was determined. For low temperatures (below 20 K) the conductivity follows the behaviour {sigma}{proportional_to}[-(T{sub 0}/T){sup 1/4}]. The hole mobility in the layers was lowered by a passivation step, which can be explained by defect states at the grain boundaries. The for these very thin layers present situation was simulated in the framework of the model of Seto, whereby both the defect states at the grain boundaries (with an area density Q{sub t}) and the defect states at the interfaces (with an area density Q{sub it}) were regarded. By this the values Q{sub t}{approx}(3-4).10{sup 12} cm{sup -2} and Q{sub it}{approx}(2-5).10{sup 12} cm{sup -2} could be determined for these thin ALILE layers on quartz substrates. Additionally th R-ALILE process was studied, which uses the reverse precursor-layer sequence substrate/amorphous silicon/oxide/aluminium. Hereby two steps in the crystallization process of the R-ALILE process were found. First a substrate/Al-Si mixture/poly-Si layer structure

  10. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  11. Electrical properties improvement of multicrystalline silicon solar cells using a combination of porous silicon and vanadium oxide treatment

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2013-01-01

    In this paper, we will report the enhancement of the conversion efficiency of multicrystalline silicon solar cells after coating the front surface with a porous silicon layer treated with vanadium oxide. The incorporation of vanadium oxide into the porous silicon (PS) structure, followed by a thermal treatment under oxygen ambient, leads to an important decrease of the surface reflectivity, a significant enhancement of the effective minority carrier lifetime (τ eff ) and a significant enhancement of the photoluminescence (PL) of the PS structure. We Obtained a noticeable increase of (τ eff ) from 3.11 μs to 134.74 μs and the surface recombination velocity (S eff ) have decreased from 8441 cm s −1 to 195 cm s −1 . The reflectivity spectra of obtained films, performed in the 300–1200 nm wavelength range, show an important decrease of the average reflectivity from 40% to 5%. We notice a significant improvement of the internal quantum efficiency (IQE) in the used multicrystalline silicon substrates. Results are analyzed and compared to those carried out on a reference (untreated) sample. The electrical properties of the treated silicon solar cells were improved noticeably as regard to the reference (untreated) sample.

  12. Oxide films at the nanoscale: new structures, new functions, and new materials.

    Science.gov (United States)

    Giordano, Livia; Pacchioni, Gianfranco

    2011-11-15

    We all make use of oxide ultrathin films, even if we are unaware of doing so. They are essential components of many common devices, such as mobile phones and laptops. The films in these ubiquitous electronics are composed of silicon dioxide, an unsurpassed material in the design of transistors. But oxide films at the nanoscale (typically just 10 nm or less in thickness) are integral to many other applications. In some cases, they form under normal reactive conditions and confer new properties to a material: one example is the corrosion protection of stainless steel, which is the result of a passive film. A new generation of devices for energy production and communications technology, such as ferroelectric ultrathin film capacitors, tunneling magnetoresistance sensors, solar energy materials, solid oxide fuel cells, and many others, are being specifically designed to exploit the unusual properties afforded by reduced oxide thickness. Oxide ultrathin films also have tremendous potential in chemistry, representing a rich new source of catalytic materials. About 20 years ago, researchers began to prepare model systems of truly heterogeneous catalysts based on thin oxide layers grown on single crystals of metal. Only recently, however, was it realized that these systems may behave quite differently from their corresponding bulk oxides. One of the phenomena uncovered is the occurrence of a spontaneous charge transfer from the metal support to an adsorbed species through the thin insulating layer (or vice versa). The importance of this property is clear: conceptually, the activation and bond breaking of adsorbed molecules begin with precisely the same process, electron transfer into an antibonding orbital. But electron transfer can also be harnessed to make a supported metal particle more chemically active, increase its adhesion energy, or change its shape. Most importantly, the basic principles underlying electron transfer and other phenomena (such as structural

  13. Laser process for extended silicon thin film solar cells

    International Nuclear Information System (INIS)

    Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.

    2011-01-01

    We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.

  14. Chemical and Electronic Structure Studies of Refractory and Dielectric Thin Films.

    Science.gov (United States)

    Corneille, Jason Stephen

    This study presents the synthesis and characterization of oxide and refractory thin films under varying conditions. The deposition of the thin films is performed under vacuum conditions. The characterization of the growth, as well as the chemical and electronic properties of the thin films was accomplished using a broad array of surface analytical techniques. These model studies describe the relationship between the preparative processes and the stoichiometry, structure and electronic properties of the film products. From these efforts, the optimal deposition conditions for the production of high quality films have been established. The thin film oxides synthesized and studied here include magnesium oxide, silicon oxide and iron oxide. These oxides were synthesized on a refractory substrate using both post oxidation of thin films as well as reactive vapor deposition of the metals in the presence of an oxygen background. Comparisons and contrasts are presented for the various systems. Metallic magnesium films were grown and characterized as a preliminary study to the synthesis of magnesium oxide. Magnesium oxide (MgO(100)) was synthesized on Mo(100) by evaporating magnesium at a rate of one monolayer per minute in an oxygen background pressure of 1 times 10 ^{-6} Torr at room temperature. The resulting film was found to exhibit spectroscopic characteristics quite similar to those observed for bulk MgO. The acid/base characteristics of the films were studied using carbon monoxide, water and methanol as probe molecules. The film was found to exhibit essentially the same chemical properties as found in analogous powdered catalysts. Silicon dioxide was synthesized by evaporating silicon onto Mo(100) in an oxygen ambient. It is shown that the silicon oxide prepared at room temperature with a silicon deposition rate of {~ }{1.2}A/min and an oxygen pressure of 2 times 10^{ -8} Torr, consisted of predominantly silicon dioxide with a small fraction of suboxides. Annealing to

  15. Silicon-doped hafnium oxide anti-ferroelectric thin films for energy storage

    Science.gov (United States)

    Ali, Faizan; Liu, Xiaohua; Zhou, Dayu; Yang, Xirui; Xu, Jin; Schenk, Tony; Müller, Johannes; Schroeder, Uwe; Cao, Fei; Dong, Xianlin

    2017-10-01

    Motivated by the development of ultracompact electronic devices as miniaturized energy autonomous systems, great research efforts have been expended in recent years to develop various types of nano-structural energy storage components. The electrostatic capacitors characterized by high power density are competitive; however, their implementation in practical devices is limited by the low intrinsic energy storage density (ESD) of linear dielectrics like Al2O3. In this work, a detailed experimental investigation of energy storage properties is presented for 10 nm thick silicon-doped hafnium oxide anti-ferroelectric thin films. Owing to high field induced polarization and slim double hysteresis, an extremely large ESD value of 61.2 J/cm3 is achieved at 4.5 MV/cm with a high efficiency of ˜65%. In addition, the ESD and the efficiency exhibit robust thermal stability in 210-400 K temperature range and an excellent endurance up to 109 times of charge/discharge cycling at a very high electric field of 4.0 MV/cm. The superior energy storage performance together with mature technology of integration into 3-D arrays suggests great promise for this recently discovered anti-ferroelectric material to replace the currently adopted Al2O3 in fabrication of nano-structural supercapacitors.

  16. Transparent conducting oxide contacts and textured metal back reflectors for thin film silicon solar cells

    Science.gov (United States)

    Franken, R. H.-J.

    2006-09-01

    With the growing population and the increasing environmental problems of the 'common' fossil and nuclear energy production, the need for clean and sustainable energy sources is evident. Solar energy conversion, such as in photovoltaic (PV) systems, can play a major role in the urgently needed energy transition in electricity production. At the present time PV module production is dominated by the crystalline wafer technology. Thin film silicon technology is an alternative solar energy technology that operates at lower efficiencies, however, it has several significant advantages, such as the possibility of deposition on cheap (flexible) substrates and the much smaller silicon material consumption. Because of the small thickness of the solar cells, light trapping schemes are needed in order to obtain enough light absorption and current generation. This thesis describes the research on thin film silicon solar cells with the focus on the optimization of the transparent conducting oxide (TCO) layers and textured metal Ag substrate layers for the use as enhanced light scattering back reflectors in n-i-p type of solar cells. First we analyzed ZnO:Al (TCO) layers deposited in an radio frequent (rf) magnetron deposition system equipped with a 7 inch target. We have focused on the improvement of the electrical properties without sacrificing the optical properties by increasing the mobility and decreasing the grain boundary density. Furthermore, we described some of the effects on light trapping of ZnO:Al enhanced back reflectors. The described effects are able to explain the observed experimental data. Furthermore, we present a relation between the surface morphology of the Ag back contact and the current enhancement in microcrystalline (muc-Si:H) solar cells. We show the importance of the lateral feature sizes of the Ag surface on the light scattering and introduce a method to characterize the quality of the back reflector by combining the vertical and lateral feature sizes

  17. Study of the oxidation resistance of ZrxNand ZrxSi1-xN thin films deposited by reactive magnetron sputtering; Estudo da resistencia a oxidacao de filmes finos de ZrxN e ZrxSi1-xN depositados por magnetron sputtering reativo

    Energy Technology Data Exchange (ETDEWEB)

    Fernandez, D.R.; Freitas, F.G.R.; Felix, L.C.; Carvalho, R.G.; Fontes Junior, A.S.; Tentardini, E.K., E-mail: daniel.angel0275@gmail.com [Universidade Federal de Sergipe (UFS), Sao Cristovao, SE (Brazil). Departamento de Ciencia e Engenharia de Materiais; Silva Junior, H. da [Universidade Federal do Rio Grande do Sul (UFRGS), Porto Alegre, RS (Brazil)

    2016-07-01

    The objective of this work is to evaluate the oxidation resistance on pure zirconium nitride thin films and with silicon addition (ZrN and ZrSiN respectively). The thin films deposition were performed using reactive magnetron sputtering. The coatings were characterized by Rutherford Backscattering Spectroscopy (RBS), grazing angle X ray diffraction (GAXRD), scanning electronic microscopy (SEM-FEG) and oxidation tests starting from 500°C to 700°C. This study evaluated thin films with silicon content up to 14,9 at.%. GAXRD results showed only ZrN characteristics peaks, which allow the inference that Si3N4 has an amorphous structure. Oxidation tests demonstrate that the film with highest silicon content shows an increase of 200°C in oxidation temperature when compared with ZrN pure thin film. (author)

  18. Cathodic arc sputtering of functional titanium oxide thin films, demonstrating resistive switching

    Energy Technology Data Exchange (ETDEWEB)

    Shvets, Petr, E-mail: pshvets@innopark.kantiana.ru; Maksimova, Ksenia; Demin, Maxim; Dikaya, Olga; Goikhman, Alexander

    2017-05-15

    The formation of thin films of the different stable and metastable titanium oxide phases is demonstrated by cathode arc sputtering of a titanium target in an oxygen atmosphere. We also show that sputtering of titanium in vacuum yields the formation of titanium silicides on the silicon substrate. The crystal structure of the produced samples was investigated using Raman spectroscopy and X-ray diffraction. We conclude that cathode arc sputtering is a flexible method suitable for producing the functional films for electronic applications. The functionality is verified by the memory effect demonstration, based on the resistive switching in the titanium oxide thin film structure.

  19. Growth of (100)-highly textured BaBiO{sub 3} thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ferreyra, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); Departamento de Física, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 1, Ciudad Universitaria, Buenos Aires (Argentina); Marchini, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); Departamento de Química Inorgánica, Analítica y Química-Física, INQUIMAE-CONICET, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 2, Ciudad Universitaria, Buenos Aires (Argentina); Granell, P. [INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Golmar, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Escuela de Ciencia y Tecnología, UNSAM, Campus Miguelete, 1650 San Martín, Buenos Aires (Argentina); Albornoz, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); and others

    2016-08-01

    We report on the growth and characterization of non-epitaxial but (100)-highly textured BaBiO{sub 3} thin films on silicon substrates. We have found the deposition conditions that optimize the texture, and show that the textured growth is favoured by the formation of a BaO layer at the first growth stages. X-ray diffraction Φ-scans, together with the observation that the same textured growth is found on films grown on Pt and SiO{sub 2} buffered Si, demonstrate the absence of epitaxy. Finally, we have shown that our (100)-oriented BaBiO{sub 3} films can be used as suitable buffers for the growth of textured heterostructures on silicon, which could facilitate the integration of potential devices with standard electronics. - Highlights: • BaBiO{sub 3} thin films were grown on Si substrates and characterized. • Films prepared using optimized conditions are highly textured in the (100) direction. • The absence of in-plane texture was demonstrated by X-ray diffraction. • Our films are suitable buffers for the growth of (100)-textured oxide heterostructures.

  20. Adsorbate-modified growth of ultrathin rare-earth oxide films on silicon and complementary studies of cerium oxide on ruthenium; Adsorbat-modifiziertes Wachstum ultraduenner Seltenerdoxid-Filme auf Silizium und komplementaere Studien von Ceroxid auf Ruthenium

    Energy Technology Data Exchange (ETDEWEB)

    Kaemena, Bjoern

    2013-11-27

    Rare-earth oxides (REOx) are extensively investigated due to their extraordinary physical and chemical properties, which essentially arise from the unfilled 4f electron shell, in order to reveal the nature of these exceptional properties and ultimately to utilize them for multiple technological applications. To maintain the exponential increase in integration density in CMOS technology, which is also known as Moore s law, there is a strong desire for ultrathin, well-ordered, epitaxial REOx layers with a precisely engineered interface, which is essential for reliable, ultrahigh-performance devices. So far this has been considerably impeded by RE-promoted silicon oxidation, leading to amorphous silicon oxide and RE silicon formation. By using complementary synchrotron radiation methods such as X-ray standing waves (XSW), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD), structural and spectroscopic information are inferred simultaneously from ultrathin ceria and lanthana films grown on chlorine, silver and gallium passivated silicon(111). In general, it is revealed that the chemical and structural composition of the interface and the crystallinity of ultrathin REOx layers on silicon can be precisely controlled by adsorbate-mediated growth. This might represent a crucial step towards a perfectly engineered interface, eventually allowing for the integration of REOx as high-k gate oxides in microelectronics. In catalysis inverse model catalysts are studied with the aim of getting an in-depth understanding of the basic principles of catalysis. These model systems are employed to study, e. g., the nature of active sites and the reaction pathways in complex catalytic converters. However, a lot remains unknown about the chemical activity and selectivity as a function of the growth mechanism, structure and morphology of these model systems. The powerful spectroscopic photoemission and low-energy electron microscope, which is able to reveal the surface

  1. Damp heat stability and annealing behavior of aluminum doped zinc oxide films prepared by magnetron sputtering

    International Nuclear Information System (INIS)

    Tohsophon, T.; Huepkes, J.; Calnan, S.; Reetz, W.; Rech, B.; Beyer, W.; Sirikulrat, N.

    2006-01-01

    The damp heat stability and subsequent vacuum annealing behavior of aluminum doped zinc oxide (AZO) films was investigated using Hall effect measurements, X-ray diffraction (XRD) and optical spectrometry techniques. The AZO films were deposited on glass or silicon wafers using reactive and non-reactive magnetron sputtering from metallic and ceramic targets, respectively. Additionally, we characterized surface textured AZO films, which are used as light scattering transparent conductive oxide (TCO) in silicon thin film solar cells. For all films a degradation of the electrical film properties was found after the damp heat treatment. For thick compact films, with large grain size, only a small increase in the electrical resistivity was observed, whereas less compact films prepared at high deposition pressures or very thin films (< 300 nm) showed an increase in resistivity by up to a factor of three already after 300 h. The conductivity degradation during damp heat treatment could be largely reversed by annealing in vacuum. However, annealing temperatures of at least 150 deg. C were required. Possible mechanisms explaining the experimental results are discussed

  2. Thick-film effects in the oxidation and hydriding of zirconium alloys

    International Nuclear Information System (INIS)

    Johnson, A.B. Jr.

    1989-08-01

    One of the fundamental discoveries involving radiation effects on the oxidation of Zircaloy in low-oxygen aqueous environments is the influence of thick oxide films. Zircaloy oxidation rates in low-oxygen (hydrogen-rich) coolants initially proceed at relatively low rates, often almost uninfluenced by radiation. Marked upturns in oxidation rate have signaled the onset of radiation effects. The radiation effects appear to correlate with a threshold oxide thickness. Results of the test reactor experiments lead to formulation of the Thick-Film Hypothesis: beyond a threshold oxide thickness, radiolysis of water that infiltrates oxide cracks and pores controls the oxidation rate; radiation creates microenvironments inside the oxide film, producing highly oxidizing conditions, that are no longer suppressed by the coolant-borne hydrogen. Upturns in oxidation rate on high-exposure Zircaloy pressure tubes add confirmatory evidence for the thick-film effect. This paper summarizes the early evidence for thick-film behavior, including oxidation and hydriding trends, updates confirmatory evidence from Zircaloy reactor and fuel assembly components, and highlights other observations from the test reactor series that have potential fundamental significance to explanations of radiation effects on Zircaloy. 23 refs., 10 figs

  3. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  4. Modification of Light Emission in Si-Rich Silicon Nitride Films Versus Stoichiometry and Excitation Light Energy

    Science.gov (United States)

    Torchynska, T.; Khomenkova, L.; Slaoui, A.

    2018-04-01

    Si-rich SiN x films with different stoichiometry were grown on Si substrate by plasma-enhanced chemical vapor deposition. The Si content was varied by changing the NH3/SiH4 gas flow ratio from 0.45 up to 1.0. Conventional furnace annealing at 1100°C for 30 min was applied to produce the Si quantum dots (QDs) in the SiN x films. Spectroscopic ellipsometry was used to determine the refractive index of the SiN x films that allowed estimating the film's stoichiometry. Fourier transform infrared spectroscopy has been also used to confirm the stoichiometry and microstructure. Photoluminescence (PL) spectra of Si-rich SiN x films are complex. A non-monotonous variation of the different PL peaks versus Si excess contents testifies to the competition of different radiative channels. The analysis of PL spectra, measured at the different excitation light energies and variable temperatures, has revealed that the PL bands with the peaks within the range 2.1-3.0 eV are related to the carrier recombination via radiative native defects in the SiN x host. Simultaneously, the PL bands with the peaks at 1.5-2.0 eV are caused by the exciton recombination in the Si QDs of different sizes. The way to control the SiN x emission is discussed.

  5. Directed dewetting of amorphous silicon film by a donut-shaped laser pulse

    International Nuclear Information System (INIS)

    Yoo, Jae-Hyuck; Zheng, Cheng; Grigoropoulos, Costas P; In, Jung Bin; Sakellari, Ioanna; Raman, Rajesh N; Matthews, Manyalibo J; Elhadj, Selim

    2015-01-01

    Irradiation of a thin film with a beam-shaped laser is proposed to achieve site-selectively controlled dewetting of the film into nanoscale structures. As a proof of concept, the laser-directed dewetting of an amorphous silicon thin film on a glass substrate is demonstrated using a donut-shaped laser beam. Upon irradiation of a single laser pulse, the silicon film melts and dewets on the substrate surface. The irradiation with the donut beam induces an unconventional lateral temperature profile in the film, leading to thermocapillary-induced transport of the molten silicon to the center of the beam spot. Upon solidification, the ultrathin amorphous silicon film is transformed to a crystalline silicon nanodome of increased height. This morphological change enables further dimensional reduction of the nanodome as well as removal of the surrounding film material by isotropic silicon etching. These results suggest that laser-based dewetting of thin films can be an effective way for scalable manufacturing of patterned nanostructures. (paper)

  6. Directed dewetting of amorphous silicon film by a donut-shaped laser pulse.

    Science.gov (United States)

    Yoo, Jae-Hyuck; In, Jung Bin; Zheng, Cheng; Sakellari, Ioanna; Raman, Rajesh N; Matthews, Manyalibo J; Elhadj, Selim; Grigoropoulos, Costas P

    2015-04-24

    Irradiation of a thin film with a beam-shaped laser is proposed to achieve site-selectively controlled dewetting of the film into nanoscale structures. As a proof of concept, the laser-directed dewetting of an amorphous silicon thin film on a glass substrate is demonstrated using a donut-shaped laser beam. Upon irradiation of a single laser pulse, the silicon film melts and dewets on the substrate surface. The irradiation with the donut beam induces an unconventional lateral temperature profile in the film, leading to thermocapillary-induced transport of the molten silicon to the center of the beam spot. Upon solidification, the ultrathin amorphous silicon film is transformed to a crystalline silicon nanodome of increased height. This morphological change enables further dimensional reduction of the nanodome as well as removal of the surrounding film material by isotropic silicon etching. These results suggest that laser-based dewetting of thin films can be an effective way for scalable manufacturing of patterned nanostructures.

  7. Mobility enhancement in crystalline In-Ga-Zn-oxide with In-rich compositions

    Energy Technology Data Exchange (ETDEWEB)

    Tsutsui, Kazuhiro; Matsubayashi, Daisuke; Ishihara, Noritaka; Takasu, Takako; Matsuda, Shinpei; Yamazaki, Shunpei [Semiconductor Energy Laboratory Co., Ltd., 398 Hase, Atsugi-shi 243-0036, Kanagawa (Japan)

    2015-12-28

    The electron mobility of In-Ga-Zn-oxide (IGZO) is known to be enhanced by higher In content. We theoretically investigated the mobility-enhancement mechanism by proposing an In-Ga-Zn-disorder scattering model for an In-rich crystalline IGZO (In{sub 1+x}Ga{sub 1−x}O{sub 3}(ZnO){sub m} (0 < x < 1, m > 0)) thin film. The obtained theoretical mobility was found to be in agreement with experimental Hall mobility for a crystalline In{sub 1.5}Ga{sub 0.5}O{sub 3}(ZnO) (or In{sub 3}GaZn{sub 2}O{sub 8}) thin film. The mechanism specific to In-rich crystalline IGZO thin films is based on three types of Coulomb scattering potentials that originate from effective valence differences. In this study, the In-Ga-Zn-disorder scattering model indicates that the effective valence of the In{sup 3+} ions in In-rich crystalline IGZO thin films significantly affects their electron mobility.

  8. Self-cleaning glass coating containing titanium oxide and silicon

    International Nuclear Information System (INIS)

    Araujo, A.O. de; Alves, A.K.; Berutti, F.A.; Bergmann, C.P.

    2009-01-01

    Using the electro spinning technique nano fibers of titanium oxide doped with silicon were synthesized. As precursor materials, titanium propoxide, silicon tetra propoxide and a solution of polyvinylpyrrolidone were used. The non-tissue material obtained was characterized by X-ray diffraction to determine the phase and crystallite size, BET method to determine the surface and SEM to analyze the microstructure of the fibers. After ultrasound dispersion of this material in ethanol, the glass coatings were made by dip-coating methodology. The influence of the removal velocity, the solution composition and the glass surface preparation were evaluated. The film was characterized by the contact angle of a water droplet in its surface. (author)

  9. Carrier mobilities in microcrystalline silicon films

    International Nuclear Information System (INIS)

    Bronger, T.; Carius, R.

    2007-01-01

    For a better understanding of electronic transport mechanisms in thin-film silicon solar cell quality films, we have investigated the Hall mobility for electrons in microcrystalline/amorphous silicon over a range of crystallinities and doping concentrations. We find that Hall mobility increases with increasing doping concentration in accordance with earlier measurements. With increasing amorphous fraction, the measured mobility decreases suggesting a negative influence of the additional disorder. The results suggest a differential mobility model in which mobility depends on the energy level of the carriers that contribute to the electrical current

  10. Annealing effects on photoluminescence of SiNx films grown by PECVD

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Milchanin, O.V.; Togambayeva, A.K.; Kovalchuk, N.S.

    2013-01-01

    Si-rich and N-rich silicon nitride films were deposited at low temperature 300 °C by using plasma-enhanced chemical vapor deposition (PECVD). The optical and structural properties of these films have been investigated by ellipsometry, Rutherford backscattering (RBS), transmission electron microscopy (TEM), Raman spectroscopy (RS) and photoluminescence (PL). The formation of silicon clusters in both Si-rich and N-rich silicon nitride films after annealing at 900 °C and 1000 °C for hour in N 2 ambient has been revealed by TEM. Dependency of PL spectra on stoichiometry and post-annealing temperature was analyzed. The contribution of Si and N-related defects in emitting properties of Si-rich and N-rich SiN x has been discussed. (authors)

  11. Galvanic corrosion of structural non-stoichiometric silicon nitride thin films and its implications on reliability of microelectromechanical devices

    Energy Technology Data Exchange (ETDEWEB)

    Broas, M., E-mail: mikael.broas@aalto.fi; Mattila, T. T.; Paulasto-Kröckel, M. [Department of Electrical Engineering and Automation, Aalto University, Espoo, P.O. Box 13500, FIN-00076 Aalto (Finland); Liu, X.; Ge, Y. [Department of Materials Science and Engineering, Aalto University, Espoo, P.O. Box 16200, FIN-00076 Aalto (Finland)

    2015-06-28

    This paper describes a reliability assessment and failure analysis of a poly-Si/non-stoichiometric silicon nitride thin film composite structure. A set of poly-Si/SiN{sub x} thin film structures were exposed to a mixed flowing gas (MFG) environment, which simulates outdoor environments, for 90 days, and an elevated temperature and humidity (85 °C/95% R.H.) test for 140 days. The mechanical integrity of the thin films was observed to degrade during exposure to the chemically reactive atmospheres. The degree of degradation was analyzed with nanoindentation tests. Statistical analysis of the forces required to initiate a fracture in the thin films indicated degradation due to the exposure to the MFG environment in the SiN{sub x} part of the films. Scanning electron microscopy revealed a porous-like reaction layer on top of SiN{sub x}. The morphology of the reaction layer resembled that of galvanically corroded poly-Si. Transmission electron microscopy further clarified the microstructure of the reaction layer which had a complex multi-phase structure extending to depths of ∼100 nm. Furthermore, the layer was oxidized two times deeper in a 90 days MFG-tested sample compared to an untested reference. The formation of the layer is proposed to be caused by galvanic corrosion of elemental silicon in non-stoichiometric silicon nitride during hydrofluoric acid etching. The degradation is proposed to be due uncontrolled oxidation of the films during the stress tests.

  12. Properties of Co-deposited indium tin oxide and zinc oxide films using a bipolar pulse power supply and a dual magnetron sputter source

    International Nuclear Information System (INIS)

    Hwang, Man-Soo; Seob Jeong, Heui; Kim, Won Mok; Seo, Yong Woon

    2003-01-01

    Multilayer coatings consisting of metal layers sandwiched between transparent conducting oxide layers are widely used for flat panel display electrodes and electromagnetic shield coatings for plasma displays, due to their high electrical conductivity and light transmittance. The electrical and optical properties of these multilayer films depend largely on the surface characteristics of the transparent conducting oxide thin films. A smoother surface on the transparent conducting oxide thin films makes it easier for the metal layer to form a continuous film, thus resulting in a higher conductivity and visible light transmittance. Indium tin oxide (ITO) and zinc oxide (ZnO) films were co-deposited using a dual magnetron sputter and a bipolar pulse power supply to decrease the surface roughness of the transparent conducting oxide films. The symmetric pulse mode of the power supply was used to simultaneously sputter an In 2 O 3 (90 wt %) : SnO 2 (10 wt %) target and a ZnO target. We varied the duty of the pulses to control the ratio of ITO : ZnO in the thin films. The electrical and optical properties of the films were studied, and special attention was paid to the surface roughness and the crystallinity of the films. By co-depositing ITO and ZnO at a pulse duty ratio of ITO:ZnO=45:45 using a dual magnetron sputter and a bipolar pulse power supply, we were able to obtain amorphous transparent conducting oxide films with a very smooth surface which had a Zn-rich buffer layer under a In-rich surface layer. All of the films exhibited typical electrical and optical properties of transparent conducting oxide films deposited at room temperature

  13. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    Energy Technology Data Exchange (ETDEWEB)

    Derbali, L., E-mail: rayan.slat@yahoo.fr [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia); Ezzaouia, H. [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia)

    2012-08-01

    Highlights: Black-Right-Pointing-Pointer Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. Black-Right-Pointing-Pointer An efficient surface passivation can be obtained after thermal treatment of obtained films. Black-Right-Pointing-Pointer Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 Degree-Sign C. Vanadium pentoxide (V{sub 2}O{sub 5}) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 Degree-Sign C and 800 Degree-Sign C, under O{sub 2} atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  14. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2012-01-01

    Highlights: ► Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. ► An efficient surface passivation can be obtained after thermal treatment of obtained films. ► Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 °C. Vanadium pentoxide (V 2 O 5 ) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 °C and 800 °C, under O 2 atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  15. Thermal oxidation effect on structural and optical properties of heavily doped phosphorus polycrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Birouk, B.; Madi, D. [Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Cite Ouled Aissa, BP 98, Jijel (Algeria)

    2011-08-15

    The study reported in this paper contributes to better understanding the thermal oxidation effect on structural and optical properties of polycrystalline silicon heavily in situ P-LPCVD films. The deposits, doped at levels 3 x 10{sup 19} and 1.6 x 10{sup 20} cm{sup -3}, have been elaborated from silane decomposition (400 mTorrs, 605 C) on monosilicon substrate oriented left angle 111 right angle. The thermal oxidation was performed at temperatures: 850 C during 1 hour, 1000, 1050, and 1100 C during 15 minutes. The XRD spectra analysis pointed out significant left angle 111 right angle texture evolution, while in the case of left angle 220 right angle and left angle 311 right angle textures, the intensities are practically invariant (variations fall in the uncertainty intervals). The optical characterizations showed that refractive index and absorption coefficient are very sensitive to the oxidation treatment, mainly when the doping level is not very high. We think that atomic oxygen acts as defects passivating agent leading to carriers' concentration increasing. Besides, the optical behavior is modeled in visible and near infrared, by a seven-term polynomial function n {sup 2}=f({lambda} {sup 2}), with alternate signs, instead of theoretically unlimited terms number from Drude's model. It has been shown that fitting parameters fall on Gaussian curves like they do in the theoretical model. (orig.)

  16. Complex dewetting scenarios of ultrathin silicon films for large-scale nanoarchitectures.

    Science.gov (United States)

    Naffouti, Meher; Backofen, Rainer; Salvalaglio, Marco; Bottein, Thomas; Lodari, Mario; Voigt, Axel; David, Thomas; Benkouider, Abdelmalek; Fraj, Ibtissem; Favre, Luc; Ronda, Antoine; Berbezier, Isabelle; Grosso, David; Abbarchi, Marco; Bollani, Monica

    2017-11-01

    Dewetting is a ubiquitous phenomenon in nature; many different thin films of organic and inorganic substances (such as liquids, polymers, metals, and semiconductors) share this shape instability driven by surface tension and mass transport. Via templated solid-state dewetting, we frame complex nanoarchitectures of monocrystalline silicon on insulator with unprecedented precision and reproducibility over large scales. Phase-field simulations reveal the dominant role of surface diffusion as a driving force for dewetting and provide a predictive tool to further engineer this hybrid top-down/bottom-up self-assembly method. Our results demonstrate that patches of thin monocrystalline films of metals and semiconductors share the same dewetting dynamics. We also prove the potential of our method by fabricating nanotransfer molding of metal oxide xerogels on silicon and glass substrates. This method allows the novel possibility of transferring these Si-based patterns on different materials, which do not usually undergo dewetting, offering great potential also for microfluidic or sensing applications.

  17. Relative SHG measurements of metal thin films: Gold, silver, aluminum, cobalt, chromium, germanium, nickel, antimony, titanium, titanium nitride, tungsten, zinc, silicon and indium tin oxide

    Directory of Open Access Journals (Sweden)

    Franklin Che

    Full Text Available We have experimentally measured the surface second-harmonic generation (SHG of sputtered gold, silver, aluminum, zinc, tungsten, copper, titanium, cobalt, nickel, chromium, germanium, antimony, titanium nitride, silicon and indium tin oxide thin films. The second-harmonic response was measured in reflection using a 150 fs p-polarized laser pulse at 1561 nm. We present a clear comparison of the SHG intensity of these films relative to each other. Our measured relative intensities compare favorably with the relative intensities of metals with published data. We also report for the first time to our knowledge the surface SHG intensity of tungsten and antimony relative to that of well known metallic thin films such as gold and silver. Keywords: Surface second-harmonic generation, Nonlinear optics, Metal thin films

  18. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B

    2003-04-15

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T{sub S}=450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal {beta}-MoSi{sub 2} could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet.

  19. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    International Nuclear Information System (INIS)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B.

    2003-01-01

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T S =450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal β-MoSi 2 could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet

  20. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Science.gov (United States)

    Shibuya, Keisuke; Sawa, Akihito

    2015-10-01

    We systematically examined the effects of the substrate temperature (TS) and the oxygen pressure (PO2) on the structural and optical properties polycrystalline V O2 films grown directly on Si(100) substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal-insulator transition (MIT) temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  1. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Directory of Open Access Journals (Sweden)

    Keisuke Shibuya

    2015-10-01

    Full Text Available We systematically examined the effects of the substrate temperature (TS and the oxygen pressure (PO2 on the structural and optical properties polycrystalline V O2 films grown directly on Si(100 substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal–insulator transition (MIT temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  2. High-stability transparent amorphous oxide TFT with a silicon-doped back-channel layer

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hyoung-Rae; Park, Jea-Gun [Hanyang University, Seoul (Korea, Republic of)

    2014-10-15

    We significantly reduced various electrical instabilities of amorphous indium gallium zinc oxide thin-film transistors (TFTs) by using the co-deposition of silicon on an a-IGZO back channel. This process showed improved stability of the threshold voltage (V{sub th}) under high temperature and humidity and negative gate-bias illumination stress (NBIS) without any reduction of IDS. The enhanced stability was achieved with silicon, which has higher metal-oxide bonding strengths than gallium does. Additionally, SiO{sub x} distributed on the a-IGZO surface reduced the adsorption and the desorption of H{sub 2}O and O{sub 2}. This process is applicable to the TFT manufacturing process with a variable sputtering target.

  3. Low-power bacteriorhodopsin-silicon n-channel metal-oxide field-effect transistor photoreceiver.

    Science.gov (United States)

    Shin, Jonghyun; Bhattacharya, Pallab; Yuan, Hao-Chih; Ma, Zhenqiang; Váró, György

    2007-03-01

    A bacteriorhodopsin (bR)-silicon n-channel metal-oxide field-effect transistor (NMOSFET) monolithically integrated photoreceiver is demonstrated. The bR film is selectively formed on an external gate electrode of the transistor by electrophoretic deposition. A modified biasing circuit is incorporated, which helps to match the resistance of the bR film to the input impedance of the NMOSFET and to shift the operating point of the transistor to coincide with the maximum gain. The photoreceiver exhibits a responsivity of 4.7 mA/W.

  4. Subsurface oxidation for micropatterning silicon (SOMS).

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Davis, Robert C; Linford, Matthew R

    2009-02-03

    Here we present a straightforward patterning technique for silicon: subsurface oxidation for micropatterning silicon (SOMS). In this method, a stencil mask is placed above a silicon surface. Radio-frequency plasma oxidation of the substrate creates a pattern of thicker oxide in the exposed regions. Etching with HF or KOH produces very shallow or much higher aspect ratio features on silicon, respectively, where patterning is confirmed by atomic force microscopy, scanning electron microscopy, and optical microscopy. The oxidation process itself is studied under a variety of reaction conditions, including higher and lower oxygen pressures (2 and 0.5 Torr), a variety of powers (50-400 W), different times and as a function of reagent purity (99.5 or 99.994% oxygen). SOMS can be easily executed in any normal chemistry laboratory with a plasma generator. Because of its simplicity, it may have industrial viability.

  5. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  6. Film thickness determining method of the silicon isotope superlattices by SIMS

    International Nuclear Information System (INIS)

    Takano, Akio; Shimizu, Yasuo; Itoh, Kohei M.

    2008-01-01

    It is becoming important to evaluate silicon self-diffusion with progress of a silicon semiconductor industry. In order to evaluate the self-diffusion of silicon, silicon isotope superlattices (SLs) is the only marker. For this reason, it is important to correctly evaluate a film thickness and a depth distribution of isotope SLs by secondary ion mass spectrometry (SIMS). As for film thickness, it is difficult to estimate the thicknesses correctly if the cycles of SLs are short. In this work, first, we report the determination of the film thickness for short-period SLs using mixing roughness-information (MRI) analysis to SIMS profile. Next, the uncertainty of the conventional method to determine the film thicknesses of SLs is determined. It was found that the conventional methods cannot correctly determine film thickness of short-period-isotope SLs where film thickness differs for every layer

  7. Post-growth annealing of zinc oxide thin films pulsed laser deposited under enhanced oxygen pressure on quartz and silicon substrates

    International Nuclear Information System (INIS)

    Rusop, M.; Uma, K.; Soga, T.; Jimbo, T.

    2006-01-01

    Zinc oxide (ZnO) thin films have been prepared by pulsed laser deposition (PLD) technique at room temperature on quartz and single crystal silicon (1 0 0) substrates. The oxygen ambient gas pressure was attained at 6 Torr during the deposition. The deposited films were post-growth annealed in air at various annealing temperatures for 30 min. The X-ray diffraction (XRD), optical and electrical properties have been measured to study the properties of the films as a function of annealing temperatures. XRD has shown the strength of (0 0 2) peak increases and FWHM value decreases as the annealing temperatures increases from 200 to 600 deg. C. The post-growth annealed at 600 deg. C show dominant c-axis oriented hexagonal wurtize crystal structure and exhibit high average transmittance about 85% in the visible region and very sharp absorption edge at 376 nm with energy band gap of approximately 3.46 eV. Electrical measurement indicates the resistivity decreases with the annealing temperatures up to 600 deg. C, after which it increases with higher annealing temperatures at 800 deg. C. The complex of oxygen vacancy in the ZnO films may be the source of low conductivity in undoped ZnO films

  8. Silicon-integrated thin-film structure for electro-optic applications

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick Joseph

    2000-01-01

    A crystalline thin-film structure suited for use in any of an number of electro-optic applications, such as a phase modulator or a component of an interferometer, includes a semiconductor substrate of silicon and a ferroelectric, optically-clear thin film of the perovskite BaTiO.sub.3 overlying the surface of the silicon substrate. The BaTiO.sub.3 thin film is characterized in that substantially all of the dipole moments associated with the ferroelectric film are arranged substantially parallel to the surface of the substrate to enhance the electro-optic qualities of the film.

  9. Diamond deposition on siliconized stainless steel

    International Nuclear Information System (INIS)

    Alvarez, F.; Reinoso, M.; Huck, H.; Rosenbusch, M.

    2010-01-01

    Silicon diffusion layers in AISI 304 and AISI 316 type stainless steels were investigated as an alternative to surface barrier coatings for diamond film growth. Uniform 2 μm thick silicon rich interlayers were obtained by coating the surface of the steels with silicon and performing diffusion treatments at 800 deg. C. Adherent diamond films with low sp 2 carbon content were deposited on the diffused silicon layers by a modified hot filament assisted chemical vapor deposition (HFCVD) method. Characterization of as-siliconized layers and diamond coatings was performed by energy dispersive X-ray analysis, scanning electron microscopy, X-ray diffraction and Raman spectroscopy.

  10. Heat treatable indium tin oxide films deposited with high power pulse magnetron sputtering

    International Nuclear Information System (INIS)

    Horstmann, F.; Sittinger, V.; Szyszka, B.

    2009-01-01

    In this study, indium tin oxide (ITO) films were prepared by high power pulse magnetron sputtering [D. J. Christie, F. Tomasel, W. D. Sproul, D. C. Carter, J. Vac. Sci. Technol. A, 22 (2004) 1415. ] without substrate heating. The ITO films were deposited from a ceramic target at a deposition rate of approx. 5.5 nm*m/min kW. Afterwards, the ITO films were covered with a siliconoxynitride film sputtered from a silicon alloy target in order to prevent oxidation of the ITO film during annealing at 650 deg. C for 10 min in air. The optical and electrical properties as well as the texture and morphology of these films were investigated before and after annealing. Mechanical durability of the annealed films was evaluated at different test conditions. The results were compared with state-of-the art ITO films which were obtained at optimized direct current magnetron sputtering conditions

  11. Aluminium oxide barrier films on polymeric web and their conversion for packaging applications

    OpenAIRE

    Struller, CF; Kelly, PJ; Copeland, NJ; Tobin, V; Assender, HE; Holliday, CW; Read, SJ

    2013-01-01

    In recent years, inorganic transparent barrier layers such as aluminium oxide or silicon oxide deposited onto polymer films have emerged as an attractive alternative to polymer based transparent barrier layers for flexible food packaging materials. For this application, barrier properties against water vapour and oxygen are critical. Aluminium oxide coatings can provide good barrier levels at thicknesses in the nanometre range, compared to several micrometres for polymer-based barrier layers....

  12. Studies of the composition, tribology and wetting behavior of silicon nitride films formed by pulsed reactive closed-field unbalanced magnetron sputtering

    International Nuclear Information System (INIS)

    Yao, Zh.Q.; Yang, P.; Huang, N.; Wang, J.; Wen, F.; Leng, Y.X.

    2006-01-01

    Silicon nitride films were formed by pulsed reactive closed-field unbalanced magnetron sputtering of high purity Si targets in an Ar-N 2 mixture. The effects of N 2 fraction on the chemical composition, and tribological and wetting behaviors were investigated. The films deposited at a high N 2 fraction were consistently N-rich. The surface microstructure changed from continuous granular surrounded by tiny void regions to a homogeneous and dense microstructure, and densitied as the N 2 fraction is increased. The as-deposited films have a relatively low friction coefficient and better wear resistance than 316L stainless steel under dry sliding friction and experienced only abrasive wear. The decreased surface roughness and increased nitrogen incorporation in the film give rise to increased contact angle with double-stilled water from 24 deg. to 49.6 deg. To some extent, the silicon nitride films deposited are hydrophilic in nature

  13. Synthesis and corrosion properties of silicon nitride films by ion beam assisted deposition

    Science.gov (United States)

    Baba, K.; Hatada, R.; Emmerich, R.; Enders, B.; Wolf, G. K.

    1995-12-01

    Silicon nitride films SiN x were deposited on 316L austenitic stainless steel substrates by silicon evaporation and simultaneous nitrogen ion irradiation with an acceleration voltage of 2 kV. In order to study the influence of the nitrogen content on changes in stoichiometry, structure, morphology, thermal oxidation behaviour and corrosion behaviour, the atom to ion transport ratio was systematically varied. The changes of binding states and the stoichiometry were evaluated with XPS and AES analysis. A maximum nitrogen content was reached with a {Si}/{N} transport ratio lower than 2. The films are chemically inert when exposed to laboratory atmosphere up to a temperature of more than 1000°C. XRD and SEM measurements show amorphous and featureless films for transport ratios {Si}/{N} from 1 up to 10. The variation of the corrosion behaviour of coated stainless steel substrates in sulphuric acid and hydrochloric acid shows a minimum at medium transport ratios. This goes parallel with changes in porosity and adhesion. Additional investigations showed that titanium implantation as an intermediate step improves the corrosion resistance considerably.

  14. Annealing effects on the structural and optical properties of vanadium oxide film obtained by the hot-filament metal oxide deposition technique (HFMOD)

    Energy Technology Data Exchange (ETDEWEB)

    Scarminio, Jair; Silva, Paulo Rogerio Catarini da, E-mail: scarmini@uel.br, E-mail: prcsilva@uel.br [Universidade Estadual de Londrina (UEL), PR (Brazil). Departamento de Fisica; Gelamo, Rogerio Valentim, E-mail: rogelamo@gmail.com [Universidade Federal do Triangulo Mineiro (UFTM), Uberaba, MG (Brazil); Moraes, Mario Antonio Bica de, E-mail: bmoraes@mailhost.ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), SP (Brazil)

    2017-01-15

    Vanadium oxide films amorphous, nonstoichiometric and highly absorbing in the optical region were deposited on ITO-coated glass and on silicon substrates, by the hot-filament metal oxide deposition technique (HFMOD) and oxidized by ex-situ annealing in a furnace at 200, 300, 400 and 500 deg C, under an atmosphere of argon and rarefied oxygen. X-ray diffraction, Raman and Rutherford backscattering spectroscopy as well as optical transmission were employed to characterize the amorphous and annealed films. When annealed at 200 and 300 deg C the as-deposited opaque films become transparent but still amorphous. Under treatments at 400 and 500 deg C a crystalline nonstoichiometric V{sub 2}O{sub 5} structure is formed. All the annealed films became semiconducting, with their optical absorption coefficients changing with the annealing temperature. An optical gap of 2.25 eV was measured for the films annealed at 400 and 500 deg C. The annealing in rarefied oxygen atmosphere proved to be a useful and simple ex-situ method to modulate the structural and optical properties of vanadium oxide films deposited by HFMOD technique. This technique could be applied to other amorphous and non-absorbing oxide films, replacing the conventional and sometimes expensive method of modulate desirable film properties by controlling the film deposition parameters. Even more, the HFMOD technique can be an inexpensive alternative to deposit metal oxide films. (author)

  15. Use of porous silicon to minimize oxidation induced stacking fault defects in silicon

    International Nuclear Information System (INIS)

    Shieh, S.Y.; Evans, J.W.

    1992-01-01

    This paper presents methods for minimizing stacking fault defects, generated during oxidation of silicon, include damaging the back of the wafer or depositing poly-silicon on the back. In either case a highly defective structure is created and this is capable of gettering either self-interstitials or impurities which promote nucleation of stacking fault defects. A novel method of minimizing these defects is to form a patch of porous silicon on the back of the wafer by electrochemical etching. Annealing under inert gas prior to oxidation may then result in the necessary gettering. Experiments were carried out in which wafers were subjected to this treatment. Subsequent to oxidation, the wafers were etched to remove oxide and reveal defects. The regions of the wafer adjacent to the porous silicon patch were defect-free, whereas remote regions had defects. Deep level transient spectroscopy has been used to examine the gettering capability of porous silicon, and the paper discusses the mechanism by which the porous silicon getters

  16. Magnetic oxide heterostructures. EuO on cubic oxides and on silicon

    International Nuclear Information System (INIS)

    Caspers, Christian

    2013-01-01

    In the thesis at hand, we explore fundamental properties of ultrathin europium oxide (EuO) films. EuO is a model system of a localized 4f Heisenberg ferromagnet, in which the ferromagnetic coupling. provided a high crystalline quality. can be tuned by biaxial lattice strain. Moreover, the magnetic oxide EuO is perfectly suited as a spin-functional tunnel contact for silicon spintronics. However, up to now a challenging bulk and interface chemistry of EuO and Si has hampered a seamless integration into functional silicon heterostructures. In order to investigate fundamental aspects of the magnetic and electronic structure of ultrathin EuO, in the first part of this thesis, we synthesize EuO thin films on conductive YSZ substrates from bulklike thicknesses down to one nanometer by oxide molecular beam epitaxy (MBE). The EuO thin films are of textbook-like single-crystalline quality, and show bulk-like magnetic properties. We control the stoichiometry of buried EuO thin films by hard X-ray photoemission spectroscopy (HAXPES); even a 1 nm ultrathin EuO film exhibits no valence change or interface shifts. Furthermore, we conduct an advanced magnetic characterization by the magnetic circular dichroism (MCD) of Eu core-levels in photoemission, this gives us insight into the intra-atomic exchange coupling of EuO thin films. The MCD reveals large asymmetries of up to 49% in the well-resolved Eu 4d photoemission multiplet. Thus, ultrathin EuO coherently grown on conductive YSZ allows us to explore fundamental magnetic and electronic properties of a 4f magnetic oxide. Biaxial lateral strain applied to single-crystalline EuO is of fundamental interest, since it alters the electronic structure and magnetic coupling in a controlled way. We apply +4.2% tensile biaxial strain to EuO by epitaxial EuO/LaAlO 3 (100) heterostructures. EuO seamlessly adapts the lateral lattice parameter of LaAlO 3 , while the perpendicular parameter of EuO is the unchanged EuO bulk value, thus the

  17. Inhibitory Effect Evaluation of Glycerol-Iron Oxide Thin Films on Methicillin-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    C. L. Popa

    2015-01-01

    Full Text Available The main purpose of this study was to evaluate the inhibitory effect of glycerol- iron oxide thin films on Methicillin-Resistant Staphylococcus aureus (MRSA. Our results suggest that glycerol-iron oxide thin films could be used in the future for various biomedical and pharmaceutical applications. The glycerol-iron oxide thin films have been deposited by spin coating method on a silicon (111 substrate. The structural properties have been studied by X-ray diffraction (XRD and scanning electron spectroscopy (SEM. The XRD investigations of the prepared thin films demonstrate that the crystal structure of glycerol-iron oxide nanoparticles was not changed after spin coating deposition. On the other hand, the SEM micrographs suggest that the size of the glycerol-iron oxide microspheres increased with the increase of glycerol exhibiting narrow size distributions. The qualitative depth profile of glycerol-iron oxide thin films was identified by glow discharge optical emission spectroscopy (GDOES. The GDOES spectra revealed the presence of the main elements: Fe, O, C, H, and Si. The antimicrobial activity of glycerol-iron oxide thin films was evaluated by measuring the zone of inhibition. After 18 hours of incubation at 37°C, the diameters of the zones of complete inhibition have been measured obtaining values around 25 mm.

  18. Thin-film silicon solar cell technology

    Czech Academy of Sciences Publication Activity Database

    Shah, A. V.; Schade, H.; Vaněček, Milan; Meier, J.; Vallat-Sauvain, E.; Wyrsch, N.; Kroll, U.; Droz, C.; Bailat, J.

    2004-01-01

    Roč. 12, - (2004), s. 113-142 ISSN 1062-7995 R&D Projects: GA MŽP SN/320/11/03 Institutional research plan: CEZ:AV0Z1010914 Keywords : thin-film silicon modules * hydrogenerated amorphous silicon(a-Si:H) * hydrogenerated microcrystalline (ćc-Si:H) * transparent conductive oxydes(TCOs) * building-integrated photovoltaics(BIPV) Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.196, year: 2004

  19. Synthesis and characterization of boron incorporated diamond-like carbon thin films

    International Nuclear Information System (INIS)

    Zhang, L.L.; Yang, Q.; Tang, Y.; Yang, L.; Zhang, C.; Hu, Y.; Cui, X.

    2015-01-01

    Boron incorporated diamond-like carbon (B-DLC) (up to 8 wt.% boron) thin films were synthesized on silicon wafers using biased target ion beam deposition technique, where diamond-like carbon (DLC) was deposited by ion beam deposition and boron (B) was simultaneously incorporated by biased target sputtering of a boron carbide (B 4 C) target under different conditions. Pure DLC films and B–C films were also synthesized by ion beam deposition and biased target sputtering of B 4 C under similar conditions, respectively, as reference samples. The microstructure and mechanical properties of the synthesized films have been characterized by various technologies. It has been found that B exists in different states in B-DLC, including carbon-rich and B-rich boron carbides, boron suboxide and boron oxide, and the oxidation of B probably occurs during the film deposition. The incorporation of B into DLC leads to the increase of sp 3 bonded carbon in the films, the increase of both film hardness and elastic modulus, and the decrease of both surface roughness and friction coefficient. Furthermore, the content of sp 3 bonded carbon, film hardness and elastic modulus increase, and the film surface roughness and friction coefficient decrease with the increase of B-rich carbide in the B-DLC films. - Highlights: • Biased target ion beam deposition technique is promising to produce high quality DLC based thin films; • Boron exists in different states in B-DLC thin films; • The incorporation of B to DLC with different levels leads to improved film properties; • The fraction of sp 3 bonded C in B-DLC thin films increase with the increase of B-rich carbide content in the films

  20. Effect of power on the growth of nanocrystalline silicon films

    International Nuclear Information System (INIS)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma

    2008-01-01

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm -1 and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity

  1. Effect of power on the growth of nanocrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma [Plasma Processed Materials Group, National Physical Laboratory, Dr K S Krishnan Road, New Delhi 110 012 (India)], E-mail: skumar@mail.nplindia.ernet.in

    2008-08-20

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm{sup -1} and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity.

  2. On the structural and optical properties of sputtered hydrogenated amorphous silicon thin films

    International Nuclear Information System (INIS)

    Barhdadi, A.; Chafik El ldrissi, M.

    2002-08-01

    The present work is essentially focused on the study of optical and structural properties of hydrogenated amorphous silicon thin films (a-Si:H) prepared by radio-frequency cathodic sputtering. We examine separately the influence of hydrogen partial pressure during film deposition, and the effect of post-deposition thermal annealings on the main optical characteristics of the layers such as refraction index, optical gap and Urbach energy. Using the grazing X-rays reflectometry technique, thin film structural properties are examined immediately after films deposition as well as after surface oxidation or annealing. We show that low hydrogen pressures allow a saturation of dangling bonds in the layers, while high doses lead to the creation of new defects. We show also that thermal annealing under moderate temperatures improves the structural quality of the deposited layers. For the films examined just after deposition, the role of hydrogen appears in the increase of their density. For those analysed after a short stay in the ambient, hydrogen plays a protective role against the oxidation of their surfaces. This role disappears for a long time stay in the ambient. (author)

  3. Light-Induced Degradation of Thin Film Silicon Solar Cells

    International Nuclear Information System (INIS)

    Hamelmann, F U; Weicht, J A; Behrens, G

    2016-01-01

    Silicon-wafer based solar cells are still domination the market for photovoltaic energy conversion. However, most of the silicon is used only for mechanical stability, while only a small percentage of the material is needed for the light absorption. Thin film silicon technology reduces the material demand to just some hundred nanometer thickness. But even in a tandem stack (amorphous and microcrystalline silicon) the efficiencies are lower, and light-induced degradation is an important issue. The established standard tests for characterisation are not precise enough to predict the performance of thin film silicon solar cells under real conditions, since many factors do have an influence on the degradation. We will show some results of laboratory and outdoor measurements that we are going to use as a base for advanced modelling and simulation methods. (paper)

  4. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  5. Enhanced photocurrent in thin-film amorphous silicon solar cells via shape controlled three-dimensional nanostructures

    International Nuclear Information System (INIS)

    Hilali, Mohamed M; Banerjee, Sanjay; Sreenivasan, S V; Yang Shuqiang; Miller, Mike; Xu, Frank

    2012-01-01

    In this paper, we have explored manufacturable approaches to sub-wavelength controlled three-dimensional (3D) nano-patterns with the goal of significantly enhancing the photocurrent in amorphous silicon solar cells. Here we demonstrate efficiency enhancement of about 50% over typical flat a-Si thin-film solar cells, and report an enhancement of 20% in optical absorption over Asahi textured glass by fabricating sub-wavelength nano-patterned a-Si on glass substrates. External quantum efficiency showed superior results for the 3D nano-patterned thin-film solar cells due to enhancement of broadband optical absorption. The results further indicate that this enhanced light trapping is achieved with minimal parasitic absorption losses in the deposited transparent conductive oxide for the nano-patterned substrate thin-film amorphous silicon solar cell configuration. Optical simulations are in good agreement with experimental results, and also show a significant enhancement in optical absorption, quantum efficiency and photocurrent. (paper)

  6. Influence of intermediate layers on the surface condition of laser crystallized silicon thin films and solar cell performance

    Energy Technology Data Exchange (ETDEWEB)

    Höger, Ingmar, E-mail: ingmar.hoeger@ipht-jena.de; Gawlik, Annett; Brückner, Uwe; Andrä, Gudrun [Leibniz-Institut für Photonische Technologien, PF 100239, 07702 Jena (Germany); Himmerlich, Marcel; Krischok, Stefan [Institut für Mikro-und Nanotechnologien, Technische Universität Ilmenau, PF 100565, 98684 Ilmenau (Germany)

    2016-01-28

    The intermediate layer (IL) between glass substrate and silicon plays a significant role in the optimization of multicrystalline liquid phase crystallized silicon thin film solar cells on glass. This study deals with the influence of the IL on the surface condition and the required chemical surface treatment of the crystallized silicon (mc-Si), which is of particular interest for a-Si:H heterojunction thin film solar cells. Two types of IL were investigated: sputtered silicon nitride (SiN) and a layer stack consisting of silicon nitride and silicon oxide (SiN/SiO). X-ray photoelectron spectroscopy measurements revealed the formation of silicon oxynitride (SiO{sub x}N{sub y}) or silicon oxide (SiO{sub 2}) layers at the surface of the mc-Si after liquid phase crystallization on SiN or SiN/SiO, respectively. We propose that SiO{sub x}N{sub y} formation is governed by dissolving nitrogen from the SiN layer in the silicon melt, which segregates at the crystallization front during crystallization. This process is successfully hindered, when additional SiO layers are introduced into the IL. In order to achieve solar cell open circuit voltages above 500 mV, a removal of the formed SiO{sub x}N{sub y} top layer is required using sophisticated cleaning of the crystallized silicon prior to a-Si:H deposition. However, solar cells crystallized on SiN/SiO yield high open circuit voltage even when a simple wet chemical surface treatment is applied. The implementation of SiN/SiO intermediate layers facilitates the production of mesa type solar cells with open circuit voltages above 600 mV and a power conversion efficiency of 10%.

  7. Assessing the antimicrobial activity of zinc oxide thin films using disk diffusion and biofilm reactor

    International Nuclear Information System (INIS)

    Gittard, Shaun D.; Perfect, John R.; Monteiro-Riviere, Nancy A.; Wei Wei; Jin Chunming; Narayan, Roger J.

    2009-01-01

    The electronic and chemical properties of semiconductor materials may be useful in preventing growth of microorganisms. In this article, in vitro methods for assessing microbial growth on semiconductor materials will be presented. The structural and biological properties of silicon wafers coated with zinc oxide thin films were evaluated using atomic force microscopy, X-ray photoelectron spectroscopy, and MTT viability assay. The antimicrobial properties of zinc oxide thin films were established using disk diffusion and CDC Biofilm Reactor studies. Our results suggest that zinc oxide and other semiconductor materials may play a leading role in providing antimicrobial functionality to the next-generation medical devices

  8. Upconversion photoluminescence of epitaxial Yb{sup 3+}/Er{sup 3+} codoped ferroelectric Pb(Zr,Ti)O{sub 3} films on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yang, E-mail: zhangy_acd@hotmail.com [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Kämpfe, Thomas [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Bai, Gongxun [Department of Applied Physics, The Hong Kong Polytechnic University, Hong Kong (China); Mietschke, Michael; Yuan, Feifei; Zopf, Michael [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Abel, Stefan [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Eng, Lukas M. [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Hühne, Ruben [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Fompeyrine, Jean [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Ding, Fei, E-mail: f.ding@ifw-dresden.de [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Schmidt, Oliver G. [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Material Systems for Nanoelectronics, Chemnitz University of Technology, Reichenhainer strasse 70, 09107 Chemnitz (Germany)

    2016-05-31

    Thin films of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} (PZT:Yb/Er) have been epitaxially grown on the SrTiO{sub 3} buffered Si wafer by pulsed laser deposition. Strong upconversion photoluminescence was observed in the PZT:Yb/Er thin film. Using piezoresponse force microscopy, polar domains in the PZT:Yb/Er film can be reversibly switched with a phase change of 180°. Ferroelectric hysteresis loop shape with a well-saturated response was observed. The epitaxially grown lanthanide-doped PZT on silicon opens up a promising route to the integration of luminescent functional oxides on the silicon platform. - Highlights: • Epitaxial growth of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} films on SrTiO{sub 3} buffered silicon • Upconversion emissions were obtained from the lanthanide ion doped thin films. • Saturated ferroelectric hysteresis loops were observed. • Polar domains were switched by PFM with a phase change of 180°.

  9. Characterization of thin-film silicon materials and solar cells through numerical modeling

    NARCIS (Netherlands)

    Pieters, B.E.

    2008-01-01

    At present most commercially available solar cells are made of crystalline silicon (c-Si). The disadvantages of crystalline silicon solar cells are the high material cost and energy consumption during production. A cheaper alternative can be found in thin-film silicon solar cells. The thin-film

  10. Properties of non-stoichiometric nitrogen doped LPCVD silicon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Mansour, F.; Mahamdi, R. [Departement d' Electronique, Universite Mentouri, Constantine (Algeria); Beghoul, M.R. [Departement d' Electronique, Universite de Jijel (Algeria); Temple-Boyer, P. [CNRS, LAAS, Toulouse (France); Universite de Toulouse, UPS, INSA, INP, ISAE, LAAS, Toulouse (France); Bouridah, H.

    2010-02-15

    The influence of nitrogen on the internal structure and so on the electrical properties of silicon thin films obtained by low-pressure chemical vapor deposition (LPCVD) was studied using several investigation methods. We found by using Raman spectroscopy and SEM observations that a strong relationship exists between the structural order of the silicon matrix and the nitrogen ratio in film before and after thermal treatment. As a result of the high disorder caused by nitrogen on silicon network during the deposit phase of films, the crystallization phenomena in term of nucleation and crystalline growth were found to depend upon the nitrogen content. Resistivity measurements results show that electrical properties of NIDOS films depend significantly on structural properties. It was appeared that for high nitrogen content, the films tend to acquire an insulator behavior. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. In and Ga Codoped ZnO Film as a Front Electrode for Thin Film Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Duy Phong Pham

    2014-01-01

    Full Text Available Doped ZnO thin films have attracted much attention in the research community as front-contact transparent conducting electrodes in thin film silicon solar cells. The prerequisite in both low resistivity and high transmittance in visible and near-infrared region for hydrogenated microcrystalline or amorphous/microcrystalline tandem thin film silicon solar cells has promoted further improvements of this material. In this work, we propose the combination of major Ga and minor In impurities codoped in ZnO film (IGZO to improve the film optoelectronic properties. A wide range of Ga and In contents in sputtering targets was explored to find optimum optical and electrical properties of deposited films. The results show that an appropriate combination of In and Ga atoms in ZnO material, followed by in-air thermal annealing process, can enhance the crystallization, conductivity, and transmittance of IGZO thin films, which can be well used as front-contact electrodes in thin film silicon solar cells.

  12. Tungsten oxide thin films grown by thermal evaporation with high resistance to leaching

    Energy Technology Data Exchange (ETDEWEB)

    Correa, Diogo S. [Universidade Federal de Pelotas (UFPel), RS (Brazil). Centro de Ciencias Quimicas, Farmaceuticas e de Alimentos; Pazinato, Julia C.O.; Freitas, Mauricio A. de; Radtke, Claudio; Garcia, Irene T.S., E-mail: irene@iq.ufrgs.br [Universidade Federal do Rio Grande do Sul (UFRGS), Porto Alegre, RS (Brazil). Instituto de Quimica; Dorneles, Lucio S. [Universidade Federal de Santa Maria (UFSM), RS (Brazil). Centro de Ciencias Naturais e Exatas

    2014-05-15

    Tungsten oxides show different stoichiometries, crystal lattices and morphologies. These characteristics are important mainly when they are used as photocatalysts. In this work tungsten oxide thin films were obtained by thermal evaporation on (100) silicon substrates covered with gold and heated at 350 and 600 °C, with different deposition times. The stoichiometry of the films, morphology, crystal structure and resistance to leaching were characterized through X-ray photoelectron spectroscopy, micro-Raman spectroscopy, scanning and transmission electron microscopy, X-ray diffractometry, Rutherford backscattering spectrometry and O{sup 16} (α,α')O{sup 16} resonant nuclear reaction. Films obtained at higher temperatures show well-defined spherical nanometric structure; they are composed of WO{sub 3.1} and the presence of hydrated tungsten oxide was also observed. The major crystal structure observed is the hexagonal. Thin films obtained through thermal evaporation present resistance to leaching in aqueous media and excellent performance as photocatalysts, evaluated through the degradation of the methyl orange dye. (author)

  13. Novel texturing method for sputtered zinc oxide films prepared at high deposition rate from ceramic tube targets

    Directory of Open Access Journals (Sweden)

    Hüpkes J.

    2011-10-01

    Full Text Available Sputtered and wet-chemically texture etched zinc oxide (ZnO films on glass substrates are regularly applied as transparent front contact in silicon based thin film solar cells. In this study, chemical wet etching in diluted hydrofluoric acid (HF and subsequently in diluted hydrochloric acid (HCl on aluminum doped zinc oxide (ZnO:Al films deposited by magnetron sputtering from ceramic tube targets at high discharge power (~10 kW/m target length is investigated. Films with thickness of around 800 nm were etched in diluted HCl acid and HF acid to achieve rough surface textures. It is found that the etching of the films in both etchants leads to different surface textures. A two steps etching process, which is especially favorable for films prepared at high deposition rate, was systematically studied. By etching first in diluted hydrofluoric acid (HF and subsequently in diluted hydrochloric acid (HCl these films are furnished with a surface texture which is characterized by craters with typical diameter of around 500 − 1000 nm. The resulting surface structure is comparable to etched films sputtered at low deposition rate, which had been demonstrated to be able to achieve high efficiencies in silicon thin film solar cells.

  14. Plasma processing of microcrystalline silicon films : filling in the gaps

    NARCIS (Netherlands)

    Bronneberg, A.C.

    2012-01-01

    Hydrogenated microcrystalline silicon (µc-Si:H) is a mixed-phase material consisting of crystalline silicon grains, hydrogenated amorphous silicon (a-Si:H) tissue, and voids. Microcrystalline silicon is extensively used as absorber layer in thin-film tandem solar cells, combining the advantages of a

  15. Stability of Polymer Ultrathin Films (Top-Down Approach.

    Science.gov (United States)

    Bal, Jayanta Kumar; Beuvier, Thomas; Unni, Aparna Beena; Chavez Panduro, Elvia Anabela; Vignaud, Guillaume; Delorme, Nicolas; Chebil, Mohamed Souheib; Grohens, Yves; Gibaud, Alain

    2015-08-25

    In polymer physics, the dewetting of spin-coated polystyrene ultrathin films on silicon remains mysterious. By adopting a simple top-down method based on good solvent rinsing, we are able to prepare flat polystyrene films with a controlled thickness ranging from 1.3 to 7.0 nm. Their stability was scrutinized after a classical annealing procedure above the glass transition temperature. Films were found to be stable on oxide-free silicon irrespective of film thickness, while they were unstable (2.9 nm) on 2 nm oxide-covered silicon substrates. The Lifshitz-van der Waals intermolecular theory that predicts the domains of stability as a function of the film thickness and of the substrate nature is now fully reconciled with our experimental observations. We surmise that this reconciliation is due to the good solvent rinsing procedure that removes the residual stress and/or the density variation of the polystyrene films inhibiting thermodynamically the dewetting on oxide-free silicon.

  16. Wet-Chemical Surface Texturing of Sputter-Deposited ZnO:Al Films as Front Electrode for Thin-Film Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Xia Yan

    2015-01-01

    Full Text Available Transparent conductive oxides (TCOs play a major role as the front electrodes of thin-film silicon (Si solar cells, as they can provide optical scattering and hence improved photon absorption inside the devices. In this paper we report on the surface texturing of aluminium-doped zinc oxide (ZnO:Al or AZO films for improved light trapping in thin-film Si solar cells. The AZO films are deposited onto soda-lime glass sheets via pulsed DC magnetron sputtering. Several promising AZO texturing methods are investigated using diluted hydrochloric (HCl and hydrofluoric acid (HF, through a two-step etching process. The developed texturing procedure combines the advantages of the HCl-induced craters and the smaller and jagged—but laterally more uniform—features created by HF etching. In the two-step process, the second etching step further enhances the optical haze, while simultaneously improving the uniformity of the texture features created by the HCl etch. The resulting AZO films show large haze values of above 40%, good scattering into large angles, and a surface angle distribution that is centred at around 30°, which is known from the literature to provide efficient light trapping for thin-film Si solar cells.

  17. Pyroelectricity of silicon-doped hafnium oxide thin films

    Science.gov (United States)

    Jachalke, Sven; Schenk, Tony; Park, Min Hyuk; Schroeder, Uwe; Mikolajick, Thomas; Stöcker, Hartmut; Mehner, Erik; Meyer, Dirk C.

    2018-04-01

    Ferroelectricity in hafnium oxide thin films is known to be induced by various doping elements and in solid-solution with zirconia. While a wealth of studies is focused on their basic ferroelectric properties and memory applications, thorough studies of the related pyroelectric properties and their application potential are only rarely found. This work investigates the impact of Si doping on the phase composition and ferro- as well as pyroelectric properties of thin film capacitors. Dynamic hysteresis measurements and the field-free Sharp-Garn method were used to correlate the reported orthorhombic phase fractions with the remanent polarization and pyroelectric coefficient. Maximum values of 8.21 µC cm-2 and -46.2 µC K-1 m-2 for remanent polarization and pyroelectric coefficient were found for a Si content of 2.0 at%, respectively. Moreover, temperature-dependent measurements reveal nearly constant values for the pyroelectric coefficient and remanent polarization over the temperature range of 0 ° C to 170 ° C , which make the material a promising candidate for IR sensor and energy conversion applications beyond the commonly discussed use in memory applications.

  18. Control of the optical properties of silicon and chromium mixed oxides deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Vergara, L.; Galindo, R. Escobar; Martinez, R.; Sanchez, O.; Palacio, C.; Albella, J.M.

    2011-01-01

    The development of mixed-oxide thin films allows obtaining materials with better properties than those of the different binary oxides, which makes them suitable for a great number of applications in different fields, such as tribology, optics or microelectronics. In this paper we investigate the deposition of mixed chromium and silicon oxides deposited by reactive magnetron sputtering with a view to use them as optical coatings with an adjustable refractive index. These films have been characterized by means of Rutherford backscattering spectrometry, Auger electron spectroscopy, X-ray diffraction, scanning electron microscopy, Fourier-transform infrared spectroscopy and spectroscopic ellipsometry so as to determine how the deposition conditions influence the characteristics of the material. We have found that the deposition parameter whose influence determines the properties of the films to a greater extent is the amount of oxygen in the reactive sputtering gas.

  19. Swift heavy ion induced de wetting of metal oxide thin films on silicon

    International Nuclear Information System (INIS)

    Bolse, T.; Paulus, H.; Bolse, W.

    2006-01-01

    We have observed that thin oxide coatings (NiO, Fe 2 O 3 ) tend to dewet their Si substrate when being bombarded with swift heavy ions (350-600 MeV Au ions) even though the irradiation was carried out about 80 K and hence, the films never reached their melting point. Scanning electron and atomic force microscopy reveal a surprising similarity of the dewetting morphologies with those observed for molten polymer films on Si, which have recently been reported by others [S. Herminghaus, K. Jakobs, K. Mecke, J. Bischof, A. Fery, M. Ibn-Elhaj, S. Schlagowsky, Science 282 (1998) 916; R. Seemann, S. Herminghaus, K. Jacobs, J. Phys.: Condens. Matter 13 (2001) 4925]. Like in that cases also here heterogeneous and homogeneous hole nucleation could be identified. Heterogeneous nucleation is less pronounced in Fe 2 O 3 /Si than in NiO/Si. The occurrence of spinodal-like dewetting cannot be detected unambiguously. The dewetting kinetics were determined by means of Rutherford backscattering spectroscopy and found to slightly differ for the two compounds. The dewetting kinetics as well as the final dewetting pattern strongly depend on the initial film thicknesses. No dewetting occurs for film thicknesses above about 150 nm, while for very small thicknesses below about 40 nm the film decays into nm-sized spherical droplets. At intermediate film thicknesses percolated networks of small oxide bridges are formed

  20. Oxide ultrathin films science and technology

    CERN Document Server

    Pacchioni, Gianfranco

    2012-01-01

    A wealth of information in one accessible book. Written by international experts from multidisciplinary fields, this in-depth exploration of oxide ultrathin films covers all aspects of these systems, starting with preparation and characterization, and going on to geometrical and electronic structure, as well as applications in current and future systems and devices. From the Contents: Synthesis and Preparation of Oxide Ultrathin Films Characterization Tools of Oxide Ultrathin Films Ordered Oxide Nanostructures on Metal Surfaces Unusual Properties of Oxides and Other Insulators in the Ultrathin Limit Silica and High-K Dielectrics Thin Films in Microelectronics Oxide Passive Films and Corrosion Protection Oxide Films as Catalytic Materials and as Models of Real Catalysts Oxide Films in Spintronics Oxide Ultrathin Films in Solid Oxide Fuel Cells Transparent Conducting and Chromogenic Oxide Films as Solar Energy Materials Oxide Ultrathin Films in Sensor Applications Ferroelectricity in Ultrathin Film Capacitors T...

  1. Hydrothermal deposition and characterization of silicon oxide nanospheres

    International Nuclear Information System (INIS)

    Pei, L.Z.

    2008-01-01

    Silicon oxide nanospheres with the average diameter of about 100 nm have been synthesized by hydrothermal deposition process using silicon and silica as the starting materials. The silicon oxide nanospheres were characterized by field emission scanning electron microscopy (FESEM), energy dispersive X-ray spectrum (EDS), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) spectrum, respectively. The results show that large scale silicon oxide nanospheres with the uniform size are composed of Si and O showing the amorphous structure. Strong PL peak at 435 nm is observed demonstrating the good blue light emission property

  2. Hydrogenated amorphous silicon coatings may modulate gingival cell response

    Science.gov (United States)

    Mussano, F.; Genova, T.; Laurenti, M.; Munaron, L.; Pirri, C. F.; Rivolo, P.; Carossa, S.; Mandracci, P.

    2018-04-01

    Silicon-based materials present a high potential for dental implant applications, since silicon has been proven necessary for the correct bone formation in animals and humans. Notably, the addition of silicon is effective to enhance the bioactivity of hydroxyapatite and other biomaterials. The present work aims to expand the knowledge of the role exerted by hydrogen in the biological interaction of silicon-based materials, comparing two hydrogenated amorphous silicon coatings, with different hydrogen content, as means to enhance soft tissue cell adhesion. To accomplish this task, the films were produced by plasma enhanced chemical vapor deposition (PECVD) on titanium substrates and their surface composition and hydrogen content were analyzed by means of X-ray photoelectron spectroscopy (XPS) and Fourier-transform infrared spectrophotometry (FTIR) respectively. The surface energy and roughness were measured through optical contact angle analysis (OCA) and high-resolution mechanical profilometry respectively. Coated surfaces showed a slightly lower roughness, compared to bare titanium samples, regardless of the hydrogen content. The early cell responses of human keratinocytes and fibroblasts were tested on the above mentioned surface modifications, in terms of cell adhesion, viability and morphometrical assessment. Films with lower hydrogen content were endowed with a surface energy comparable to the titanium surfaces. Films with higher hydrogen incorporation displayed a lower surface oxidation and a considerably lower surface energy, compared to the less hydrogenated samples. As regards mean cell area and focal adhesion density, both a-Si coatings influenced fibroblasts, but had no significant effects on keratinocytes. On the contrary, hydrogen-rich films increased manifolds the adhesion and viability of keratinocytes, but not of fibroblasts, suggesting a selective biological effect on these cells.

  3. A study of luminescence from silicon-rich silica fabricated by plasma enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Trwoga, P.F.

    1998-01-01

    Silicon is the most studied electronic material known to man and dominates the electronics industry in its use as a semiconductors for nearly all integrated electronics. However, optoelectronics is almost entirely based on III-V materials. This technology is used because silicon is a very inefficient light source, whereas the III-V band structure can lend itself to efficient light emission by electron injection. However, due to the overwhelming dominance of silicon based electronics it is still a highly desirable goal to generate light efficiently from silicon based materials. Recently, studies have demonstrated that efficient visible luminescence can be obtained from certain novel forms of silicon. These materials include porous silicon, hydrogenated amorphous silicon, and silicon-rich silica (SiO x x x is studied in detail; in addition, electroluminescence and rare-earth doping of silicon-rich silica is also addressed. (author)

  4. Boron diffusion into nitrogen doped silicon films for P{sup +} polysilicon gate structures

    Energy Technology Data Exchange (ETDEWEB)

    Mansour, Farida; Mahamdi, Ramdane; Jalabert, Laurent; Temple-Boyer, Pierre

    2003-06-23

    This paper deals with the study of the boron diffusion in nitrogen doped silicon (NIDOS) deposited from disilane Si{sub 2}H{sub 6} and ammonia NH{sub 3} for the development of P{sup +} polysilicon gate metal oxide semiconductor (MOS) devices. NIDOS films with varied nitrogen content have been boron implanted, then annealed and finally analysed by secondary ion mass spectroscopy (SIMS). In order to simulate the experimental SIMS of boron concentration profiles in the NIDOS films, a model adapted to the particular conditions of the samples elaboration, i.e. the very high boron concentration and the nitrogen content, has been established. The boron diffusion reduction in NIDOS films with increasing nitrogen rates has been evidenced by the profiles as well as by the obtained diffusion coefficients, which shows that the nitrogen incorporation reduces the boron diffusion. This has been confirmed by capacitance-voltage (C-V) measurements performed on MOS capacitors: the higher the nitrogen content, the lower the flat-band voltage. Finally, these results demonstrate that the improvement of the gate oxide quality occurs with the suppression of the boron penetration.

  5. Crystalline Silicon Solar Cells with Thin Silicon Passivation Film Deposited prior to Phosphorous Diffusion

    Directory of Open Access Journals (Sweden)

    Ching-Tao Li

    2014-01-01

    Full Text Available We demonstrate the performance improvement of p-type single-crystalline silicon (sc-Si solar cells resulting from front surface passivation by a thin amorphous silicon (a-Si film deposited prior to phosphorus diffusion. The conversion efficiency was improved for the sample with an a-Si film of ~5 nm thickness deposited on the front surface prior to high-temperature phosphorus diffusion, with respect to the samples with an a-Si film deposited on the front surface after phosphorus diffusion. The improvement in conversion efficiency is 0.4% absolute with respect to a-Si film passivated cells, that is, the cells with an a-Si film deposited on the front surface after phosphorus diffusion. The new technique provided a 0.5% improvement in conversion efficiency compared to the cells without a-Si passivation. Such performance improvements result from reduced surface recombination as well as lowered contact resistance, the latter of which induces a high fill factor of the solar cell.

  6. Porous Aluminum Oxide and Magnesium Oxide Films Using Organic Hydrogels as Structure Matrices

    Directory of Open Access Journals (Sweden)

    Zimei Chen

    2018-03-01

    Full Text Available We describe the synthesis of mesoporous Al2O3 and MgO layers on silicon wafer substrates by using poly(dimethylacrylamide hydrogels as porogenic matrices. Hydrogel films are prepared by spreading the polymer through spin-coating, followed by photo-cross-linking and anchoring to the substrate surface. The metal oxides are obtained by swelling the hydrogels in the respective metal nitrate solutions and subsequent thermal conversion. Combustion of the hydrogel results in mesoporous metal oxide layers with thicknesses in the μm range and high specific surface areas up to 558 m2∙g−1. Materials are characterized by SEM, FIB ablation, EDX, and Kr physisorption porosimetry.

  7. Enhanced light emission in photonic crystal nanocavities with Erbium-doped silicon nanocrystals

    International Nuclear Information System (INIS)

    Makarova, Maria; Sih, Vanessa; Vuckovic, Jelena; Warga, Joe; Li Rui; Dal Negro, Luca

    2008-01-01

    Photonic crystal nanocavities are fabricated in silicon membranes covered by thermally annealed silicon-rich nitride films with Erbium-doped silicon nanocrystals. Silicon nitride films were deposited by sputtering on top of silicon on insulator wafers. The nanocavities were carefully designed in order to enhance emission from the nanocrystal sensitized Erbium at the 1540 nm wavelength. Experimentally measured quality factors of ∼6000 were found to be consistent theoretical predictions. The Purcell factor of 1.4 was estimated from the observed 20-fold enhancement of Erbium luminescence

  8. Recent progress in the development and understanding of silicon surface passivation by aluminum oxide for photovoltaics

    NARCIS (Netherlands)

    Dingemans, G.; Kessels, W.M.M.

    2010-01-01

    In the recent years, considerable progress has been made in the understanding of the unique silicon surface passivation properties of aluminum oxide (Al2O3) films including its underlying mechanisms. Containing a high fixed negative charge density located close to the Si interface, Al2O3 provides a

  9. The oxidized porous silicon field emission array

    International Nuclear Information System (INIS)

    Smith, D.D.; Demroff, H.P.; Elliott, T.S.; Kasprowicz, T.B.; Lee, B.; Mazumdar, T.K.; McIntyre, P.M.; Pang, Y.; Trost, H.J.

    1993-01-01

    The goal of developing a highly efficient microwave power source has led the authors to investigate new methods of electron field emission. One method presently under consideration involves the use of oxidized porous silicon thin films. The authors have used this technology to fabricate the first working field emission arrays from this substance. This approach reduces the diameter of an individual emitter to the nanometer scale. Tests of the first samples are encouraging, with extracted electron currents to nearly 1 mA resulting from less than 20 V of pulsed DC gate voltage. Modulated emission at 5 MHz was also observed. Developments of a full-scale emission array capable of delivering an electron beam at 18 GHz of minimum density 100 A/cm 2 is in progress

  10. Correlation of nanostructure and charge transport properties of oxidized a -SiC:H films

    Energy Technology Data Exchange (ETDEWEB)

    Gordienko, S.O.; Nazarov, A.N.; Vasin, A.V.; Rusavsky, A.V.; Lysenko, V.S. [Lashkaryov Institute of Semiconductor Physics, National Academy of Sciences of Ukraine, Prospekt Nauki 41, 03028 Kyiv (Ukraine)

    2012-06-15

    This paper considers the influence of low temperature oxidation on structural and electrical properties of amorphous carbon-rich a -Si{sub 1-x}C{sub x}:H thin films fabricated by reactive RF magnetron sputtering. It is shown that oxidation leads to formation of SiO{sub x} matrix with graphite-like carbon inclusions. Such conductive precipitates has a strong effect on charge transport in oxidized a -Si{sub 1-x}C{sub x}:H films (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Laser annealed HWCVD and PECVD thin silicon films. Electron field emission

    International Nuclear Information System (INIS)

    O'Neill, K.A.; Shaikh, M.Z.; Lyttle, G.; Anthony, S.; Fan, Y.C.; Persheyev, S.K.; Rose, M.J.

    2006-01-01

    Electron Field Emission (FE) properties of various laser annealed thin silicon films on different substrates were investigated. HWCVD microcrystalline and PECVD amorphous silicon films were irradiated with Nd : YAG and XeCl Excimer lasers at varying energy densities. Encouraging FE results were mainly from XeCl Excimer laser processed PECVD and HWCVD films on metal backplanes. FE measurements were complemented by the study of film surface morphology. Geometric field enhancement factors from surface measurements and Fowler-Nordheim Theory (FNT) were compared. FE properties of the films were also found to be particularly influenced by the backplane material

  12. Conciliating surface superhydrophobicities and mechanical strength of porous silicon films

    Science.gov (United States)

    Wang, Fuguo; Zhao, Kun; Cheng, Jinchun; Zhang, Junyan

    2011-01-01

    Hydrophobic surfaces on Mechanical stable macroporous silicon films were prepared by electrochemical etching with subsequent octadecyltrichlorosilane (OTS) modification. The surface morphologies were controlled by current densities and the mechanical properties were adjusted by their corresponding porosities. Contrast with the smooth macroporous silicon films with lower porosities (34.1%) and microporous silicon with higher porosities (97%), the macroporous film with a rough three-dimension (3D) surface and a moderate pore to cross-section area ratio (37.8%, PSi2‧) exhibited both good mechanical strength (Yong' modulus, shear modulus and collapse strength are 64.2, 24.1 and 0.32 GPa, respectively) and surface superhydrophobicity (water contact angle is 158.4 ± 2° and sliding angle is 2.7 ± 1°). This result revealed that the surface hydrophobicities (or the surface roughness) and mechanical strength of porous films could be conciliated by pore to cross-section area ratios control and 3D structures construction. Thus, the superhydrophobic surfaces on mechanical stable porous films could be obtained by 3D structures fabrication on porous film with proper pore to cross-section area ratios.

  13. Dry And Ringer Solution Lubricated Tribology Of Thin Osseoconductive Metal Oxides And Diamond-Like Carbon Films

    Directory of Open Access Journals (Sweden)

    Waldhauser W.

    2015-09-01

    Full Text Available Achieving fast and strong adhesion to jawbone is essential for dental implants. Thin deposited films may improve osseointegration, but they are prone to cohesive and adhesive fracture due to high stresses while screwing the implant into the bone, leading to bared, less osteoconductive substrate surfaces and nano- and micro-particles in the bone. Aim of this work is the investigation of the cohesion and adhesion failure stresses of osteoconductive tantalum, titanium, silicon, zirconium and aluminium oxide and diamond-like carbon films. The tribological behaviour under dry and lubricated conditions (Ringer solution reveals best results for diamond-like carbon, while cohesion and adhesion of zirconium oxide films is highest.

  14. Study of some structural properties of hydrogenated amorphous silicon thin films prepared by radiofrequency cathodic sputtering

    International Nuclear Information System (INIS)

    Mellassi, K.; Chafik El Idrissi, M.; Barhdadi, A.

    2001-08-01

    In this work, we have used the grazing X-rays reflectometry technique to characterise hydrogenated amorphous silicon thin films deposited by radio-frequency cathodic sputtering. Relfectometry measurements are taken immediately after films deposition as well as after having naturally oxidised their surfaces during a more or less prolonged stay in the ambient. For the films examined just after deposition, the role of hydrogen appears in the increase of their density. For those analysed after a short stay in the ambient, hydrogen plays a protective role against the oxidation of their surfaces. This role disappears when the stay in the ambient is so long. (author)

  15. Stoichiometry of Silicon Dioxide Films Obtained by Ion-Beam Sputtering

    Science.gov (United States)

    Telesh, E. V.; Dostanko, A. P.; Gurevich, O. V.

    2018-03-01

    The composition of SiOx films produced by ion-beam sputtering (IBS) of silicon and quartz targets were studied by infrared spectrometry. Films with thicknesses of 150-390 nm were formed on silicon substrates. It was found that increase in the partial pressure of oxygen in the working gas, increase in the temperature of the substrate, and the presence of a positive potential on the target during reactive IBS of silicon shifted the main absorption band νas into the high-frequency region and increased the composition index from 1.41 to 1.85. During IBS of a quartz target the stoichiometry of the films deteriorates with increase of the energy of the sputtering argon ions. This may be due to increase of the deposition rate. Increase in the current of the thermionic compensator, increase of the substrate temperature, and addition of oxygen led to the formation of SiOx films with improved stoichiometry.

  16. Dielectric, ferroelectric, and thermodynamic properties of silicone oil modified PVDF films for energy storage application

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Bingcheng; Wang, Xiaohui, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn; Li, Longtu, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn [State Key Laboratory of New Ceramics and Fine Processing, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Sun, Hui [Aero-Engine Control System Institute, Aviation Industry Corporation of China, Jiangsu, Wuxi 214063 (China)

    2016-06-13

    Silicone oil modified poly(vinylidene fluoride-co-hexafluoropropylene) (P(VDF-HFP)) films were fabricated by the blending, casting, and hot-molding methods. The dielectric constant was increased for the 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films, while the dielectric loss for all blend films are decreased. D-E loops of 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films become slimmer than the pristine P(VDF-HFP) films. The maximum discharged energy density of 10.3 J/cm{sup 3} was obtained in 7.4 wt. % silicone oil modified P(VDF-HFP) films at the external electric field of 398 kV/mm. The Gibbs energy, miscibility, and phase behavior of binary mixture of P(VDF-HFP) silicone oil were investigated using molecular simulations and the extended Flory–Huggins model revealing favorable interactions and compatibility between P(VDF-HFP) and silicone oil.

  17. TXRF analysis of trace metals in thin silicon nitride films

    International Nuclear Information System (INIS)

    Vereecke, G.; Arnauts, S.; Verstraeten, K.; Schaekers, M.; Heyrts, M.M.

    2000-01-01

    As critical dimensions of integrated circuits continue to decrease, high dielectric constant materials such as silicon nitride are being considered to replace silicon dioxide in capacitors and transistors. The achievement of low levels of metal contamination in these layers is critical for high performance and reliability. Existing methods of quantitative analysis of trace metals in silicon nitride require high amounts of sample (from about 0.1 to 1 g, compared to a mass of 0.2 mg for a 2 nm thick film on a 8'' silicon wafer), and involve digestion steps not applicable to films on wafers or non-standard techniques such as neutron activation analysis. A novel approach has recently been developed to analyze trace metals in thin films with analytical techniques currently used in the semiconductor industry. Sample preparation consists of three steps: (1) decomposition of the silicon nitride matrix by moist HF condensed at the wafer surface to form ammonium fluosilicate. (2) vaporization of the fluosilicate by a short heat treatment at 300 o C. (3) collection of contaminants by scanning the wafer surface with a solution droplet (VPD-DSC procedure). The determination of trace metals is performed by drying the droplet on the wafer and by analyzing the residue by TXRF, as it offers the advantages of multi-elemental analysis with no dilution of the sample. The lower limits of detection for metals in 2 nm thick films on 8'' silicon wafers range from about 10 to 200 ng/g. The present study will focus on the matrix effects and the possible loss of analyte associated with the evaporation of the fluosilicate salt, in relation with the accuracy and the reproducibility of the method. The benefits of using an internal standard will be assessed. Results will be presented from both model samples (ammonium fluoride contaminated with metallic salts) and real samples (silicon nitride films from a production tool). (author)

  18. The kinetics and properties of thermal oxidation of silicon in TCA-O/sub 2/

    International Nuclear Information System (INIS)

    Ahmed, W.; Ahmed, E.

    1993-01-01

    The oxidation of silicon using dry O/sub 2/ is now well established as a key process for the fabrication of electronic devices in the semiconductor industry. However, this process is complicated by its sensitivity to impurities which reduce device yields. HCl can be added to O/sub 2/ to remove these impurities but due to its highly corrosive nature a safer and cleaner alternative such as trichloroethane (TCA) is desirable. In this paper, the thermal oxidation of silicon using a mixture of TCA-O/sub 2/ has been investigated in a large scale industrial system. The growth kinetics and the properties of these films have been studies and compared to oxides produced from dry 2. The addition of TCA generates HCl in situ, enhances the oxidation rate by approximately 54% nd improves the electrical properties. It was found that a 1 mol.% mixture gives the optimum process. An analysis of the data suggests that a liner parabolic growth model is applicable and provides a valuable insight into the physical phenomena governing this important process. (author)

  19. Catalytic oxidation of silicon by cesium ion bombardment

    International Nuclear Information System (INIS)

    Souzis, A.E.; Huang, H.; Carr, W.E.; Seidl, M.

    1991-01-01

    Results for room-temperature oxidation of silicon using cesium ion bombardment and low oxygen exposure are presented. Bombardment with cesium ions is shown to allow oxidation at O 2 pressures orders of magnitude smaller than with noble gas ion bombardment. Oxide layers of up to 30 A in thickness are grown with beam energies ranging from 20--2000 eV, O 2 pressures from 10 -9 to 10 -6 Torr, and total O 2 exposures of 10 0 to 10 4 L. Results are shown to be consistent with models indicating that initial oxidation of silicon is via dissociative chemisorption of O 2 , and that the low work function of the cesium- and oxygen-coated silicon plays the primary role in promoting the oxidation process

  20. Influence of metal induced crystallization parameters on the performance of polycrystalline silicon thin film transistors

    International Nuclear Information System (INIS)

    Pereira, L.; Barquinha, P.; Fortunato, E.; Martins, R.

    2005-01-01

    In this work, metal induced crystallization using nickel was employed to obtain polycrystalline silicon by crystallization of amorphous films for thin film transistor applications. The devices were produced through only one lithographic process with a bottom gate configuration using a new gate dielectric consisting of a multi-layer of aluminum oxide/titanium oxide produced by atomic layer deposition. The best results were obtained for TFTs with the active layer of poly-Si crystallized for 20 h at 500 deg. C using a nickel layer of 0.5 nm where the effective mobility is 45.5 cm 2 V -1 s -1 . The threshold voltage, the on/off current ratio and the sub-threshold voltage are, respectively, 11.9 V, 5.55x10 4 and 2.49 V/dec

  1. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  2. Orientation of One-Dimensional Silicon Polymer Films Studied by X-Ray Absorption Spectroscopy

    Directory of Open Access Journals (Sweden)

    Md. Abdul Mannan

    2012-01-01

    Full Text Available Molecular orientations for thin films of one-dimensional silicon polymers grown by vacuum evaporation have been assigned by near-edge X-ray absorption fine structure (NEXAFS using linearly polarized synchrotron radiation. The polymer investigated was polydimethylsilane (PDMS which is the simplest stable silicon polymer, and one of the candidate materials for one-dimensional molecular wire. For PDMS films deposited on highly oriented pyrolytic graphite (HOPG, four resonance peaks have been identified in the Si K-edge NEXAFS spectra. Among these peaks, the intensities of the two peaks lower-energy at 1842.0 eV and 1843.2 eV were found to be strongly polarization dependent. The peaks are assigned to the resonance excitations from the Si 1s to σ∗ pyz and σ∗ px orbitals localized at the Si–C and Si–Si bonds, respectively. Quantitative evaluation of the polarization dependence of the NEXAFS spectra revealed that the molecules are self-assembled on HOPG surface, and the backbones of the PDMS are oriented nearly parallel to the surface. The observed orientation is opposite to the previously observed results for PDMS on the other surfaces such as oxide (indium tin oxide and metal (polycrystalline copper. The flat-lying feature of PDMS observed only on HOPG surface is attributed to the interaction between CH bonds in PDMS and π orbitals in HOPG surface.

  3. Effect of oxygen to argon flow ratio on the properties of Al-doped ZnO films for amorphous silicon thin film solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yang-Shih [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 402, Taiwan, ROC (China); Lien, Shui-Yang, E-mail: syl@mdu.edu.tw [Department of Materials Science and Engineering, MingDao University, ChangHua 52345, Taiwan, ROC (China); Huang, Yung-Chuan [Department of Materials Science and Engineering, MingDao University, ChangHua 52345, Taiwan, ROC (China); Wang, Chao-Chun [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 402, Taiwan, ROC (China); Liu, Chueh-Yang [Department of Materials Science and Engineering, MingDao University, ChangHua 52345, Taiwan, ROC (China); Nautiyal, Asheesh [Department of Mechanical Engineering, Yuan Ze University, 135 Yuan-Tung Road, Chungli, 320 Taoyuan, Taiwan, ROC (China); Wuu, Dong-Sing [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 402, Taiwan, ROC (China); Lee, Shuo-Jun [Department of Mechanical Engineering, Yuan Ze University, 135 Yuan-Tung Road, Chungli, 320 Taoyuan, Taiwan, ROC (China)

    2013-02-01

    Transparent conductive oxide thin films in solar cell fabrication have attracted much attention due to their high conductivity and transmittance. In this paper, we have investigated the aluminum-doped zinc oxide (AZO) thin films prepared by radiofrequency magnetron sputtering on Asahi U-type SnO{sub 2} glass with different O{sub 2}/Ar flow ratios in vacuum chamber. Furthermore, the micro-structural, electrical, and optical properties of AZO/SnO{sub 2} films were studied. The change in O{sub 2}/Ar flow ratios is found to significantly affect the haze value, and slightly affect electrical resistivity and transmittance of the films. Afterward, the fabricated AZO thin films with different O{sub 2}/Ar flow ratios were used for building the solar cell devices. The current–voltage and external quantum efficiency characteristics were investigated for the solar cell devices. The optimized O{sub 2}/Ar flow ratio of 3 for solar device shows the best efficiency of 10.41%, and a 20% increase in short-circuit current density compared to typical Asahi solar cells. - Highlights: ► A thin Al-doped zinc oxide (AZO) film has been deposited on SnO{sub 2} substrates. ► The AZO film deposited at an O{sub 2}/Ar ratio of 3 shows low resistivity and high haze. ► The AZO film contains tiny grains that enhance light scattering. ► The amorphous silicon solar cell with the AZO layer shows a 20% increase in Jsc.

  4. Oxidation films morphology

    International Nuclear Information System (INIS)

    Paidassi, J.

    1960-01-01

    After studying the oxidation of several pure polyvalent metals (Fe, Cu, Mn, Ni, U) and of their oxides at high temperature and atmospheric pressure, the author suggests how to modify the usual representation of the oxide film (a piling of different oxide layers, homogeneous on a micrographic scale with a equi-axial crystallisation, free of mechanical tensions, with flat boundary surfaces) to have it nearer to reality. In this first part, the author exposes the study of the real micrographic structure of the oxidation film and gives examples of precipitation in the oxides during the cooling of the oxidised sample. (author) [fr

  5. Structural, optical and electrical properties of quasi-monocrystalline silicon thin films obtained by rapid thermal annealing of porous silicon layers

    International Nuclear Information System (INIS)

    Hajji, M.; Khardani, M.; Khedher, N.; Rahmouni, H.; Bessais, B.; Ezzaouia, H.; Bouchriha, H.

    2006-01-01

    Quasi-mono-crystalline silicon (QMS) layers have a top surface like crystalline silicon with small voids in the body. Such layers are reported to have a higher absorption coefficient than crystalline silicon at the interesting range of the solar spectrum for photovoltaic application. In this work we present a study of the structural, optical and electrical properties of quasimonocrystalline silicon thin films. Quasimonocrystalline silicon thin films were obtained from porous silicon, which has been annealed at a temperature ranging from 950 to 1050 deg. C under H 2 atmosphere for different annealing durations. The porous layers were prepared by conventional electrochemical anodization using a double tank cell and a HF / Ethanol electrolyte. Porous silicon is formed on highly doped p + -type silicon substrates that enable us to prevent back contacts for the anodization. Atomic Force Microscope (AFM) was used to study the morphological quality of the prepared layers. Optical properties were extracted from transmission and reflectivity spectra. Dark I-V characteristics were used to determine the electrical conductivity of quasimonocrystalline silicon thin films. Results show an important improvement of the absorption coefficient of the material and electrical conductivity reaches a value of twenty orders higher than that of starting mesoporous silicon

  6. Oxide films in laser additive manufactured Inconel 718

    International Nuclear Information System (INIS)

    Zhang, Y.N.; Cao, X.; Wanjara, P.; Medraj, M.

    2013-01-01

    A continuous-wave 5 kW fiber laser welding system was used in conduction mode to deposit Inconel® alloy 718 (IN718) by employing filler wire on as-serviced IN718 parent material (PM) substrates. The direct laser deposited (DLD) coupons and as-serviced IN718 PM were then evaluated through tensile testing. To understand the failure mechanisms, the tensile fracture surfaces of the as-serviced IN718 PM, DLD and DLD-PM samples were analyzed using scanning electron microscopy. The fracture surfaces revealed the presence of both Al 2 O 3 and Cr 2 O 3 films, although the latter was reasoned to be the main oxide in IN718. Both the experimental observations and thermodynamic analysis indicated that oxidation of some alloying elements in IN718 cannot be completely avoided during manufacturing, whether in the liquid state under vacuum (for casting, the electron beam melting, welding and/or deposition) or with inert gas protection (for welding or laser deposition). The exposed surface of the oxide film on the fracture surface has poor wetting with the metal and thus can constitute a lack of bonding or a crack with either the metal and/or another non-wetted side of the oxide film. On the other hand, the wetted face of the oxide film has good atom-to-atom contact with the metal and may nucleate some intermetallic compounds, such as Laves, Ni 3 Nb-δ, Nb-rich MC and γ′ compounds. The potential of their nucleation on Cr 2 O 3 was assessed using planar disregistry. Coherent planes were found between these intermetallics and Cr 2 O 3

  7. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  8. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  9. Characterization of 10 μm thick porous silicon dioxide obtained by complex oxidation process for RF application

    International Nuclear Information System (INIS)

    Park, Jeong-Yong; Lee, Jong-Hyun

    2003-01-01

    This paper proposes a 10 μm thick oxide layer structure, which can be used as a substrate for RF circuits. The structure has been fabricated by anodic reaction and complex oxidation, which is a combined process of low temperature thermal oxidation (500 deg. C, for 1 h at H 2 O/O 2 ) and a rapid thermal oxidation (RTO) process (1050 deg. C, for 1 min). The electrical characteristics of oxidized porous silicon layer (OPSL) were almost the same as those of standard thermal silicon dioxide. The leakage current through the OPSL of 10 μm was about 100-500 pA in the range of 0-50 V. The average value of breakdown field was about 3.9 MV cm -1 . From the X-ray photo-electron spectroscopy (XPS) analysis, surface and internal oxide films of OPSL, prepared by complex process were confirmed to be completely oxidized and also the role of RTO process was important for the densification of porous silicon layer (PSL) oxidized at a lower temperature. For the RF-test of Si substrate with thick silicon dioxide layer, we have fabricated high performance passive devices such as coplanar waveguide (CPW) on OPSL substrate. The insertion loss of CPW on OPSL prepared by complex oxidation process was -0.39 dB at 4 GHz and similar to that of CPW on OPSL prepared by a temperature of 1050 deg. C (1 h at H 2 O/O 2 ). Also the return loss of CPW on OPSL prepared by complex oxidation process was -23 dB at 10 GHz, which is similar to that of CPW on OPSL prepared by high temperature

  10. Growth of YBCO superconducting thin films on CaF sub 2 buffered silicon

    CERN Document Server

    Bhagwat, S S; Patil, J M; Shirodkar, V S

    2000-01-01

    CaF sub 2 films were grown on silicon using the neutral cluster beam deposition technique. These films were highly crystalline and c-axis oriented. Superconducting YBCO thin films were grown on the Ca F sub 2 buffered silicon using the laser ablation technique. These films showed T sub c (onset) at 90 K and Tc(zero) at 86 K. X-ray diffraction analysis showed that the YBCO films were also oriented along the c-axis.

  11. Indium tin oxide thin-films prepared by vapor phase pyrolysis for efficient silicon based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Simashkevich, Alexei, E-mail: alexeisimashkevich@hotmail.com [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Serban, Dormidont; Bruc, Leonid; Curmei, Nicolai [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Hinrichs, Volker [Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Rusu, Marin [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany)

    2016-07-01

    The vapor phase pyrolysis deposition method was developed for the preparation of indium tin oxide (ITO) thin films with thicknesses ranging between 300 and 400 nm with the sheet resistance of 10–15 Ω/sq. and the transparency in the visible region of the spectrum over 80%. The layers were deposited on the (100) surface of the n-type silicon wafers with the charge carriers concentration of ~ 10{sup 15} cm{sup −3}. The morphology of the ITO layers deposited on Si wafers with different surface morphologies, e.g., smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) was investigated. The as-deposited ITO thin films consist of crystalline columns with the height of 300–400 nm and the width of 50–100 nm. Photovoltaic parameters of mono- and bifacial solar cells of Cu/ITO/SiO{sub 2}/n–n{sup +} Si/Cu prepared on Si (100) wafers with different surface structures were studied and compared. A maximum efficiency of 15.8% was achieved on monofacial solar cell devices with the textured Si surface. Bifacial photovoltaic devices from 100 μm thick Si wafers with the smooth surface have demonstrated efficiencies of 13.0% at frontal illumination and 10% at rear illumination. - Highlights: • ITO thin films prepared by vapor phase pyrolysis on Si (100) wafers with a smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) surface. • Monofacial ITO/SiO2/n-n+Si solar cells with an efficiency of 15.8% prepared and bifacial PV devices with front- and rear-side efficiencies up to 13% demonstrated. • Comparative studies of photovoltaic properties of solar cells with different morphologies of the Si wafer surface presented.

  12. Electron and ion beam degradation effects in AES analysis of silicon nitride thin films

    International Nuclear Information System (INIS)

    Fransen, F.; Vanden Berghe, R.; Vlaeminck, R.; Hinoul, M.; Remmerie, J.; Maes, H.E.

    1985-01-01

    Silicon nitride films are currently investigated by AES combined with ion profiling techniques for their stoichiometry and oxygen content. During this analysis, ion beam and primary electron effects were observed. The effect of argon ion bombardment is the preferential sputtering of nitrogen, forming 'covalent' silicon at the surface layer (AES peak at 91 eV). The electron beam irradiation results in a decrease of the covalent silicon peak, either by an electron beam annealing effect in the bulk of the silicon nitride film, or by an ionization enhanced surface diffusion process of the silicon (electromigration). By the electron beam annealing, nitrogen species are liberated in the bulk of the silicon nitride film and migrate towards the surface where they react with the covalent silicon. The ionization enhanced diffusion originates from local charging of the surface, induced by the electron beam. (author)

  13. Oxidation under electron bombardment. A tool for studying the initial states of silicon oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Carriere, B.; Deville, J.P.; El Maachi, A.

    1987-06-01

    The exciting beam of an Auger electron spectrometer has been used to monitor the oxidation of silicon single crystals at room temperature and very low pressures of oxygen (approx. 10/sup -7/ Torr). This process allows us to build ultra-thin layers of silica on silicon (down to 30 A) but it is mostly used to investigate the mechanisms of the initial stages of oxidation. Auger spectra recorded continuously during the oxidation process provide information on (1) the nature of the silicon-oxygen chemical bonds which are interpreted through fine structure in the Auger peak, and (2) the kinetics of oxide formation which are deduced from curves of Auger signal versus time. An account is given of the contribution of these Auger studies to the description of the intermediate oxide layer during the reaction between silicon and oxygen and the influence of surface structural disorder, induced mainly by argon-ion bombardment, is discussed in terms of reactivity and oxide coverage.

  14. Plasma-enhanced growth, composition, and refractive index of silicon oxy-nitride films

    DEFF Research Database (Denmark)

    Mattsson, Kent Erik

    1995-01-01

    Secondary ion mass spectrometry and refractive index measurements have been carried out on silicon oxy-nitride produced by plasma-enhanced chemical vapor deposition (PECVD). Nitrous oxide and ammonia were added to a constant flow of 2% silane in nitrogen, to produce oxy-nitride films with atomic...... nitrogen concentrations between 2 and 10 at. %. A simple atomic valence model is found to describe both the measured atomic concentrations and published material compositions for silicon oxy-nitride produced by PECVD. A relation between the Si–N bond concentration and the refractive index is found......-product. A model, that combine the chemical net reaction and the stoichiometric rules, is found to agree with measured deposition rates for given material compositions. Effects of annealing in a nitrogen atmosphere has been investigated for the 400 °C– 1100 °C temperature range. It is observed that PECVD oxy...

  15. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  16. Influence of dissolved hydrogen on oxide film and PWSCC of Alloy 600 in PWR primary water

    Energy Technology Data Exchange (ETDEWEB)

    Nakagawa, Tomokazu; Totsuka, Nobuo; Nakajima, Nobuo [Institute of Nuclear Safety System Inc., Mihama, Fukui (Japan)

    2001-09-01

    In order to investigate the influence of dissolved hydrogen (DH) on the corrosion behavior and PWSCC of Alloy 600 in primary water of PWR under actual operating temperature range, we carried out electrochemical polarization measurement, repassivation test, analysis of the oxide film on the alloy by AES, XPS and PWSCC test. In all cases, the content of DH was changed from 0 to 45 cc/kgH{sub 2}O. The anodic polarization curve reveals that the peak current density increases with increasing DH. The result of the repassivation test shows that the repassivation rate decreases with increasing DH, and the changes of the above two become larger between 11 and 22 cc/kgH{sub 2}O of DH. According to the results of oxide film analysis, it is seen that the oxide films formed below 11 cc/kgH{sub 2}O of DH are relatively thick and rich in Ni, but those formed at higher DH contents are relatively thin and rich in Cr and Fe. The susceptibility of the alloy to PWSCC has a peak at 11 cc/kgH{sub 2}O of DH, which reveals that the property of the oxide film may play important role in PWSCC of alloy. (author)

  17. Biofunctionalization on Alkylated Silicon Substrate Surfaces via “Click” Chemistry

    OpenAIRE

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J.; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-01-01

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the non-oxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3...

  18. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  19. Broadband dielectric spectroscopy of oxidized porous silicon

    International Nuclear Information System (INIS)

    Axelrod, Ekaterina; Urbach, Benayahu; Sa'ar, Amir; Feldman, Yuri

    2006-01-01

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals

  20. Broadband dielectric spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Axelrod, Ekaterina [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Urbach, Benayahu [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Sa' ar, Amir [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Feldman, Yuri [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel)

    2006-04-07

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals.

  1. Large-grain polycrystalline silicon film by sequential lateral solidification on a plastic substrate

    International Nuclear Information System (INIS)

    Kim, Yong-Hae; Chung, Choong-Heui; Yun, Sun Jin; Moon, Jaehyun; Park, Dong-Jin; Kim, Dae-Won; Lim, Jung Wook; Song, Yoon-Ho; Lee, Jin Ho

    2005-01-01

    A large-grain polycrystalline silicon film was obtained on a plastic substrate by sequential lateral solidification. With various combinations of sputtering powers and Ar working gas pressures, the conditions for producing dense amorphous silicon (a-Si) and SiO 2 films were optimized. The successful crystallization of the a-Si film is attributed to the production of a dense a-Si film that has low argon content and can endure high-intensity laser irradiation

  2. Effect of carbo-nitride-rich and oxide-rich inclusions on the pitting susceptibility of depleted uranium

    International Nuclear Information System (INIS)

    Pu, Zhen; Chen, Xianglin; Meng, Xiandong; Wu, Yanping; Shen, Liang; Wang, Qingfu; Liu, Tianwei; Shuai, Maobing

    2017-01-01

    Highlights: •The Volta potential differences relative to the matrix are positive for both types of inclusions. •Both types of inclusions are cathodic in the “inclusion/matrix” microgalvanic couples. •The oxide-rich inclusions show a larger Volta potential value of about 115 mV than the carbo-nitride-rich inclusions. •The oxide-rich inclusions give stronger local galvanic coupling with the matrix. •The oxide-rich inclusions are more predisposed to initiate pitting corrosion. -- Abstract: The effects of carbo-nitride-rich and oxide-rich inclusions on the pitting susceptibility of depleted uranium were investigated by electrochemical corrosion measurements, optical microscopy, scanning Kelvin probe force microscopy (SKPFM), and SEM. The results of the potentiodynamic polarization tests suggest that oxide-rich inclusions are more likely to induce pitting corrosion than carbo-nitride-rich inclusions. This enhanced corrosion may be explained by the strong local galvanic coupling between the oxide-rich inclusion and the surrounding matrix, which, from the sight of SKPFM analysis, exhibits a 115 V higher Volta potential than the coupling between the carbo-nitride-rich inclusions and the matrix, respectively.

  3. Glow discharge-deposited amorphous silicon films for low-cost solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Grabmaier, J G; Plaettner, R D; Stetter, W [Siemens A.G., Muenchen (Germany, F.R.). Forschungslaboratorien

    1980-01-01

    Due to their high absorption constant, glow discharge-deposited amorphous silicon (a-Si) films are of great interest for low-cost solar cells. Using SiH/sub 4/ and SiX/sub 4//H/sub 2/ (X = Cl or F) gas mixtures in an inductively or capacitively excited reactor, a-Si films with thicknesses up to several micrometers were deposited on substrates of glass, silica and silicon. The optical and electrical properties of the films were determined by measuring the IR absorption spectra, dark conductivity, photoconductivity, and photoluminescence. Hydrogen, chlorine, or fluorine were incorporated in the films in order to passivate dangling bonds in the amorphous network.

  4. Thermal oxidation of silicon with two oxidizing species

    International Nuclear Information System (INIS)

    Vild-Maior, A.A.; Filimon, S.

    1979-01-01

    A theoretical model for the thermal oxidation of silicon in wet oxygen is presented. It is shown that the presence of oxygen in the oxidation furnace has an important effect when the water temperature is not too high (less than about 65 deg C). The model is in good agreement with the experimental data. (author)

  5. Dewetting of nickel oxide-films on silicon under swift heavy ion irradiation

    International Nuclear Information System (INIS)

    Bolse, Thunu; Elsanousi, Ammar; Paulus, Hartmut; Bolse, Wolfgang

    2006-01-01

    Dewetting, occurring when a thin film on a non-wettable substrate turns into its liquid state, has gained strong interest during the last decade, since it results in nano-scale, large-area covering pattern formation. Recently we found that swift heavy ion (SHI) irradiation of thin NiO films on Si substrates at 80 K results in similar dewetting pattern, although in this case the coating has never reached its melting point. Careful inspection of the SEM images clearly revealed that the same nucleation mechanisms as observed for molten polymer films on Si (heterogeneous and homogeneous nucleation) were active. AFM shows that the circular holes formed in the early stages of the dewetting process exhibit a high and asymmetric rim-structure. RBS analysis was used to measure the coverage of the surface by the oxide films and revealed that the holes grow at constant velocity. This, and the shape of the rims, indicate that the material removed from the substrate surface piles up by plastic deformation, which points at a balance of the capillary driving forces and the hindered material dissipation

  6. Silicon-Light: a European FP7 Project Aiming at High Efficiency Thin Film Silicon Solar Cells on Foil

    DEFF Research Database (Denmark)

    Soppe, W.; Haug, F.-J.; Couty, P.

    2011-01-01

    Silicon-Light is a European FP7 project, which started January 1st, 2010 and aims at development of low cost, high-efficiency thin film silicon solar cells on foil. Three main routes are explored to achieve these goals: a) advanced light trapping by implementing nanotexturization through UV Nano...... calculations of ideal nanotextures for light trapping in thin film silicon solar cells; the fabrication of masters and the replication and roll-to-roll fabrication of these nanotextures. Further, results on ITO variants with improved work function are presented. Finally, the status of cell fabrication on foils...

  7. Rare Earth Oxide Thin Films

    CERN Document Server

    Fanciulli, Marco

    2007-01-01

    Thin rare earth (RE) oxide films are emerging materials for microelectronic, nanoelectronic, and spintronic applications. The state-of-the-art of thin film deposition techniques as well as the structural, physical, chemical, and electrical properties of thin RE oxide films and of their interface with semiconducting substrates are discussed. The aim is to identify proper methodologies for the development of RE oxides thin films and to evaluate their effectiveness as innovative materials in different applications.

  8. Thin film metal-oxides

    CERN Document Server

    Ramanathan, Shriram

    2009-01-01

    Presents an account of the fundamental structure-property relations in oxide thin films. This title discusses the functional properties of thin film oxides in the context of applications in the electronics and renewable energy technologies.

  9. Sputtered tin oxide and titanium oxide thin films as alternative transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Boltz, Janika

    2011-12-12

    Alternative transparent conductive oxides to tin doped indium oxide have been investigated. In this work, antimony doped tin oxide and niobium doped titanium oxide have been studied with the aim to prepare transparent and conductive films. Antimony doped tin oxide and niobium doped titanium oxide belong to different groups of oxides; tin oxide is a soft oxide, while titanium oxide is a hard oxide. Both oxides are isolating materials, in case the stoichiometry is SnO{sub 2} and TiO{sub 2}. In order to achieve transparent and conductive films free carriers have to be generated by oxygen vacancies, by metal ions at interstitial positions in the crystal lattice or by cation doping with Sb or Nb, respectively. Antimony doped tin oxide and niobium doped titanium oxide films have been prepared by reactive direct current magnetron sputtering (dc MS) from metallic targets. The process parameters and the doping concentration in the films have been varied. The films have been electrically, optically and structurally analysed in order to analyse the influence of the process parameters and the doping concentration on the film properties. Post-deposition treatments of the films have been performed in order to improve the film properties. For the deposition of transparent and conductive tin oxide, the dominant parameter during the deposition is the oxygen content in the sputtering gas. The Sb incorporation as doping atoms has a minor influence on the electrical, optical and structural properties. Within a narrow oxygen content in the sputtering gas highly transparent and conductive tin oxide films have been prepared. In this study, the lowest resistivity in the as deposited state is 2.9 m{omega} cm for undoped tin oxide without any postdeposition treatment. The minimum resistivity is related to a transition to crystalline films with the stoichiometry of SnO{sub 2}. At higher oxygen content the films turn out to have a higher resistivity due to an oxygen excess. After post

  10. Thin film oxygen partial pressure sensor

    Science.gov (United States)

    Wortman, J. J.; Harrison, J. W.; Honbarrier, H. L.; Yen, J.

    1972-01-01

    The development is described of a laboratory model oxygen partial pressure sensor using a sputtered zinc oxide thin film. The film is operated at about 400 C through the use of a miniature silicon bar. Because of the unique resistance versus temperature relation of the silicon bar, control of the operational temperature is achieved by controlling the resistance. A circuit for accomplishing this is described. The response of sputtered zinc oxide films of various thicknesses to oxygen, nitrogen, argon, carbon dioxide, and water vapor caused a change in the film resistance. Over a large range, film conductance varied approximately as the square root of the oxygen partial pressure. The presence of water vapor in the gas stream caused a shift in the film conductance at a given oxygen partial pressure. A theoretical model is presented to explain the characteristic features of the zinc oxide response to oxygen.

  11. Physical and electrical properties of thermal oxidized Sm{sub 2}O{sub 3} gate oxide thin film on Si substrate: Influence of oxidation durations

    Energy Technology Data Exchange (ETDEWEB)

    Goh, Kian Heng; Haseeb, A.S.M.A.; Wong, Yew Hoong, E-mail: yhwong@um.edu.my

    2016-05-01

    Growth of 150 nm Sm{sub 2}O{sub 3} films by sputtered pure samarium metal film on silicon substrates and followed by thermal oxidation process in oxygen ambient at 700 °C through various oxidation durations (5 min, 10 min, 15 min and 20 min) has been carried out. The crystallinity of Sm{sub 2}O{sub 3} film and existence of interfacial layer have been evaluated by X-ray diffraction, Fourier transform infrared and Raman analysis. Crystallite size and microstrain of Sm{sub 2}O{sub 3} were estimated by Williamson–Hall plot analysis. Calculated crystallite size of Sm{sub 2}O{sub 3} from Scherrer equation has similar trend with the value from Williamson–Hall plot. The presence of interfacial layer is supported by composition line scan by energy dispersive X-ray spectroscopy analysis. The surface roughness and surface topography of Sm{sub 2}O{sub 3} film were examined by atomic force microscopy analysis. The electrical characterization revealed that 15 min of oxidation durations with smoothest surface has highest breakdown voltage, lowest leakage current density and highest barrier height value. - Highlights: • Thermal oxidation of sputtered pure metallic Sm in oxygen ambient • Formation of polycrystalline Sm{sub 2}O{sub 3} and semi-polycrystalline interfacial layers • Optimization of oxidation duration of pure metallic Sm in oxygen ambient • Enhanced electrical performance with smooth surface and increased barrier height.

  12. Investigation of carbon nanotube-containing film on silicon substrates and its tribological behavior

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Zhiyong [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Cheng, Xianhua, E-mail: xhcheng@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2015-11-15

    Highlights: • CNT-containing film was self-assembled on silicon substrates. • CNTs are strongly bonded with the substrates by chemical combination between La and oxygen-containing functional groups. • CNT-containing film has excellent friction reduction, load-carrying capacity and anti-wear ability. - Abstract: Carbon nanotubes (CNTs) were functionalized with Lanthanum (La) modifier and appropriate acid-treatment methods. CNT-containing film was deposited on silicon substrates via a self-assembly process. The formation and microstructure of La treated CNTs and CNT-containing film were characterized by high-resolution transmission electron microscopy (HRTEM), scanning electron microscopy (SEM), X-ray photoelectron spectrometry (XPS) and water contact angle (WCA). Its tribological properties were evaluated with a UMT-2MT reciprocating friction tester. The results show that CNTs were adsorbed on silicon substrates by means of chemically bonding between La and oxygen-containing functional groups. The friction coefficient of the silicon substrates is reduced from 0.87 to 0.12 after the deposition of CNT-containing film on its surface. CNT-containing film shows excellent antiwear, friction reducing ability and load-carrying capacity due to excellent mechanical and self-lubrication properties of CNTs.

  13. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  14. Morphological and optical properties of silicon thin films by PLD

    International Nuclear Information System (INIS)

    Ayouchi, R.; Schwarz, R.; Melo, L.V.; Ramalho, R.; Alves, E.; Marques, C.P.; Santos, L.; Almeida, R.; Conde, O.

    2009-01-01

    Silicon thin films have been prepared on sapphire substrates by pulsed laser deposition (PLD) technique. The films were deposited in vacuum from a silicon target at a base pressure of 10 -6 mbar in the temperature range from 400 to 800 deg. C. A Q-switched Nd:YAG laser (1064 nm, 5 ns duration, 10 Hz) at a constant energy density of 2 J x cm -2 has been used. The influence of the substrate temperature on the structural, morphological and optical properties of the Si thin films was investigated. Spectral ellipsometry and atomic force microscopy (AFM) were used to study the thickness and the surface roughness of the deposited films. Surface roughness values measured by AFM and ellipsometry show the same tendency of increasing roughness with increased deposition temperature

  15. Stress and phase changes in a low-thermal-expansion Al-3at.%Ge alloy film on oxidized silicon wafers

    International Nuclear Information System (INIS)

    Tu, K.N.; Rodbell, K.P.; Herd, S.R.; Mikalsen, D.J.

    1993-01-01

    The alloy of Al-3at.%Ge has been found to have a low thermal expansion and contraction in the temperature range of room temperature to 400 C. The reason for the low thermal contraction (or expansion) is the precipitation (or dissolution) of Ge in the alloy. The Ge precipitates have a diamond structure in which each Ge atom occupies a much larger atomic volume than a Ge atom dissolved substitutionally in Al. The volume difference compensates for the effect of thermal expansion and contraction with changing temperature which in turn reduces the thermal stress due to thermal mismatch. The technique of wafer bending was used to determine the stress of the alloy film on oxidized silicon wafers upon thermal cycling; indeed, it is much lower than that of pure Al on identical wafers. The morphology of precipitation and dissolution of Ge in Al has been studied by transmission and scanning electron microscopy. It is found that the precipitation follows a discontinuous mode and occurs predominantly along grain boundaries. In dissolving the Ge precipitates into Al, voids are left behind because of the volume difference. It is proposed that this may explain the enhancement of nucleation of voids in the alloy film upon thermal cycling. (orig.)

  16. Zirconates heteroepitaxy on silicon

    Science.gov (United States)

    Fompeyrine, Jean; Seo, Jin Won; Seigwart, Heinz; Rossel, Christophe; Locquet, Jean-Pierre

    2002-03-01

    In the coming years, agressive scaling in CMOS technology will probably trigger the transition to more advanced materials, for example alternate gate dielectrics. Epitaxial thin films are attractive candidates, as long as the difficult chemical and structural issues can be solved, and superior properties can be obtained. Since very few binary oxides can match the electrical, physical and structural requirements which are needed, a combination of those binaries are used here to investigate other lattice matched oxides. We will report on the growth of crystalline zirconium oxide thin films stabilized with different cationic substitutions. All films have been grown in an oxide-MBE system by direct evaporation of the elements on silicon substrates and exposure to molecular or atomic oxygen. The conditions required to obtain epitaxial thin films will be discussed, and successful examples will be presented.

  17. Oxide layers for silicon detector protection against enviroment effects

    International Nuclear Information System (INIS)

    Bel'tsazh, E.; Brylovska, I.; Valerian, M.

    1986-01-01

    It is shown that for protection of silicon detectors of nuclear radiations oxide layers could be used. The layers are produced by electrochemical oxidation of silicon surface with the following low-temperature annealing. These layers have characteristics similar to those for oxide layers produced by treatment of silicon samples at elevated temperature in oxygen flow. To determine properties of oxide layers produced by electrochemical oxidation the α-particle back-scattering method and the method of volt-farad characteristics were used. Protection properties of such layers were checked on the surface-barrier detectors. It was shown that protection properties of such detectors were conserved during long storage at room temperature and during their storage under wet-bulb temperature. Detectors without protection layer have worsened their characteristics

  18. Influence of the sputtering system's vacuum level on the properties of indium tin oxide films

    International Nuclear Information System (INIS)

    Zebaze Kana, M.G.; Centurioni, E.; Iencinella, D.; Summonte, C.

    2006-01-01

    The influence of the chamber residual pressure level in the radio frequency magnetron sputtering process on the electrical, optical and structural properties of indium thin oxide (ITO) is investigated. Several ITO films were deposited at various residual pressure levels on Corning glass using In 2 O 3 :SnO 2 target in argon atmosphere and without the addition of oxygen partial pressure. It is found that a very good vacuum is associated to metallic films and results in less transparent ITO films, with some powder formation on the surface. On the contrary highly transparent and conducting films are produced at a higher residual pressure. The best deposition conditions are addressed for ITO films as transparent conducting oxide layers in silicon heterojunction solar cells. Using the optimal vacuum level for ITO fabrication, a maximum short circuit current of 36.6 mA/cm 2 and a fill-factor of 0.78 are obtained for solar cells on textured substrates with a device conversion efficiency of 16.2%

  19. Electronic transport in mixed-phase hydrogenated amorphous/nanocrystalline silicon thin films

    Science.gov (United States)

    Wienkes, Lee Raymond

    Interest in mixed-phase silicon thin film materials, composed of an amorphous semiconductor matrix in which nanocrystalline inclusions are embedded, stems in part from potential technological applications, including photovoltaic and thin film transistor technologies. Conventional mixed-phase silicon films are produced in a single plasma reactor, where the conditions of the plasma must be precisely tuned, limiting the ability to adjust the film and nanoparticle parameters independently. The films presented in this thesis are deposited using a novel dual-plasma co-deposition approach in which the nanoparticles are produced separately in an upstream reactor and then injected into a secondary reactor where an amorphous silicon film is being grown. The degree of crystallinity and grain sizes of the films are evaluated using Raman spectroscopy and X-ray diffraction respectively. I describe detailed electronic measurements which reveal three distinct conduction mechanisms in n-type doped mixed-phase amorphous/nanocrystalline silicon thin films over a range of nanocrystallite concentrations and temperatures, covering the transition from fully amorphous to ~30% nanocrystalline. As the temperature is varied from 470 to 10 K, we observe activated conduction, multiphonon hopping (MPH) and Mott variable range hopping (VRH) as the nanocrystal content is increased. The transition from MPH to Mott-VRH hopping around 100K is ascribed to the freeze out of the phonon modes. A conduction model involving the parallel contributions of these three distinct conduction mechanisms is shown to describe both the conductivity and the reduced activation energy data to a high accuracy. Additional support is provided by measurements of thermal equilibration effects and noise spectroscopy, both done above room temperature (>300 K). This thesis provides a clear link between measurement and theory in these complex materials.

  20. Low-temperature growth and electronic structures of ambipolar Yb-doped zinc tin oxide transparent thin films

    Science.gov (United States)

    Oh, Seol Hee; Ferblantier, Gerald; Park, Young Sang; Schmerber, Guy; Dinia, Aziz; Slaoui, Abdelilah; Jo, William

    2018-05-01

    The compositional dependence of the crystal structure, optical transmittance, and surface electric properties of the zinc tin oxide (Zn-Sn-O, shortened ZTO) thin films were investigated. ZTO thin films with different compositional ratios were fabricated on glass and p-silicon wafers using radio frequency magnetron sputtering. The binding energy of amorphous ZTO thin films was examined by a X-ray photoelectron spectroscopy. The optical transmittance over 70% in the visible region for all the ZTO films was observed. The optical band gap of the ZTO films was changed as a result of the competition between the Burstein-Moss effect and renormalization. An electron concentration in the films and surface work function distribution were measured by a Hall measurement and Kelvin probe force microscopy, respectively. The mobility of the n- and p-type ZTO thin films have more than 130 cm2/V s and 15 cm2/V s, respectively. We finally constructed the band structure which contains band gap, work function, and band edges such as valence band maximum and conduction band minimum of ZTO thin films. The present study results suggest that the ZTO thin film is competitive compared with the indium tin oxide, which is a representative material of the transparent conducting oxides, regarding optoelectronic devices applications.

  1. Nanocomposites Based on Polyethylene and Nanocrystalline Silicon Films

    Directory of Open Access Journals (Sweden)

    Olkhov Anatoliy Aleksandrovich

    2014-12-01

    Full Text Available High-strength polyethylene films containing 0.5-1.0 wt. % of nanocrystalline silicon (nc-Si were synthesized. Samples of nc-Si with an average core diameter of 7-10 nm were produced by plasmochemical method and by laser-induced decomposition of monosilane. Spectral studies revealed almost complete (up to ~95 % absorption of UV radiation in 200- 400 nm spectral region by 85 micron thick film if the nc-Si content approaches to 1.0 wt. %. The density function of particle size in the starting powders and polymer films containing immobilized silicon nanocrystallites were obtained using the modeling a complete profile of X-ray diffraction patterns, assuming spherical grains and the lognormal distribution. The results of X-ray analysis shown that the crystallite size distribution function remains almost unchanged and the crystallinity of the original polymer increases to about 10 % with the implantation of the initial nc-Si samples in the polymer matrix.

  2. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  3. Influence of oxidation treatment on ballistic electron surface-emitting display of porous silicon

    International Nuclear Information System (INIS)

    Du, Wentao; Zhang, Xiaoning; Zhang, Yujuan; Wang, Wenjiang; Duan, Xiaotao

    2012-01-01

    Two groups of porous silicon (PS) samples are treated by rapid thermal oxidation (RTO) and electrochemical oxidation (ECO), respectively. Scanning electron microscopy images show that PS samples are segmented into two layers. Oxidized film layer is formed on the top surface of PS samples treated by RTO while at the bottom of PS samples treated by ECO. Both ECO and RTO treatment can make emission current density, diode current density, and emission efficiency of PS increase with the bias voltage increasing. The emission current density and the field emission enhancement factor β of PS sample treated by RTO are larger than that treated by ECO. The Fowler–Nordheim curves of RTO and ECO samples are linear which indicates that high electric field exists on the oxidized layer and field emission occurs whether PS is treated by RTO or ECO.

  4. Electrical characterization of high-pressure reactive sputtered ScOx films on silicon

    International Nuclear Information System (INIS)

    Castan, H.; Duenas, S.; Gomez, A.; Garcia, H.; Bailon, L.; Feijoo, P.C.; Toledano-Luque, M.; Prado, A. del; San Andres, E.; Lucia, M.L.

    2011-01-01

    Al/ScO x /SiN x /n-Si and Al/ScO x /SiO x /n-Si metal-insulator-semiconductor capacitors have been electrically characterized. Scandium oxide was grown by high-pressure sputtering on different substrates to study the dielectric/insulator interface quality. The substrates were silicon nitride and native silicon oxide. The use of a silicon nitride interfacial layer between the silicon substrate and the scandium oxide layer improves interface quality, as interfacial state density and defect density inside the insulator are decreased.

  5. Large Area Thin Film Silicon: Synergy between Displays and Solar Cells

    NARCIS (Netherlands)

    Schropp, R.E.I.

    2012-01-01

    Thin-film silicon technology has changed our society, owing to the rapid advance of its two major application fields in communication (thin-film displays) and sustainable energy (thin-film solar cells). Throughout its development, advances in these application fields have always benefitted each

  6. Development of thin film measurement program of wafer for spin etcher

    International Nuclear Information System (INIS)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul; Cho, Jung Keun; Bae, Jung Yong

    2001-01-01

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  7. Development of thin film measurement program of wafer for spin etcher

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul [Korea University of Technology and Education, Cheonan (Korea, Republic of); Cho, Jung Keun; Bae, Jung Yong [Korea DNS, Cheonan (Korea, Republic of)

    2001-11-15

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  8. Pull-test adhesion measurements of diamondlike carbon films on silicon carbide, silicon nitride, aluminum oxide, and zirconium oxide

    Energy Technology Data Exchange (ETDEWEB)

    Erck, R.A.; Nichols, F.A. [Argonne National Lab., IL (United States); Dierks, J.F. [North Dakota State Univ., Fargo, ND (United States)

    1993-10-01

    Hydrogenated amorphous carbon films or diamondlike carbon (DLC) films were formed by ion-beam deposition of 400 eV methane (CH{sub 4}) ions on several smooth and rough ceramics, as well as on ceramics coated with a layer of Si and Ti. Adhesion was measured by the pin-pull method. Excellent adhesion was measured for smooth SiC and Si{sub 3}N{sub 4}, but adhesion of DLC to Al{sub 2}O{sub 3} and ZrO{sub 2} was negligible. The use of a Si bonding interlayer produced good adhesion to all the substrates, but a Ti layer was ineffective because bonding between the DLC film and Ti was poor. The presence of surface roughness appeared to greatly increase the measured adhesion in all cases. Bulk thermodynamic calculations are not directly applicable to bonding at the interface. If the standard enthalpy of formation for reaction between CH{sub 4} and substrate is calculated assumpting a carbide or carbon phase is produced, a relation is seen between reaction enthalpy and relative adhesion. Large positive enthalpies are associated with poor adhesion; negative or small positive enthalpies are associated with good adhesion. This relation between enthalpy and adhesion was also observed for DLC deposited on Si. Lack of adhesion to Ti was attributed to inadvertent formation of a surface oxide layer that rendered the enthalpy for reaction with CH{sub 4} strongly positive and similar in magnitude to that for Al{sub 2}O{sub 3} and ZrO{sub 2}.

  9. Characterization of amorphous silicon films by Rutherford backscattering spectrometry. [1. 5-MeV Ho/sup +/

    Energy Technology Data Exchange (ETDEWEB)

    Kubota, K; Imura, T; Iwami, M; Hiraki, A [Osaka Univ., Suita (Japan). Dept. of Electrical Engineering; Satou, M [Government Industrial Research Inst., Osaka, Ikeda (Japan); Fujimoto, F [Tokyo Univ. (Japan). Coll. of General Education; Hamakawa, Y [Osaka Univ., Toyonaka (Japan). Faculty of Engineering Science; Minomura, S [Tokyo Univ. (Japan). Inst. for Solid State Physics; Tanaka, K [Electrotechnical Lab., Tanashi, Tokyo (Japan)

    1980-01-01

    Rutherford backscattering spectrometry (RBS) was applied to the characterization of amorphous silicon films prepared by glow discharge in silane, tetrode- and diode-sputterings of silicon target in ambient argon or hydrogen diluted by argon. This method was able to detect at least 5 at.% hydrogen atoms in amorphous silicon through the change of stopping power. Hydrogen content in films made by glow discharge at the substrate temperature 25/sup 0/C to 300/sup 0/C and at 2 torr of silane gas varied from 50% to 20%. A strong trend was found for oxygen to dissolve into films: Films produced by diode sputtering in argon gas with higher pressure than 3 x 10/sup -2/ torr absorbed oxygen. The potential and fitness of the RBS method for the characterization of amorphous silicon films are emphasized and demonstrated.

  10. Probing the phase composition of silicon films in situ by etch product detection

    International Nuclear Information System (INIS)

    Dingemans, G.; Donker, M. N. van den; Gordijn, A.; Kessels, W. M. M.; Sanden, M. C. M. van de

    2007-01-01

    Exploiting the higher etch probability for amorphous silicon relative to crystalline silicon, the transiently evolving phase composition of silicon films in the microcrystalline growth regime was probed in situ by monitoring the etch product (SiH 4 ) gas density during a short H 2 plasma treatment step. Etch product detection took place by the easy-to-implement techniques of optical emission spectroscopy and infrared absorption spectroscopy. The phase composition of the films was probed as a function of the SiH 4 concentration during deposition and as a function of the film thickness. The in situ results were corroborated by Raman spectroscopy and solar cell analysis

  11. Deposition of silicon films in presence of nitrogen plasma— A ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. A design, development and validation work of plasma based 'activated reactive evaporation (ARE) system' is implemented for the deposition of the silicon films in presence of nitrogen plasma on substrate maintained at room temperature. This plasma based deposition system involves evaporation of pure silicon by.

  12. Superhard PVD carbon films deposited with different gradients with and without additions of titanium and silicon

    International Nuclear Information System (INIS)

    Bauer, C.

    2003-10-01

    This work focusses on thin carbon-based films, deposited by magnetron sputtering with additional argon ion bombardment (0 eV to 800 eV) without extra adhesive layer on hard metal inserts. As one possibility of increasing the reduced adherence of hard carbon films the deposition of films with additions of titanium and silicon is studied. The aim of this work is to examine the influence of a modification of the transition between substrate and film by realizing three different types of deposition gradients. The pure carbon films are amorphous, the dominant network of atoms is formed by sp 2 bonded atoms. The amount of sp 3 bonded atoms is up to 30% and is influenced by the bombarding argon ion energy. Carbon films with additions of silicon are amorphous, only in films with a high amount of titanium (approx. 20 at%) nanocomposites of titanium carbide crystals with diameters of less than 5 nm in an amorphous carbon matrix were found. The mechanical properties and the behavior of single layer carbon films strongly depend on the argon ion energy. An increase of this energy leads to higher film hardness and higher residual stress and results in the delamination of superhard carbon films on hard metal substrates. The adhesion of single layer films for ion energies of more than 200 eV is significantly improved by additions of titanium and silicon, respectively. The addition of 23 at% silicon and titanium, respectively leads to a high reduction of the residual stress. In a non-reactive PVD process thin films were deposited with a continuously gradient in chemical composition. The results of the investigations of the films with two different concentrations of titanium and silicon, respectively show that carbon-based films with a good adhesion could be deposited. The combination of the two gradients in structure and properties and in chemical composition leads in the system with carbon and silicon carbide to hard and very adhesive films. Especially for carbon films with a high

  13. Co-sputtered ZnO:Si thin films as transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Faure, C. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Clatot, J. [LRCS, 33 Rue St Leu, F-80039 Amiens (France); Teule-Gay, L.; Campet, G. [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France); Labrugere, C. [CeCaMA, Universite de Bordeaux, ICMCB, 87 avenue du Dr. A. Schweitzer, Pessac, F-33608 (France); Nistor, M. [National Institute for Lasers, Plasmas and Radiation Physics, L22, PO Box MG-36, 77125 Bucharest-Magurele (Romania); Rougier, A., E-mail: rougier@icmcb-bordeaux.cnrs.fr [CNRS, Univ. Bordeaux, ICMCB, UPR 9048, F33600 Pessac (France)

    2012-12-01

    Silicon doped Zinc Oxide thin films, so-called SZO, were deposited at room temperature on glass and plastic substrates by co-sputtering of ZnO and SiO{sub 2} targets. The influence of the SiO{sub 2} target power supply (from 30 to 75 W) on the SZO thin film composition and crystallinity is discussed. Si/Zn atomic ratio, determined by X-ray microprobe, increases from 1.2 to 8.2 at.%. For Si/Zn ratio equal and lower than 3.9%, SZO (S{sub 3.9}ZO) thin films exhibit the Wurzite structure with the (0 0 2) preferred orientation. Larger Si content leads to a decrease in crystallinity. With Si addition, the resistivity decreases down to 3.5 Multiplication-Sign 10{sup -3} Ohm-Sign {center_dot}cm for SZO thin film containing 3.9 at.% of Si prior to an increase. The mean transmittance of S{sub 3.9}ZO thin film on glass substrate approaches 80% (it is about 90% for the film itself) in the visible range (from 400 to 750 nm). Co-sputtered SZO thin films are suitable candidates for large area transparent conductive oxides. - Highlights: Black-Right-Pointing-Pointer Si doped ZnO thin films by co-sputtering of ZnO and SiO{sub 2} targets. Black-Right-Pointing-Pointer Minimum of resistivity for Si doped ZnO thin films containing 3.9% of Si. Black-Right-Pointing-Pointer Si and O environments by X-ray Photoelectron Spectroscopy.

  14. Monolithically interconnected Silicon-Film{trademark} module technology: Annual technical report, 25 November 1997--24 November 1998

    Energy Technology Data Exchange (ETDEWEB)

    Hall, R.B.; Ford, D.H.; Rand, J.A.; Ingram, A.E.

    1999-11-11

    AstroPower continued its development of an advanced thin-silicon-based photovoltaic module product. This module combines the performance advantages of thin, light-trapped silicon layers with the capability of integration into a low-cost, monolithically interconnected array. This report summarizes the work carried out over the first year of a three-year, cost-shared contract, which has yielded the following results: Development of a low-cost, insulating, ceramic substrate that provides mechanical support at silicon growth temperatures, is matched to the thermal expansion of silicon, provides the optical properties required for light trapping through random texturing, and can be formed in large areas on a continuous basis. Different deposition techniques have been investigated, and AstroPower has developed deposition processes for the back conductive layer, the p-type silicon layer, and the mechanical/chemical barrier layer. Polycrystalline films of silicon have been grown on ceramics using AstroPower's Silicon-Film{trademark} process. These films are from 50 to 75 {micro}m thick, with columnar grains extending through the thickness of the film. Aspect ratios from 5:1 to 20:1 have been observed in these films.

  15. Polycrystalline ZnO: B grown by LPCVD as TCO for thin film silicon solar cells

    International Nuclear Information System (INIS)

    Fay, Sylvie; Steinhauser, Jerome; Nicolay, Sylvain; Ballif, Christophe

    2010-01-01

    Conductive zinc oxide (ZnO) grown by low pressure chemical vapor deposition (LPCVD) technique possesses a rough surface that induces an efficient light scattering in thin film silicon (TF Si) solar cells, which makes this TCO an ideal candidate for contacting such devices. IMT-EPFL has developed an in-house LPCVD process for the deposition of nanotextured boron doped ZnO films used as rough TCO for TF Si solar cells. This paper is a general review and synthesis of the study of the electrical, optical and structural properties of the ZnO:B that has been performed at IMT-EPFL. The influence of the free carrier absorption and the grain size on the electrical and optical properties of LPCVD ZnO:B is discussed. Transport mechanisms at grain boundaries are studied. It is seen that high doping of the ZnO grains facilitates the tunnelling of the electrons through potential barriers that are located at the grain boundaries. Therefore, even if these potential barriers increase after an exposition of the film to a humid atmosphere, the heavily doped LPCVD ZnO:B layers show a remarkable stable conductivity. However, the introduction of diborane in the CVD reaction induces also a degradation of the intra-grain mobility and increases over-proportionally the optical absorption of the ZnO:B films. Hence, the necessity to finely tune the doping level of LPCVD ZnO:B films is highlighted. Finally, the next challenges to push further the optimization of LPCVD ZnO:B films for thin film silicon solar cells are discussed, as well as some remarkable record cell results achieved with LPCVD ZnO:B as front electrode.

  16. Experimental analysis of silicon oxycarbide thin films and waveguides

    Science.gov (United States)

    Memon, Faisal Ahmed; Morichetti, Francesco; Somaschini, Claudio; Iseni, Giosue; Melloni, Andrea

    2017-05-01

    Silicon oxycarbide (SiOC) thin films are produced with reactive rf magnetron sputtering of a silicon carbide (SiC) target on Si (100) and SiO2/Si substrates under varying deposition conditions. The optical properties of the deposited SiOC thin films are characterized with spectroscopic ellispometry at multiple angles of incidence over a wavelength range 300- 1600 nm. The derived optical constants of the SiOC films are modeled with Tauc-Lorentz model. The refractive index n of the SiOC films range from 1.45 to 1.85 @ 1550 nm and the extinction coefficient k is estimated to be less than 10-4 in the near-infrared region above 1000 nm. The topography of SiOC films is studied with SEM and AFM giving rms roughness of 0.9 nm. Channel waveguides with a SiOC core with a refractive index of 1.7 have been fabricated to demonstrate the potential of sputtered SiOC for integrated photonics applications. Propagation loss as low as 0.39 +/- 0.05 dB/mm for TE and 0.41 +/- 0.05 dB/mm for TM polarizations at telecommunication wavelength 1550 nm is demonstrated.

  17. High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide

    Directory of Open Access Journals (Sweden)

    Imas Noviyana

    2017-06-01

    Full Text Available Top-contact bottom-gate thin film transistors (TFTs with zinc-rich indium zinc tin oxide (IZTO active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C.

  18. Improvement in switching characteristics and long-term stability of Zn-O-N thin-film transistors by silicon doping

    Directory of Open Access Journals (Sweden)

    Hiroshi Tsuji

    2017-06-01

    Full Text Available The effects of silicon doping on the properties of Zn-O-N (ZnON films and on the device characteristics of ZnON thin-film transistors (TFTs were investigated by co-sputtering silicon and zinc targets. Silicon doping was effective at decreasing the carrier concentration in ZnON films; therefore, the conductivity of the films can be controlled by the addition of a small amount of silicon. Doped silicon atoms also form bonds with nitrogen atoms, which suppresses nitrogen desorption from the films. Furthermore, Si-doped ZnON-TFTs are demonstrated to exhibit less negative threshold voltages, smaller subthreshold swings, and better long-term stability than non-doped ZnON-TFTs.

  19. Embedded nonvolatile memory devices with various silicon nitride energy band gaps on glass used for flat panel display applications

    International Nuclear Information System (INIS)

    Son, Dang Ngoc; Van Duy, Nguyen; Jung, Sungwook; Yi, Junsin

    2010-01-01

    Nonvolatile memory (NVM) devices with a nitride–nitride–oxynitride stack structure on a rough poly-silicon (poly-Si) surface were fabricated using a low-temperature poly-Si (LTPS) thin film transistor technology on glass substrates for application of flat panel display (FPD). The plasma-assisted oxidation/nitridation method is used to form a uniform oxynitride with an ultrathin tunneling layer on a rough LTPS surface. The NVMs, using a Si-rich silicon nitride film as a charge-trapping layer, were proposed as one of the solutions for the improvement of device performance such as the program/erase speed, the memory window and the charge retention characteristics. To further improve the vertical scaling and charge retention characteristics of NVM devices, the high-κ high-density N-rich SiN x films are used as a blocking layer. The fabricated NVM devices have outstanding electrical properties, such as a low threshold voltage, a high ON/OFF current ratio, a low subthreshold swing, a low operating voltage of less than ±9 V and a large memory window of 3.7 V, which remained about 1.9 V over a period of 10 years. These characteristics are suitable for electrical switching and data storage with in FPD application

  20. Analysis of the silicon market: Will thin films profit?

    International Nuclear Information System (INIS)

    Sark, W.G.J.H.M. van; Brandsen, G.W.; Fleuster, M.; Hekkert, M.P.

    2007-01-01

    The photovoltaic industry has been growing with astonishing rates over the past years. The supply of silicon to the wafer-based industry has recently become a problem. This paper presents a thorough analysis of the PV industry and quantifies the silicon shortage. It is expected that this leads to a decrease in production in 2006 rather than the usual increase. Due to a mismatch in expansion plans of silicon feedstock manufacturers and solar cell manufacturers, a large cell overcapacity will persist up to 2010. The thin-film PV market is expected to profit from the silicon shortage problem; its market share may substantially increase to about 25% in 2010

  1. Analysis of the silicon market: Will thin films profit?

    Energy Technology Data Exchange (ETDEWEB)

    Sark, W.G.J.H.M. van; Brandsen, G.W. [Copernicus Institute for Sustainable Development and Innovation, Utrecht University, Utrecht (Netherlands). Department of Science, Technology and Society; Fleuster, M. [Solland Solar Energy, Heerlen (Netherlands); Hekkert, M.P. [Copernicus Institute for Sustainable Development and Innovation, Utrecht University, Utrecht (Netherlands). Department of Innovation Studies

    2007-06-15

    The photovoltaic industry has been growing with astonishing rates over the past years. The supply of silicon to the wafer-based industry has recently become a problem. This paper presents a thorough analysis of the PV industry and quantifies the silicon shortage. It is expected that this leads to a decrease in production in 2006 rather than the usual increase. Due to a mismatch in expansion plans of silicon feedstock manufacturers and solar cell manufacturers, a large cell overcapacity will persist up to 2010. The thin-film PV market is expected to profit from the silicon shortage problem; its market share may substantially increase to about 25% in 2010. (author)

  2. Formation of Al2O3-HfO2 Eutectic EBC Film on Silicon Carbide Substrate

    Directory of Open Access Journals (Sweden)

    Kyosuke Seya

    2015-01-01

    Full Text Available The formation mechanism of Al2O3-HfO2 eutectic structure, the preparation method, and the formation mechanism of the eutectic EBC layer on the silicon carbide substrate are summarized. Al2O3-HfO2 eutectic EBC film is prepared by optical zone melting method on the silicon carbide substrate. At high temperature, a small amount of silicon carbide decomposed into silicon and carbon. The components of Al2O3 and HfO2 in molten phase also react with the free carbon. The Al2O3 phase reacts with free carbon and vapor species of AlO phase is formed. The composition of the molten phase becomes HfO2 rich from the eutectic composition. HfO2 phase also reacts with the free carbon and HfC phase is formed on the silicon carbide substrate; then a high density intermediate layer is formed. The adhesion between the intermediate layer and the substrate is excellent by an anchor effect. When the solidification process finished before all of HfO2 phase is reduced to HfC phase, HfC-HfO2 functionally graded layer is formed on the silicon carbide substrate and the Al2O3-HfO2 eutectic structure grows from the top of the intermediate layer.

  3. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  4. Role of electrolyte composition on structural, morphological and in-vitro biological properties of plasma electrolytic oxidation films formed on zirconium

    International Nuclear Information System (INIS)

    M, Sandhyarani; T, Prasadrao; N, Rameshbabu

    2014-01-01

    Highlights: • Uniform oxide films were formed on zirconium by plasma electrolytic oxidation. • Silicate in electrolyte alter the growth of m-ZrO 2 from (1 ¯ 11) to (2 0 0) orientation. • Addition of KOH to electrolyte improved the corrosion resistance of oxide films. • Silicon incorporated oxide films showed higher surface roughness and wettability. • Human osteosarcoma cells were strongly adhered and spreaded on all the oxide films. - Abstract: Development of oxide films on metallic implants with a good combination of corrosion resistance, bioactivity and cell adhesion can greatly improve its biocompatibility and functionality. Thus, the present work is aimed to fabricate oxide films on metallic Zr by plasma electrolytic oxidation (PEO) in methodically varied concentrations of phosphate, silicate and KOH based electrolyte systems using a pulsed DC power source. The oxide films fabricated on Zr are characterized for its phase composition, surface morphology, chemical composition, roughness, wettability, surface energy, corrosion resistance, apatite forming ability and osteoblast cell adhesion. Uniform films with thickness varying from 6 to 11 μm are formed. XRD patterns of all the PEO films showed the predominance of monoclinic zirconia phase. The film formed in phosphate + KOH electrolyte showed superior corrosion resistance, which can be ascribed to its pore free morphology. The films formed in silicate electrolyte showed higher apatite forming ability with good cell adhesion and spreading over its surface which is attributed to its superior surface roughness and wettability characteristics. Among the five different electrolyte systems employed in the present study, the PEO film formed in an electrolyte system with phosphate + silicate + KOH showed optimum corrosion resistance, apatite forming ability and biocompatibility

  5. Characterization of nanocrystalline silicon germanium film and ...

    African Journals Online (AJOL)

    The nanocrystalline silicon-germanium films (Si/Ge) and Si/Ge nanotubes have low band gaps and high carrier mobility, thus offering appealing potential for absorbing gas molecules. Interaction between hydrogen molecules and bare as well as functionalized Si/Ge nanofilm and nanotube was investigated using Monte ...

  6. Role of atomic layer deposited aluminum oxide as oxidation barrier for silicon based materials

    Energy Technology Data Exchange (ETDEWEB)

    Fiorentino, Giuseppe, E-mail: g.fiorentino@tudelft.nl; Morana, Bruno [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT Delft (Netherlands); Forte, Salvatore [Department of Electronic, University of Naples Federico II, Piazzale Tecchio, 80125 Napoli (Italy); Sarro, Pasqualina Maria [Department of Microelectronic, Delft University of Technology, Feldmannweg 17, 2628 CT, Delft (Netherlands)

    2015-01-15

    In this paper, the authors study the protective effect against oxidation of a thin layer of atomic layer deposited (ALD) aluminum oxide (Al{sub 2}O{sub 3}). Nitrogen doped silicon carbide (poly-SiC:N) based microheaters coated with ALD Al{sub 2}O{sub 3} are used as test structure to investigate the barrier effect of the alumina layers to oxygen and water vapor at very high temperature (up to 1000 °C). Different device sets have been fabricated changing the doping levels, to evaluate possible interaction between the dopants and the alumina layer. The as-deposited alumina layer morphology has been evaluated by means of AFM analysis and compared to an annealed sample (8 h at 1000 °C) to estimate the change in the grain structure and the film density. The coated microheaters are subjected to very long oxidation time in dry and wet environment (up to 8 h at 900 and 1000 °C). By evaluating the electrical resistance variation between uncoated reference devices and the ALD coated devices, the oxide growth on the SiC is estimated. The results show that the ALD alumina coating completely prevents the oxidation of the SiC up to 900 °C in wet environment, while an oxide thickness reduction of 50% is observed at 1000 °C compared to uncoated devices.

  7. Activation of boron and phosphorus atoms implanted in polycrystalline silicon films at low temperatures

    International Nuclear Information System (INIS)

    Andoh, Nobuyuki; Sameshima, Toshiyuki; Andoh, Yasunori

    2005-01-01

    Phosphorus atoms implanted in laser crystallized polycrystalline silicon films were activated by a heat treatment in air at 260 deg. C for 1, 3 and 24 h. Analysis of ultraviolet reflectivity of phosphorus-doped silicon films implanted by ion doping method at 4 keV revealed that the thickness of the top disordered layer formed by ion bombardment was 6 nm. It is reduced to 4 nm by a 3 h heat treatment at 260 deg. C by recrystallization of disordered region. The electrical conductance of silicon films implanted increased to 1.7x10 5 S/sq after 3 h heat treatment

  8. Formation and dielectric properties of polyelectrolyte multilayers studied by a silicon-on-insulator based thin film resistor.

    Science.gov (United States)

    Neff, Petra A; Wunderlich, Bernhard K; Klitzing, Regine V; Bausch, Andreas R

    2007-03-27

    The formation of polyelectrolyte multilayers (PEMs) is investigated using a silicon-on-insulator based thin film resistor which is sensitive to variations of the surface potential. The buildup of the PEMs at the silicon oxide surface of the device can be observed in real time as defined potential shifts. The influence of polymer charge density is studied using the strong polyanion poly(styrene sulfonate), PSS, combined with the statistical copolymer poly(diallyl-dimethyl-ammoniumchloride-stat-N-methyl-N-vinylacetamide), P(DADMAC-stat-NMVA), at various degrees of charge (DC). The multilayer formation stops after a few deposition steps for a DC below 75%. We show that the threshold of surface charge compensation corresponds to the threshold of multilayer formation. However, no reversion of the preceding surface charge was observed. Screening of polyelectrolyte charges by mobile ions within the polymer film leads to a decrease of the potential shifts with the number of layers deposited. This decrease is much slower for PEMs consisting of P(DADMAC-stat-NMVA) and PSS as compared to PEMs consisting of poly(allylamine-hydrochloride), PAH, and PSS. From this, significant differences in the dielectric constants of the polyelectrolyte films and in the concentration of mobile ions within the films can be derived.

  9. High temperature corrosion of silicon carbide and silicon nitride in the presence of chloride compound

    International Nuclear Information System (INIS)

    McNallan, M.

    1993-01-01

    Silicon carbide and silicon nitride are resistant to oxidation because a protective silicon dioxide films on their surfaces in most oxidizing environments. Chloride compounds can attack the surface in two ways: 1) chlorine can attack the silicon directly to form a volatile silicon chloride compound or 2) alkali compounds combined with the chlorine can be transported to the surface where they flux the silica layer by forming stable alkali silicates. Alkali halides have enough vapor pressure that a sufficient quantity of alkali species to cause accelerated corrosion can be transported to the ceramic surface without the formation of a chloride deposit. When silicon carbide is attacked simultaneously by chlorine and oxygen, the corrosion products include both volatile and condensed spices. Silicon nitride is much more resistance to this type of attack than silicon carbide. Silicon based ceramics are exposed to oxidizing gases in the presence of alkali chloride vapors, the rate of corrosion is controlled primarily by the driving force for the formation of alkali silicate, which can be quantified as the activity of the alkali oxide in equilibrium with the corrosive gas mixture. In a gas mixture containing a fixed partial pressure of KCl, the rate of corrosion is accelerated by increasing the concentration of water vapor and inhibited by increasing the concentration of HCl. Similar results have been obtained for mixtures containing other alkalis and halogens. (Orig./A.B.)

  10. Photo-Electrical Characterization of Silicon Micropillar Arrays with Radial p/n Junctions Containing Passivation and Anti-Reflection Coatings

    NARCIS (Netherlands)

    Vijselaar, Wouter; Elbersen, R.; Tiggelaar, Roald M.; Gardeniers, Han; Huskens, Jurriaan

    2017-01-01

    In order to assess the contributions of anti-reflective and passivation effects in microstructured silicon-based solar light harvesting devices, thin layers of aluminum oxide (Al2O3), silicon dioxide (SiO2), silicon-rich silicon nitride (SiNx), and indium tin oxide (ITO), with a thickness ranging

  11. Cobalt nanosheet arrays supported silicon film as anode materials for lithium ion batteries

    International Nuclear Information System (INIS)

    Huang, X.H.; Wu, J.B.; Cao, Y.Q.; Zhang, P.; Lin, Y.; Guo, R.Q.

    2016-01-01

    Cobalt nanosheet arrays supported silicon film is prepared and used as anode materials for lithium ion batteries. The film is fabricated using chemical bath deposition, hydrogen reduction and radio-frequency magnetron sputtering techniques. The microstructure and morphology are characterized by means of scanning electron microscopy (SEM), transmission electron microscopy (TEM) and energy dispersive spectroscopy (EDS). In this composite film, the silicon layer is supported by interconnected aligned cobalt nanosheet arrays that act as the three-dimensional current collector and buffering network. The electrochemical performance as anode materials for lithium ion batteries is investigated by cyclic voltammetry (CV) and galvanostatic charge-discharge tests. The results show that the film prepared by sputtering for 1500 s exhibits high capacity, good rate capability and stable cycle ability. It is believed that the cobalt nanosheet arrays play important roles in the electrochemical performance of the silicon layer.

  12. Epitaxial Lift-Off of Centimeter-Scaled Spinel Ferrite Oxide Thin Films for Flexible Electronics.

    Science.gov (United States)

    Shen, Lvkang; Wu, Liang; Sheng, Quan; Ma, Chunrui; Zhang, Yong; Lu, Lu; Ma, Ji; Ma, Jing; Bian, Jihong; Yang, Yaodong; Chen, Aiping; Lu, Xiaoli; Liu, Ming; Wang, Hong; Jia, Chun-Lin

    2017-09-01

    Mechanical flexibility of electronic devices has attracted much attention from research due to the great demand in practical applications and rich commercial value. Integration of functional oxide materials in flexible polymer materials has proven an effective way to achieve flexibility of functional electronic devices. However, the chemical and mechanical incompatibilities at the interfaces of dissimilar materials make it still a big challenge to synthesize high-quality single-crystalline oxide thin film directly on flexible polymer substrates. This study reports an improved method that is employed to successfully transfer a centimeter-scaled single-crystalline LiFe 5 O 8 thin film on polyimide substrate. Structural characterizations show that the transferred films have essentially no difference in comparison with the as-grown films with respect to the microstructure. In particular, the transferred LiFe 5 O 8 films exhibit excellent magnetic properties under various mechanical bending statuses and show excellent fatigue properties during the bending cycle tests. These results demonstrate that the improved transfer method provides an effective way to compose single-crystalline functional oxide thin films onto flexible substrates for applications in flexible and wearable electronics. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Surface roughening of silicon, thermal silicon dioxide, and low-k dielectric coral films in argon plasma

    International Nuclear Information System (INIS)

    Yin Yunpeng; Sawin, Herbert H.

    2008-01-01

    The surface roughness evolutions of single crystal silicon, thermal silicon dioxide (SiO 2 ), and low dielectric constant film coral in argon plasma have been measured by atomic force microscopy as a function of ion bombardment energy, ion impingement angle, and etching time in an inductively coupled plasma beam chamber, in which the plasma chemistry, ion energy, ion flux, and ion incident angle can be adjusted independently. The sputtering yield (or etching rate) scales linearly with the square root of ion energy at normal impingement angle; additionally, the angular dependence of the etching yield of all films in argon plasma followed the typical sputtering yield curve, with a maximum around 60 deg. -70 deg. off-normal angle. All films stayed smooth after etching at normal angle but typically became rougher at grazing angles. In particular, at grazing angles the rms roughness level of all films increased if more material was removed; additionally, the striation structure formed at grazing angles can be either parallel or transverse to the beam impingement direction, which depends on the off-normal angle. More interestingly, the sputtering caused roughness evolution at different off-normal angles can be qualitatively explained by the corresponding angular dependent etching yield curve. In addition, the roughening at grazing angles is a strong function of the type of surface; specifically, coral suffers greater roughening compared to thermal silicon dioxide

  14. Frequency dependence of the active impedance component of silicon thin-film resistors

    International Nuclear Information System (INIS)

    Belogurov, S.V.; Gostilo, V.V.; Yurov, A.S.

    1987-01-01

    A high-resistant resistor on the silicon thin-film substrate considerably superior in noise and frequency performance than commercial resistors is described. The frequency dependence of the active impedance component is tested for determining noise and frequency dependences of silicon thin-film resistors. The obtained results permit to calculate the energy equivalent of resistor noise in nuclear radiation detection units at any temperature according to its frequency characteristic at room temperature

  15. Pulsed Laser Deposition of Zinc Sulfide Thin Films on Silicon: The influence of substrate orientation and preparation on thin film morphology and texture

    OpenAIRE

    Heimdal, Carl Philip J

    2014-01-01

    The effect of orientation and preparation of silicon substrates on the growth morphology and crystalline structure of ZnS thin films deposited by pulsed laser deposition (PLD) has been investigated through scanning electron microscopy (SEM) and grazing incidence x-ray diffraction (GIXRD). ZnS thin films were grown on silicon (100) and (111), on HF-treated and untreated silicon (100) as well as substrates coated with Al, Ge and Au. The ZnS films showed entirely different morphologies for ZnS f...

  16. Wafer scale nano-membrane supported on a silicon microsieve using thin-film transfer technology

    NARCIS (Netherlands)

    Unnikrishnan, S.; Jansen, Henricus V.; Berenschot, Johan W.; Elwenspoek, Michael Curt

    A new micromachining method to fabricate wafer scale nano-membranes is described. The delicate thin-film nano-membrane is supported on a robust silicon microsieve fabricated by plasma etching. The silicon sieve is micromachined independently of the thin-film, which is later transferred onto it by

  17. Structures of sub-monolayered silicon carbide films

    International Nuclear Information System (INIS)

    Baba, Y.; Sekiguchi, T.; Shimoyama, I.; Nath, Krishna G.

    2004-01-01

    The electronic and geometrical structures of silicon carbide thin films are presented. The films were deposited on graphite by ion-beam deposition using tetramethylsilane (TMS) as an ion source. In the Si K-edge near-edge X-ray absorption fine structure (NEXAFS) spectra for sub-monolayered film, sharp peaks due to the resonance from Si 1s to π*-like orbitals were observed, suggesting the existence of Si=C double bonds. On the basis of the polarization dependencies of the Si 1s → π* peak intensities, it is elucidated that the direction of the π*-like orbitals is just perpendicular to the surface. We conclude that the sub-monolayered SiC x film has a flat-lying hexagonal structure of which configuration is analogous to the single sheet of graphite

  18. Ultrathin, epitaxial cerium dioxide on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Flege, Jan Ingo, E-mail: flege@ifp.uni-bremen.de; Kaemena, Björn; Höcker, Jan; Schmidt, Thomas; Falta, Jens [Institute of Solid State Physics, University of Bremen, Otto-Hahn-Allee 1, 28359 Bremen (Germany); Bertram, Florian [Photon Science, Deutsches Elektronensynchrotron (DESY), Notkestraße 85, 22607 Hamburg (Germany); Wollschläger, Joachim [Department of Physics, University of Osnabrück, Barbarastraße 7, 49069 Osnabrück (Germany)

    2014-03-31

    It is shown that ultrathin, highly ordered, continuous films of cerium dioxide may be prepared on silicon following substrate prepassivation using an atomic layer of chlorine. The as-deposited, few-nanometer-thin Ce{sub 2}O{sub 3} film may very effectively be converted at room temperature to almost fully oxidized CeO{sub 2} by simple exposure to air, as demonstrated by hard X-ray photoemission spectroscopy and X-ray diffraction. This post-oxidation process essentially results in a negligible loss in film crystallinity and interface abruptness.

  19. Stabilized chromium oxide film

    Science.gov (United States)

    Garwin, Edward L.; Nyaiesh, Ali R.

    1988-01-01

    Stabilized air-oxidized chromium films deposited on high-power klystron ceramic windows and sleeves having a thickness between 20 and 150.ANG. are useful in lowering secondary electron emission yield and in avoiding multipactoring and window failure due to overheating. The ceramic substrate for the film is chosen from alumina, sapphire or beryllium oxide.

  20. Textured surface boron-doped ZnO transparent conductive oxides on polyethylene terephthalate substrates for Si-based thin film solar cells

    International Nuclear Information System (INIS)

    Chen Xinliang; Lin Quan; Ni Jian; Zhang Dekun; Sun Jian; Zhao Ying; Geng Xinhua

    2011-01-01

    Textured surface boron-doped zinc oxide (ZnO:B) thin films were directly grown via low pressure metal organic chemical vapor deposition (LP-MOCVD) on polyethylene terephthalate (PET) flexible substrates at low temperatures and high-efficiency flexible polymer silicon (Si) based thin film solar cells were obtained. High purity diethylzinc and water vapors were used as source materials, and diborane was used as an n-type dopant gas. P-i-n silicon layers were fabricated at ∼ 398 K by plasma enhanced chemical vapor deposition. These textured surface ZnO:B thin films on PET substrates (PET/ZnO:B) exhibit rough pyramid-like morphology with high transparencies (T ∼ 80%) and excellent electrical properties (Rs ∼ 10 Ω at d ∼ 1500 nm). Finally, the PET/ZnO:B thin films were applied in flexible p-i-n type silicon thin film solar cells (device structure: PET/ZnO:B/p-i-n a-Si:H/Al) with a high conversion efficiency of 6.32% (short-circuit current density J SC = 10.62 mA/cm 2 , open-circuit voltage V OC = 0.93 V and fill factor = 64%).

  1. Helium ion beam induced electron emission from insulating silicon nitride films under charging conditions

    Science.gov (United States)

    Petrov, Yu. V.; Anikeva, A. E.; Vyvenko, O. F.

    2018-06-01

    Secondary electron emission from thin silicon nitride films of different thicknesses on silicon excited by helium ions with energies from 15 to 35 keV was investigated in the helium ion microscope. Secondary electron yield measured with Everhart-Thornley detector decreased with the irradiation time because of the charging of insulating films tending to zero or reaching a non-zero value for relatively thick or thin films, respectively. The finiteness of secondary electron yield value, which was found to be proportional to electronic energy losses of the helium ion in silicon substrate, can be explained by the electron emission excited from the substrate by the helium ions. The method of measurement of secondary electron energy distribution from insulators was suggested, and secondary electron energy distribution from silicon nitride was obtained.

  2. Mechanical grooving of oxidized porous silicon to reduce the reflectivity of monocrystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zarroug, A.; Dimassi, W.; Ouertani, R.; Ezzaouia, H. [Laboratoire de Photovoltaique, Centre des Recherches et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2012-10-15

    In this work, we are interested to use oxidized porous silicon (ox-PS) as a mask. So, we display the creating of a rough surface which enhances the absorption of incident light by solar cells and reduces the reflectivity of monocrystalline silicon (c-Si). It clearly can be seen that the mechanical grooving enables us to elaborate the texturing of monocrystalline silicon wafer. Results demonstrated that the application of a PS layer followed by a thermal treatment under O2 ambient easily gives us an oxide layer of uniform size which can vary from a nanometer to about ten microns. In addition, the Fourier transform infrared (FTIR) spectroscopy investigations of the PS layer illustrates the possibility to realize oxide layer as a mask for porous silicon. We found also that this simple and low cost method decreases the total reflectivity (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Effects of substrate temperature on structural and electrical properties of SiO2-matrix boron-doped silicon nanocrystal thin films

    International Nuclear Information System (INIS)

    Huang, Junjun; Zeng, Yuheng; Tan, Ruiqin; Wang, Weiyan; Yang, Ye; Dai, Ning; Song, Weijie

    2013-01-01

    In this work, silicon-rich SiO 2 (SRSO) thin films were deposited at different substrate temperatures (T s ) and then annealed by rapid thermal annealing to form SiO 2 -matrix boron-doped silicon-nanocrystals (Si-NCs). The effects of T s on the micro-structure and electrical properties of the SiO 2 -matrix boron-doped Si-NC thin films were investigated using Raman spectroscopy and Hall measurements. Results showed that the crystalline fraction and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films both increased significantly when the T s was increased from room temperature to 373 K. When the T s was further increased from 373 K to 676 K, the crystalline fraction of 1373 K-annealed thin films decreased from 52.2% to 38.1%, and the dark conductivity reduced from 8 × 10 −3 S/cm to 5.5 × 10 −5 S/cm. The changes in micro-structure and dark conductivity of the SiO 2 -matrix boron-doped Si-NC thin films were most possibly due to the different amount of Si-O 4 bond in the as-deposited SRSO thin films. Our work indicated that there was an optimal T s , which could significantly increase the crystallization and conductivity of Si-NC thin films. Also, it was illumined that the low-resistivity SiO 2 -matrix boron-doped Si-NC thin films can be achieved under the optimal substrate temperatures, T s .

  4. Ceramic Composite Thin Films

    Science.gov (United States)

    Ruoff, Rodney S. (Inventor); Stankovich, Sasha (Inventor); Dikin, Dmitriy A. (Inventor); Nguyen, SonBinh T. (Inventor)

    2013-01-01

    A ceramic composite thin film or layer includes individual graphene oxide and/or electrically conductive graphene sheets dispersed in a ceramic (e.g. silica) matrix. The thin film or layer can be electrically conductive film or layer depending the amount of graphene sheets present. The composite films or layers are transparent, chemically inert and compatible with both glass and hydrophilic SiOx/silicon substrates. The composite film or layer can be produced by making a suspension of graphene oxide sheet fragments, introducing a silica-precursor or silica to the suspension to form a sol, depositing the sol on a substrate as thin film or layer, at least partially reducing the graphene oxide sheets to conductive graphene sheets, and thermally consolidating the thin film or layer to form a silica matrix in which the graphene oxide and/or graphene sheets are dispersed.

  5. Intraplate mantle oxidation by volatile-rich silicic magmas

    Energy Technology Data Exchange (ETDEWEB)

    Martin, Audrey M.; Médard, Etienne; Righter, Kevin; Lanzirotti, Antonio

    2017-11-01

    The upper subcontinental lithospheric mantle below the French Massif Central is more oxidized than the average continental lithosphere, although the origin of this anomaly remains unknown. Using iron oxidation analysis in clinopyroxene, oxybarometry, and melt inclusions in mantle xenoliths, we show that widespread infiltration of volatile (HCSO)-rich silicic melts played a major role in this oxidation. We propose the first comprehensive model of magmatism and mantle oxidation at an intraplate setting. Two oxidizing events occurred: (1) a 365–286 Ma old magmatic episode that produced alkaline vaugnerites, potassic lamprophyres, and K-rich calc-alkaline granitoids, related to the N–S Rhenohercynian subduction, and (2) < 30 Ma old magmatism related to W–E extension, producing carbonatites and hydrous potassic trachytes. These melts were capable of locally increasing the subcontinental lithospheric mantle fO2 to FMQ + 2.4. Both events originate from the melting of a metasomatized lithosphere containing carbonate + phlogopite ± amphibole. The persistence of this volatile-rich lithospheric source implies the potential for new episodes of volatile-rich magmatism. Similarities with worldwide magmatism also show that the importance of volatiles and the oxidation of the mantle in intraplate regions is underestimated.

  6. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  7. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  8. Film adhesion in amorphous silicon solar cells

    Indian Academy of Sciences (India)

    TECS

    Film adhesion in amorphous silicon solar cells. A R M YUSOFF*, M N SYAHRUL and K HENKEL. Malaysia Energy Centre, 8th Floor, North Wing, Sapura @ Mines, 7, Jalan Tasik, The Mines Resort City,. 43300 Seri Kembangan, Selangor Darul Ehsan. MS received 11 April 2007. Abstract. A major issue encountered ...

  9. Electrochemical study of lithium insertion into carbon-rich polymer-derived silicon carbonitride ceramics

    International Nuclear Information System (INIS)

    Kaspar, Jan; Mera, Gabriela; Nowak, Andrzej P.; Graczyk-Zajac, Magdalena; Riedel, Ralf

    2010-01-01

    This paper presents the lithium insertion into carbon-rich polymer-derived silicon carbonitride (SiCN) ceramic synthesized by the thermal treatment of poly(diphenylsilylcarbodiimide) at three temperatures, namely 1100, 1300, and 1700 o C under 0.1 MPa Ar atmosphere. At lower synthesis temperatures, the material is X-ray amorphous, while at 1700 o C, the SiCN ceramic partially crystallizes. Anode materials prepared from these carbon-rich SiCN ceramics without any fillers and conducting additives were characterized using cyclic voltammetry and chronopotentiometric charging/discharging. We found that the studied silicon carbonitride ceramics demonstrate a promising electrochemical behavior during lithium insertion/extraction in terms of capacity and cycling stability. The sample synthesized at 1300 o C exhibits a reversible capacity of 392 mAh g -1 . Our study confirms that carbon-rich SiCN phases are electrochemically active materials in terms of Li inter- and deintercalation.

  10. Nanostructured thin films of indium oxide nanocrystals confined in alumina matrixes

    International Nuclear Information System (INIS)

    Bouifoulen, A.; Edely, M.; Errien, N.; Kassiba, A.; Outzourhit, A.; Makowska-Janusik, M.; Gautier, N.; Lajaunie, L.; Oueriagli, A.

    2011-01-01

    Nanocrystals of indium oxide (In 2 O 3 ) with sizes below 10 nm were prepared in alumina matrixes by using a co-pulverization method. The used substrates such as borosilicate glasses or (100) silicon as well as the substrate temperatures during the deposition process were modified and their effects characterized on the structural and physical properties of alumina-In 2 O 3 films. Complementary investigation methods including X-ray diffraction, optical transmittance in the range 250-1100 nm and transmission electron microscopy were used to analyze the nanostructured films. The crystalline order, morphology and optical responses were monitored as function of the deposition parameters and the post-synthesis annealing. The optimal conditions were found and allow realizing suitable nanostructured films with a major crystalline order of cubic phase for the In 2 O 3 nanocrystals. The optical properties of the films were analyzed and the key parameters such as direct and indirect band gaps were evaluated as function of the synthesis conditions and the crystalline quality of the films.

  11. Titanium-silicon films prepared by spin and dip-coating

    International Nuclear Information System (INIS)

    Nassar, Eduardo J.; Ciuffi, Katia J.; Goncalves, Rogeria R.; Messaddeq, Younes; Ribeiro, Sidney J.L.

    2003-01-01

    The conditions for the preparation of luminescent materials, consisting of Eu 3+ ions entrapped in a titanium matrix, in the form of a thin film, using the sol-gel process, are described. The films were obtained from sols prepared with TEOS and TEOT, in the presence of acetylacetone as the hydrolysis-retarding agent, using the dip-coating and spin-coating techniques. The influence of these techniques on the films based on titanium and silicon are presented. The Eu 3+ was used as a luminescent probe. The films have been characterized by luminescence, reflection and transmittance. The thickness of the films could be related to the preparation procedure. Transparent thin films have been prepared by dip-coating technique. (author)

  12. Cat-CVD-prepared oxygen-rich μc-Si:H for wide-bandgap material

    International Nuclear Information System (INIS)

    Matsumoto, Yasuhiro; Ortega, Mauricio; Peza, Juan-Manuel; Reyes, Mario-Alfredo; Escobosa, Arturo

    2005-01-01

    Microcrystalline phase-involved oxygen-rich a-Si:H (hydrogenated amorphous silicon) films have been obtained using catalytic chemical vapor deposition (Cat-CVD) process. Pure SiH 4 (silane), H 2 (hydrogen), and O 2 (oxygen) gases were introduced in the chamber by maintaining a pressure of 0.1 Torr. A tungsten catalyzer was fixed at temperatures of 1750 and 1950 deg. C for film deposition on glass and crystalline silicon substrates at 200 deg. C. As revealed from X-ray diffraction spectra, the microcrystalline phase appears for oxygen-rich a-Si:H samples deposited at a catalyzer temperature of 1950 deg. C. However, this microcrystalline phase tends to disappear for further oxygen incorporation. The oxygen content in the deposited films was corroborated by FTIR analysis revealing Si-O-Si bonds and typical Si-H bonding structures. The optical bandgap of the sample increases from 2.0 to 2.7 eV with oxygen gas flow and oxygen incorporation to the deposited films. In the present thin film deposition conditions, no strong tungsten filament degradation was observed after a number of sample preparations

  13. Comprehensive review on the development of high mobility in oxide thin film transistors

    Science.gov (United States)

    Choi, Jun Young; Lee, Sang Yeol

    2017-11-01

    Oxide materials are one of the most advanced key technology in the thin film transistors (TFTs) for the high-end of device applications. Amorphous oxide semiconductors (AOSs) have leading technique for flat panel display (FPD), active matrix organic light emitting display (AMOLED) and active matrix liquid crystal display (AMLCD) due to their excellent electrical characteristics, such as field effect mobility ( μ FE ), subthreshold swing (S.S) and threshold voltage ( V th ). Covalent semiconductor like amorphous silicon (a-Si) is attributed to the anti-bonding and bonding states of Si hybridized orbitals. However, AOSs have not grain boundary and excellent performances originated from the unique characteristics of AOS which is the direct orbital overlap between s orbitals of neighboring metal cations. High mobility oxide TFTs have gained attractive attention during the last few years and today in display industries. It is progressively developed to increase the mobility either by exploring various oxide semiconductors or by adopting new TFT structures. Mobility of oxide thin film transistor has been rapidly increased from single digit to higher than 100 cm2/V·s in a decade. In this review, we discuss on the comprehensive review on the mobility of oxide TFTs in a decade and propose bandgap engineering and novel structure to enhance the electrical characteristics of oxide TFTs.

  14. Excitation dependence of photoluminescence in silicon quantum dots

    International Nuclear Information System (INIS)

    Wen Xiaoming; Lap Van Dao; Hannaford, Peter; Cho, E-C; Cho, Young H; Green, Martin A

    2007-01-01

    We have studied the optical properties of silicon quantum dots (QDs) embedded in a silicon oxide matrix using photoluminescence (PL) and time-resolved PL. A broad luminescence band is observed in the red region, in which the time evolution exhibits a stretched exponential decay. With increasing excitation intensity a significant saturation effect is observed. Direct electron-hole recombination is the dominant effect in the red band. A relatively narrow peak appears around 1.5 eV, which is attributed to the interface states overlapping with transition from the ground state of the silicon QDs. The saturation factor increases slowly with detection photon energy between 1.5 and 1.8 eV, which is attributed to the emission from zero-phonon electron-hole recombination. At higher photon energies the significantly increased saturation factor suggests a different emission mechanism, most likely the defect states from silicon, silicon oxide or silicon rich oxide

  15. Optical properties of phosphorescent nano-silicon electrochemically doped with terbium

    Energy Technology Data Exchange (ETDEWEB)

    Gelloz, Bernard [Nagoya University, Furo-cho, Chikusa-ku, Nagoya, Aichi 464-8603 (Japan); Mentek, Romain; Koshida, Nobuyoshi [Tokyo University A and T, 2-24-16 Nakacho, Koganei, Tokyo 184-8588 (Japan)

    2012-12-15

    Hybrid thin films consisting of oxidized nano-silicon doped with terbium have been fabricated. Nano-silicon was formed by electrochemical etching of silicon wafers. Terbium was incorporated into nano-silicon pores by electrochemical deposition. Different oxidizing thermal treatments were applied to the films. The samples treated by high-pressure water vapor annealing (HWA) exhibited strong blue emission with a phosphorescent component, as previously reported by our group. The low temperature (260 C) HWA also led to strong emission from Tb{sup 3+} ions, whereas typical high temperature (900 C) treatment generally used to activate Tb{sup 3+} ions in silicon-based materials led to less luminescent samples. Spectroscopic and dynamic analyses suggest that terbium was incorporated as a separate oxide phase in the pores of the porous nano-silicon. The PL of the terbium phase and nano-silicon phase exhibit different temperature and excitation power dependences suggesting little optical or electronic interaction between the two phases. The luminescence of terbium is better activated at low temperature (260 C) than at high temperature (900 C). The hybrid material may find some applications in photonics, for instance as a display material. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Dry-film polymer waveguide for silicon photonics chip packaging.

    Science.gov (United States)

    Hsu, Hsiang-Han; Nakagawa, Shigeru

    2014-09-22

    Polymer waveguide made by dry film process is demonstrated for silicon photonics chip packaging. With 8 μm × 11.5 μm core waveguide, little penalty is observed up to 25 Gbps before or after the light propagate through a 10-km long single-mode fiber (SMF). Coupling loss to SMF is 0.24 dB and 1.31 dB at the polymer waveguide input and output ends, respectively. Alignment tolerance for 0.5 dB loss increase is +/- 1.0 μm along both vertical and horizontal directions for the coupling from the polymer waveguide to SMF. The dry-film polymer waveguide demonstrates promising performance for silicon photonics chip packaging used in next generation optical multi-chip module.

  17. XPS study of palladium sensitized nano porous silicon thin film

    Indian Academy of Sciences (India)

    Keywords. Porous silicon; passivation; palladium; oxidation; XPS. Abstract. Nano porous silicon (PS) was formed on -type monocrystalline silicon of 2–5 cm resistivity and (100) orientation by electrochemical anodization method using HF and ethanol as the electrolytes. High density of surface states, arising due to its ...

  18. Magneto-Optical Thin Films for On-Chip Monolithic Integration of Non-Reciprocal Photonic Devices.

    Science.gov (United States)

    Bi, Lei; Hu, Juejun; Jiang, Peng; Kim, Hyun Suk; Kim, Dong Hun; Onbasli, Mehmet Cengiz; Dionne, Gerald F; Ross, Caroline A

    2013-11-08

    Achieving monolithic integration of nonreciprocal photonic devices on semiconductor substrates has been long sought by the photonics research society. One way to achieve this goal is to deposit high quality magneto-optical oxide thin films on a semiconductor substrate. In this paper, we review our recent research activity on magneto-optical oxide thin films toward the goal of monolithic integration of nonreciprocal photonic devices on silicon. We demonstrate high Faraday rotation at telecommunication wavelengths in several novel magnetooptical oxide thin films including Co substituted CeO₂ -δ , Co- or Fe-substituted SrTiO 3- δ , as well as polycrystalline garnets on silicon. Figures of merit of 3~4 deg/dB and 21 deg/dB are achieved in epitaxial Sr(Ti 0.2 Ga 0.4 Fe 0.4 )O 3- δ and polycrystalline (CeY₂)Fe₅O 12 films, respectively. We also demonstrate an optical isolator on silicon, based on a racetrack resonator using polycrystalline (CeY₂)Fe₅O 12 /silicon strip-loaded waveguides. Our work demonstrates that physical vapor deposited magneto-optical oxide thin films on silicon can achieve high Faraday rotation, low optical loss and high magneto-optical figure of merit, therefore enabling novel high-performance non-reciprocal photonic devices monolithically integrated on semiconductor substrates.

  19. Highly transparent front electrodes with metal fingers for p-i-n thin-film silicon solar cells

    Directory of Open Access Journals (Sweden)

    Moulin Etienne

    2015-01-01

    Full Text Available The optical and electrical properties of transparent conductive oxides (TCOs, traditionally used in thin-film silicon (TF-Si solar cells as front-electrode materials, are interlinked, such that an increase in TCO transparency is generally achieved at the cost of reduced lateral conductance. Combining a highly transparent TCO front electrode of moderate conductance with metal fingers to support charge collection is a well-established technique in wafer-based technologies or for TF-Si solar cells in the substrate (n-i-p configuration. Here, we extend this concept to TF-Si solar cells in the superstrate (p-i-n configuration. The metal fingers are used in conjunction with a millimeter-scale textured foil, attached to the glass superstrate, which provides an antireflective and retroreflective effect; the latter effect mitigates the shadowing losses induced by the metal fingers. As a result, a substantial increase in power conversion efficiency, from 8.7% to 9.1%, is achieved for 1-μm-thick microcrystalline silicon solar cells deposited on a highly transparent thermally treated aluminum-doped zinc oxide layer combined with silver fingers, compared to cells deposited on a state-of-the-art zinc oxide layer.

  20. Nafion/Silicon Oxide Composite Membrane for High Temperature Proton Exchange Membrane Fuel Cell

    Institute of Scientific and Technical Information of China (English)

    2007-01-01

    Nafion/Silicon oxide composite membranes were produced via in situ sol-gel reaction of tetraethylorthosilicate (TEOS) in Nafion membranes. The physicochemical properties of the membranes were studied by FT-IR, TG-DSC and tensile strength. The results show that the silicon oxide is compatible with the Nafion membrane and the thermo stability of Nafion/Silicon oxide composite membrane is higher than that of Nafion membrane. Furthermore, the tensile strength of Nafion/Silicon oxide composite membrane is similar to that of the Nafion membrane. The proton conductivity of Nafion/Silicon oxide composite membrane is higher than that of Nafion membrane. When the Nafion/Silicon oxide composite membrane was employed as an electrolyte in H2/O2 PEMFC, a higher current density value (1 000 mA/cm2 at 0.38 V) than that of the Nafion 1135 membrane (100 mA/cm2 at 0.04 V) was obtained at 110 ℃.

  1. Nano-ridge fabrication by local oxidation of silicon edges with silicon nitride as a mask

    NARCIS (Netherlands)

    Haneveld, J.; Berenschot, Johan W.; Maury, P.A.; Jansen, Henricus V.

    2005-01-01

    A method to fabricate nano-ridges over a full wafer is presented. The fabrication method uses local oxidation of silicon, with silicon nitride as a mask, and wet anisotropic etching of silicon. The realized structures are 7-20 nm wide, 40-100 nm high and centimeters long. All dimensions are easily

  2. Low-temperature synthesis of homogeneous nanocrystalline cubic silicon carbide films

    International Nuclear Information System (INIS)

    Cheng Qijin; Xu, S.

    2007-01-01

    Silicon carbide films are fabricated by inductively coupled plasma chemical vapor deposition from feedstock gases silane and methane heavily diluted with hydrogen at a low substrate temperature of 300 deg. C. Fourier transform infrared absorption spectroscopy, Raman spectroscopy, x-ray photoelectron spectroscopy, and high-resolution transmission electron microscopy analyses show that homogeneous nanocrystalline cubic silicon carbide (3C-SiC) films can be synthesized at an appropriate silane fraction X[100%xsilane flow(SCCM)/silane+methane flow(SCCM)] in the gas mixture. The achievement of homogeneous nanocrystalline 3C-SiC films at a low substrate temperature of 300 deg. C is a synergy of a low deposition pressure (22 mTorr), high inductive rf power (2000 W), heavy dilution of feedstock gases silane and methane with hydrogen, and appropriate silane fractions X (X≤33%) in the gas mixture employed in our experiments

  3. Quantitative Auger depth profiling of LPCVD and PECVD silicon nitride films

    International Nuclear Information System (INIS)

    Keim, E.G.; Aite, K.

    1989-01-01

    Thin silicon nitride films (100-210 nm) with refractive indices varying from 1.90 to 2.10 were deposited on silicon substrates by low pressure chemical vapour deposition (LPCVD) and plasma enhanced chemical vapour deposition (PECVD). Rutherford backscattering spectrometry (RBS), ellipsometry, surface profiling measurements and Auger electron spectroscopy (AES) in combination with Ar + sputtering were used to characterize these films. We have found that the use of (p-p)heights of the Si LVV and N KLL Auger transitions in the first derivative of the energy distribution (dN(E)/dE) leads to an accurate determination of the silicon nitride composition in Auger depth profiles over a wide range of atomic Si/N ratios. Moreover, we have shown that the Si KLL Auger transition, generally considered to be a better probe than the low energy Si LVV Auger transition in determining the chemical composition of silicon nitride layers, leads to deviating results. (orig.)

  4. Influence of sample oxidation on the nature of optical luminescence from porous silicon

    International Nuclear Information System (INIS)

    Coulthard, I.; Antel, W. J. Jr.; Freeland, J. W.; Sham, T. K.; Naftel, S. J.; Zhang, P.

    2000-01-01

    Site-selective luminescence experiments were performed upon porous-silicon samples exposed to varying degrees of oxidation. The source of different luminescence bands was determined to be due to either quantum confinement in nanocrystalline silicon or defective silicon oxide. Of particular interest is the defective silicon-oxide luminescence band found at 2.1 eV, which was found to frequently overlap with a luminescence band from nanocrystalline silicon. Some of the historical confusion and debate with regards to the source of luminescence from porous silicon can be attributed to this overlap. (c) 2000 American Institute of Physics

  5. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  6. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Youngseok Lee

    2012-01-01

    Full Text Available It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0 which improves the efficiency of HIT solar cell. The ultrathin thermal passivation silicon oxide (SiO2 layer was deposited by RTO system in the temperature range 500–950°C for 2 to 6 minutes. The thickness of the silicon oxide layer was affected by RTO annealing temperature and treatment time. The best value of surface recombination velocity was recorded for the sample treated at a temperature of 850°C for 6 minutes at O2 flow rate of 3 Lpm. A surface recombination velocity below 25 cm/s was obtained for the silicon oxide layer of 4 nm thickness. This ultrathin SiO2 layer was employed for the fabrication of HIT solar cell structure instead of a-Si:H, (i layer and the passivation and tunneling effects of the silicon oxide layer were exploited. The photocurrent was decreased with the increase of illumination intensity and SiO2 thickness.

  7. Layer-by-layer deposition of zirconium oxide films from aqueous solutions for friction reduction in silicon-based microelectromechanical system devices

    International Nuclear Information System (INIS)

    Liu Junfu; Nistorica, Corina; Gory, Igor; Skidmore, George; Mantiziba, Fadziso M.; Gnade, Bruce E.

    2005-01-01

    This work reports layer-by-layer deposition of zirconium oxide on a Si surface from aqueous solutions using the successive ionic layer adsorption and reaction technique. The process consists of repeated cycles of adsorption of zirconium precursors, water rinse, and hydrolysis. The film composition was determined by X-ray photoelectron spectroscopy. The film thickness was determined by Rutherford backscattering spectrometry, by measuring the Zr atom concentration. The average deposition rate from a 0.1 M Zr(SO 4 ) 2 solution on a SiO 2 /Si surface is 0.62 nm per cycle. Increasing the acidity of the zirconium precursor solution inhibits the deposition of the zirconium oxide film. Atomic force microscopy shows that the zirconium oxide film consists of nanoparticles of 10-50 nm in the lateral dimension. The surface roughness increased with increasing number of deposition cycles. Friction measurements made with a microelectromechanical system device reveal a reduction of 45% in the friction coefficient of zirconium oxide-coated surfaces vs. uncoated surfaces in air

  8. Wet-Chemical Preparation of Silicon Tunnel Oxides for Transparent Passivated Contacts in Crystalline Silicon Solar Cells.

    Science.gov (United States)

    Köhler, Malte; Pomaska, Manuel; Lentz, Florian; Finger, Friedhelm; Rau, Uwe; Ding, Kaining

    2018-05-02

    Transparent passivated contacts (TPCs) using a wide band gap microcrystalline silicon carbide (μc-SiC:H(n)), silicon tunnel oxide (SiO 2 ) stack are an alternative to amorphous silicon-based contacts for the front side of silicon heterojunction solar cells. In a systematic study of the μc-SiC:H(n)/SiO 2 /c-Si contact, we investigated selected wet-chemical oxidation methods for the formation of ultrathin SiO 2 , in order to passivate the silicon surface while ensuring a low contact resistivity. By tuning the SiO 2 properties, implied open-circuit voltages of 714 mV and contact resistivities of 32 mΩ cm 2 were achieved using μc-SiC:H(n)/SiO 2 /c-Si as transparent passivated contacts.

  9. Chronological change of electrical resistance in GeCu2Te3 amorphous film induced by surface oxidation

    International Nuclear Information System (INIS)

    Saito, Yuta; Shindo, Satoshi; Sutou, Yuji; Koike, Junichi

    2014-01-01

    Unusual chronological electrical resistance change behavior was investigated for amorphous GeCu 2 Te 3 phase change material. More than a 1 order decrease of electrical resistance was observed in the air even at room temperature. The resistance of the amorphous film gradually increased with increasing temperature and then showed a drop upon crystallization. Such unusual behavior was attributed to the oxidation of the amorphous GeCu 2 Te 3 film. From the compositional depth profile measurement, the GeCu 2 Te 3 film without any capping layer was oxidized in air at room temperature and the formed oxide was mainly composed of germanium oxide. Consequently, a highly-conductive Cu-rich layer was formed in the vicinity of the surface of the film, which reduced the total resistance of the film. The present results could provide insight into the chronological change of electrical resistance in amorphous chalcogenide materials, indicating that not only relaxation of the amorphous, but also a large atomic diffusion contributes to the chronological resistance change. (paper)

  10. Hardness enhancement and oxidation resistance of nanocrystalline TiN/Mo xC multilayer films

    International Nuclear Information System (INIS)

    Liu, Q.; Wang, X.P.; Liang, F.J.; Wang, J.X.; Fang, Q.F.

    2006-01-01

    In this paper the influence of the layer's microstructure on the hardness enhancement in multilayer nanocrystalline films and the oxidation resistance are studied. The TiN/Mo x C multilayer films at different modulation period, and Mo x C and TiN monolayer films were deposited on the (0 0 1) silicon wafers and molybdenum sheets by rf and dc magnetron sputtering. The monolayer TiN films with a thickness of about 2 μm are of pure face-center cubic TiN phase, while the monolayer Mo x C films consist of two phases, one of which is body-center cubic Mo and the other is hexagonal Mo 2 C as determined by XRD. The coarse columnar grains of about 200 nm in the monolayer TiN films become much smaller or disappear in the multilayer films. The hardness enhancement of the multilayer films takes place at the modulation period of 320 nm, which can reach to 26 GPa and is much higher than the values of Mo x C and TiN monolayer films. This enhancement in hardness can be explained as the decrease in the size and/or disappearance of columnar grains in the TiN layer. The Young's modulus in the temperature range from 100 to 400 deg. C increases with decreasing modulation period. It is found that about 100 nm thick TiN films can increase largely the oxidation resistance of Mo x C films

  11. Structural and optical studies of Au doped titanium oxide films

    International Nuclear Information System (INIS)

    Alves, E.; Franco, N.; Barradas, N.P.; Nunes, B.; Lopes, J.; Cavaleiro, A.; Torrell, M.; Cunha, L.; Vaz, F.

    2012-01-01

    Thin films of TiO 2 were doped with Au by ion implantation and in situ during the deposition. The films were grown by reactive magnetron sputtering and deposited in silicon and glass substrates at a temperature around 150 °C. The undoped films were implanted with Au fluences in the range of 5 × 10 15 Au/cm 2 –1 × 10 17 Au/cm 2 with a energy of 150 keV. At a fluence of 5 × 10 16 Au/cm 2 the formation of Au nanoclusters in the films is observed during the implantation at room temperature. The clustering process starts to occur during the implantation where XRD estimates the presence of 3–5 nm precipitates. After annealing in a reducing atmosphere, the small precipitates coalesce into larger ones following an Ostwald ripening mechanism. In situ XRD studies reveal that Au atoms start to coalesce at 350 °C, reaching the precipitates dimensions larger than 40 nm at 600 °C. Annealing above 700 °C promotes drastic changes in the Au profile of in situ doped films with the formation of two Au rich regions at the interface and surface respectively. The optical properties reveal the presence of a broad band centered at 550 nm related to the plasmon resonance of gold particles visible in AFM maps.

  12. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    Energy Technology Data Exchange (ETDEWEB)

    Wang Guigen, E-mail: wanggghit@yahoo.com [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Kuang Xuping; Zhang Huayu; Zhu Can [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Han Jiecai [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Zuo Hongbo [Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Ma Hongtao [SAE Technologies Development (Dongguan) Co., Ltd., Dongguan 523087 (China)

    2011-12-15

    Highlights: Black-Right-Pointing-Pointer The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. Black-Right-Pointing-Pointer It highlighted the influences of Si-N underlayers. Black-Right-Pointing-Pointer The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of -150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of -150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  13. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    International Nuclear Information System (INIS)

    Wang Guigen; Kuang Xuping; Zhang Huayu; Zhu Can; Han Jiecai; Zuo Hongbo; Ma Hongtao

    2011-01-01

    Highlights: ► The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. ► It highlighted the influences of Si-N underlayers. ► The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of −150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of −150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  14. A statistical approach for the optimization of indium tin oxide films used as a front contact in amorphous/crystalline silicon heterojunction solar cells

    International Nuclear Information System (INIS)

    Le, Anh Huy Tuan; Ahn, Shihyun; Kim, Sangho; Han, Sangmyeong; Kim, Sunbo; Park, Hyeongsik; Nguyen, Cam Phu Thi; Dao, Vinh Ai; Yi, Junsin

    2014-01-01

    Highlights: • The number of experiments was reduced by approximately 90% using Taguchi design. • The optimal condition of ITO films was obtained by Grey relational analysis. • Substrate temperature is dominant effect on opto-electrical properties of ITO films. • Using the optimal ITO films, the solar cell efficiency was absolutely increased by 1.750%. - Abstract: In heterojunction silicon with intrinsic thin layer (HIT) solar cells, the excellent opto-electrical properties of indium tin oxide (ITO) front contact play a critical role in attaining high efficiency. Therefore, in this study, we present and demonstrate an effective statistic approach based on combining Taguchi method and Grey relational analysis for the optimization of ITO films. A reduction in the number of experiments by approximately 90% is obtained by the Taguchi method through an orthogonal array. The reproduction of the effect of process parameters on single performance characteristic, however, is still ensured. In addition, an excellent trade-off between electrical and optical properties of ITO films was attained within the selected range of parameters by Grey relational analysis at power density of 0.685 W/cm 2 , working pressure of 0.4 Pa, substrate temperature of 200 °C, and post-annealing temperature of 200 °C in 30 min. Under optimal condition, the ITO films showed lowest electrical resistivity of 1.978 × 10 −4 Ω cm, and highest transmittance of 90.322%. The HIT solar cells using these ITO films as a front contact show highest efficiency of 16.616%, yielding a 1.750% absolute increase in efficiency compared to using ITO films with the initial condition. Furthermore, the analysis of variance (ANOVA) is determined to define the process parameters which have a dominant effect on the electrical and optical properties of ITO films. Based on ANOVA, we found that the substrate temperature was a key parameter which critically affects the opto-electrical properties of ITO films

  15. Mechanical and tribological properties of silicon nitride films synthesized by ion beam enhanced deposition

    International Nuclear Information System (INIS)

    Chen Yuanru; Li Shizhuo; Zhang Xushou; Liu Hong; Yang Genqing; Qu Baochun

    1991-01-01

    This article describes preliminary investigations of mechanical and tribological properties of silicon nitride film formed by ion beam enhanced deposition (IBED) on GH37 (Ni-based alloys) steel. The films were synthesized by silicon vapor deposition with a rate of 1 A/s and by 40 keV nitrogen ion bombardment simultaneously. The thickness of the film was about 5000 A. X-ray photoelectron spectroscopy and infrared absorption spectroscopy revealed that a stoichiometric Si 3 N 4 film was formed. The observation of TEM showed that the IBED Si 3 N 4 film normally had an amorphous structure. However, electron diffraction patterns revealed a certain crystallinity. The mechanical and tribological properties of the films were investigated with a scratch tester, microhardness meter, and a ball-on-disc tribometer respectively. Results show that the adhesive strength between film and substrate is about 51 N, the Vickers microhardness with a load of 0.2 N is 980, the friction coefficient measured for steel against silicon nitride film ranges from 0.1 to 0.15, and the wear rate of coatings is about 6.8x10 -5 mm 3 /(mN). Finally, the relationship among thermal annealing, crystallinity and tribological characteristics of the Si 3 N 4 film is discussed. (orig.)

  16. Ultraviolet-visible electroluminescence from metal-oxide-semiconductor devices with CeO2 films on silicon

    International Nuclear Information System (INIS)

    Lv, Chunyan; Zhu, Chen; Wang, Canxing; Li, Dongsheng; Ma, Xiangyang; Yang, Deren

    2015-01-01

    We report on ultraviolet-visible (UV-Vis) electroluminescence (EL) from metal-oxide-semiconductor (MOS) devices with the CeO 2 films annealed at low temperatures. At the same injection current, the UV-Vis EL from the MOS device with the 550 °C-annealed CeO 2 film is much stronger than that from the counterpart with the 450 °C-annealed CeO 2 film. This is due to that the 550 °C-annealed CeO 2 film contains more Ce 3+ ions and oxygen vacancies. It is tentatively proposed that the recombination of the electrons in multiple oxygen-vacancy–related energy levels with the holes in Ce 4f 1 energy band pertaining to Ce 3+ ions leads to the UV-Vis EL

  17. Carbon nanotube network-silicon oxide non-volatile switches.

    Science.gov (United States)

    Liao, Albert D; Araujo, Paulo T; Xu, Runjie; Dresselhaus, Mildred S

    2014-12-08

    The integration of carbon nanotubes with silicon is important for their incorporation into next-generation nano-electronics. Here we demonstrate a non-volatile switch that utilizes carbon nanotube networks to electrically contact a conductive nanocrystal silicon filament in silicon dioxide. We form this device by biasing a nanotube network until it physically breaks in vacuum, creating the conductive silicon filament connected across a small nano-gap. From Raman spectroscopy, we observe coalescence of nanotubes during breakdown, which stabilizes the system to form very small gaps in the network~15 nm. We report that carbon nanotubes themselves are involved in switching the device to a high resistive state. Calculations reveal that this switching event occurs at ~600 °C, the temperature associated with the oxidation of nanotubes. Therefore, we propose that, in switching to a resistive state, the nanotube oxidizes by extracting oxygen from the substrate.

  18. Microstructure factor and mechanical and electronic properties of hydrogenated amorphous and nanocrystalline silicon thin-films for microelectromechanical systems applications

    International Nuclear Information System (INIS)

    Mouro, J.; Gualdino, A.; Chu, V.; Conde, J. P.

    2013-01-01

    Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n + -type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force

  19. Microstructure factor and mechanical and electronic properties of hydrogenated amorphous and nanocrystalline silicon thin-films for microelectromechanical systems applications

    Energy Technology Data Exchange (ETDEWEB)

    Mouro, J.; Gualdino, A.; Chu, V. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Conde, J. P. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Department of Bioengineering, Instituto Superior Técnico (IST), 1049-001 Lisbon (Portugal)

    2013-11-14

    Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n{sup +}-type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force.

  20. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  1. Remote PECVD silicon nitride films with improved electrical properties for GaAs P-HEMT passivation

    CERN Document Server

    Sohn, M K; Kim, K H; Yang, S G; Seo, K S

    1998-01-01

    In order to obtain thin silicon nitride films with excellent electrical and mechanical properties, we employed RPECVD (Remote Plasma Enhanced Chemical Vapor Deposition) process which produces less plasma-induced damage than the conventional PECVD. Through the optical and electrical measurements of the deposited films, we optimized the various RPECVD process parameters. The optimized silicon nitride films showed excellent characteristics such as small etch rate (approx 33 A/min by 7:1 BHF), high breakdown field (>9 MV/cm), and low compressive stress (approx 3.3x10 sup 9 dyne/cm sup 2). We successfully applied thin RPECVD silicon nitride films to the surface passivation of GaAs pseudomorphic high electron mobility transistors (P-HEMTs) with negligible degradations in DC and RF characteristics.

  2. Development of Amorphous/Microcrystalline Silicon Tandem Thin-Film Solar Modules with Low Output Voltage, High Energy Yield, Low Light-Induced Degradation, and High Damp-Heat Reliability

    Directory of Open Access Journals (Sweden)

    Chin-Yi Tsai

    2014-01-01

    Full Text Available In this work, tandem amorphous/microcrystalline silicon thin-film solar modules with low output voltage, high energy yield, low light-induced degradation, and high damp-heat reliability were successfully designed and developed. Several key technologies of passivation, transparent-conducting-oxide films, and cell and segment laser scribing were researched, developed, and introduced into the production line to enhance the performance of these low-voltage modules. A 900 kWp photovoltaic system with these low-voltage panels was installed and its performance ratio has been simulated and projected to be 92.1%, which is 20% more than the crystalline silicon and CdTe counterparts.

  3. Non-Vacuum Processed Polymer Composite Antireflection Coating Films for Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Abdullah Uzum

    2016-08-01

    Full Text Available A non-vacuum processing method for preparing polymer-based ZrO2/TiO2 multilayer structure antireflection coating (ARC films for crystalline silicon solar cells by spin coating is introduced. Initially, ZrO2, TiO2 and surface deactivated-TiO2 (SD-TiO2 based films were examined separately and the effect of photocatalytic properties of TiO2 film on the reflectivity on silicon surface was investigated. Degradation of the reflectance performance with increasing reflectivity of up to 2% in the ultraviolet region was confirmed. No significant change of the reflectance was observed when utilizing SD-TiO2 and ZrO2 films. Average reflectance (between 300 nm–1100 nm of the silicon surface coated with optimized polymer-based ZrO2 single or ZrO2/SD-TiO2 multilayer composite films was decreased down to 6.5% and 5.5%, respectively. Improvement of photocurrent density (Jsc and conversion efficiency (η of fabricated silicon solar cells owing to the ZrO2/SD-TiO2 multilayer ARC could be confirmed. The photovoltaic properties of Jsc, the open-circuit photo voltage (VOC, the fill factor (FF, and the η were 31.42 mA cm−2, 575 mV, 71.5% and 12.91%. Efficiency of the solar cells was improved by the ZrO2-polymer/SD-TiO2 polymer ARC composite layer by a factor of 0.8% with an increase of Jsc (2.07 mA cm−2 compared to those of fabricated without the ARC.

  4. Direct-current substrate bias effects on amorphous silicon sputter-deposited films for thin film transistor fabrication

    International Nuclear Information System (INIS)

    Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.

    2005-01-01

    The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film

  5. Thermal Oxidation of Structured Silicon Dioxide

    DEFF Research Database (Denmark)

    Christiansen, Thomas Lehrmann; Hansen, Ole; Jensen, Jørgen Arendt

    2014-01-01

    The topography of thermally oxidized, structured silicon dioxide is investigated through simulations, atomic force microscopy, and a proposed analytical model. A 357 nm thick oxide is structured by removing regions of the oxide in a masked etch with either reactive ion etching or hydrofluoric acid....... Subsequent thermal oxidation is performed in both dry and wet ambients in the temperature range 950◦C to 1100◦C growing a 205 ± 12 nm thick oxide in the etched mask windows. Lifting of the original oxide near the edge of the mask in the range 6 nm to 37 nm is seen with increased lifting for increasing...

  6. Thin-film transistors with a channel composed of semiconducting metal oxide nanoparticles deposited from the gas phase

    International Nuclear Information System (INIS)

    Busch, C.; Schierning, G.; Theissmann, R.; Nedic, A.; Kruis, F. E.; Schmechel, R.

    2012-01-01

    The fabrication of semiconducting functional layers using low-temperature processes is of high interest for flexible printable electronics applications. Here, the one-step deposition of semiconducting nanoparticles from the gas phase for an active layer within a thin-film transistor is described. Layers of semiconducting nanoparticles with a particle size between 10 and 25 nm were prepared by the use of a simple aerosol deposition system, excluding potentially unwanted technological procedures like substrate heating or the use of solvents. The nanoparticles were deposited directly onto standard thin-film transistor test devices, using thermally grown silicon oxide as gate dielectric. Proof-of-principle experiments were done deploying two different wide-band gap semiconducting oxides, tin oxide, SnO x , and indium oxide, In 2 O 3 . The tin oxide spots prepared from the gas phase were too conducting to be used as channel material in thin-film transistors, most probably due to a high concentration of oxygen defects. Using indium oxide nanoparticles, thin-film transistor devices with significant field effect were obtained. Even though the electron mobility of the investigated devices was only in the range of 10 −6 cm 2V−1s−1 , the operability of this method for the fabrication of transistors was demonstrated. With respect to the possibilities to control the particle size and layer morphology in situ during deposition, improvements are expected.

  7. Functionalization of 2D macroporous silicon under the high-pressure oxidation

    Science.gov (United States)

    Karachevtseva, L.; Kartel, M.; Kladko, V.; Gudymenko, O.; Bo, Wang; Bratus, V.; Lytvynenko, O.; Onyshchenko, V.; Stronska, O.

    2018-03-01

    Addition functionalization after high-pressure oxidation of 2D macroporous silicon structures is evaluated. X-ray diffractometry indicates formation of orthorhombic SiO2 phase on macroporous silicon at oxide thickness of 800-1200 nm due to cylindrical symmetry of macropores and high thermal expansion coefficient of SiO2. Pb center concentration grows with the splitting energy of LO- and TO-phonons and SiO2 thickness in oxidized macroporous silicon structures. This increase EPR signal amplitude and GHz radiation absorption and is promising for development of high-frequency devices and electronically controlled elements.

  8. Improvement in the degradation resistance of silicon nanostructures by the deposition of diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I., E-mail: klyui@isp.kiev.ua; Semenenko, M. A.; Khatsevich, I. M.; Makarov, A. V.; Kabaldin, A. N. [National Academy of Sciences of Ukraine, Lashkarev Institute of Semiconductor Physics (Ukraine); Fomovskii, F. V. [Kremenchug National University (Ukraine); Han, Wei [Jilin University, College of Physics (China)

    2015-08-15

    It is established that the deposition of a diamond-like film onto a structure with silicon nanoclusters in a silicon dioxide matrix yields an increase in the long-wavelength photoluminescence intensity of silicon nanoclusters due to the passivation of active-recombination centers with hydrogen and a shift of the photoluminescence peak to the region of higher photosensitivity of silicon-based solar cells. It is also shown that, due to the deposited diamond-like film, the resistance of such a structure to degradation upon exposure to γ radiation is improved, which is also defined by the effect of the passivation of radiation-induced activerecombination centers by hydrogen that is released from the films during treatment.

  9. Direct Electroplating on Highly Doped Patterned Silicon Wafers

    NARCIS (Netherlands)

    Vargas Llona, Laura Dolores; Jansen, Henricus V.; Elwenspoek, Michael Curt

    Nickel thin films have been electrodeposited directly on highly doped silicon wafers after removal of the native oxide layer. These substrates conduct sufficiently well to allow deposition using a periferical electrical contact on the wafer. Films 2 μm thick were deposited using a nickel sulfamate

  10. Forming of nanocrystal silicon films by implantation of high dose of H+ in layers of silicon on isolator and following fast thermal annealing

    International Nuclear Information System (INIS)

    Tyschenko, I.E.; Popov, V.P.; Talochkin, A.B.; Gutakovskij, A.K.; Zhuravlev, K.S.

    2004-01-01

    Formation of nanocrystalline silicon films during rapid thermal annealing of the high-dose H + ion implanted silicon-on-insulator structures was studied. It was found, that Si nanocrystals had formed alter annealings at 300-400 deg C, their formation being strongly limited by the hydrogen content in silicon and also by the annealing time. It was supposed that the nucleation of crystalline phase occurred inside the silicon islands between micropores. It is conditioned by ordering Si-Si bonds as hydrogen atoms are leaving their sites in silicon network. No coalescence of micropores takes place during the rapid thermal annealing at the temperatures up to ∼ 900 deg C. Green-orange photoluminescence was observed on synthesized films at room temperature [ru

  11. Studying the noise parameters of thin-film silicon resistors

    International Nuclear Information System (INIS)

    Belogurov, S.V.; Gostilo, V.V.; Yurov, A.S.

    1986-01-01

    The results of studies on spectral density and energy noise equivalent of thin-film resistors on the base of amorphous silicon and KIM and KVM commercial high-ohmic resistors are presented. Dependence of the active part of impedance on frequency is shown to be the main source of redundant noise in resistors. Dependence of spectral density of noise voltage of current noises of silicon resistors on applied voltage is described by the formula S T =B V 2 /f 1.6 with the values B=(1.4-1.7)x10 -12 Hz 0.6 . As to noise parameters the silicon resistor is superior to commercial resistors

  12. Amorphous Silicon-Germanium Films with Embedded Nanocrystals for Thermal Detectors with Very High Sensitivity

    Directory of Open Access Journals (Sweden)

    Cesar Calleja

    2016-01-01

    Full Text Available We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nanocrystals in a plasma enhanced chemical vapor deposition (PECVD reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR, which is a signature of the sensitivity in thermal detectors (microbolometers. Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9% K−1. Our results show that amorphous silicon-germanium films with embedded nanocrystals can be used as thermosensitive films in high performance infrared focal plane arrays (IRFPAs used in commercial thermal cameras.

  13. Graphene Oxide/Poly(3-hexylthiophene) Nanocomposite Thin-Film Phototransistor for Logic Circuit Applications

    Science.gov (United States)

    Mansouri, S.; Coskun, B.; El Mir, L.; Al-Sehemi, Abdullah G.; Al-Ghamdi, Ahmed; Yakuphanoglu, F.

    2018-04-01

    Graphene is a sheet-structured material that lacks a forbidden band, being a good candidate for use in radiofrequency applications. We have elaborated graphene-oxide-doped poly(3-hexylthiophene) nanocomposite to increase the interlayer distance and thereby open a large bandgap for use in the field of logic circuits. Graphene oxide/poly(3-hexylthiophene) (GO/P3HT) nanocomposite thin-film transistors (TFTs) were fabricated on silicon oxide substrate by spin coating method. The current-voltage ( I- V) characteristics of TFTs with various P3HT compositions were studied in the dark and under light illumination. The photocurrent, charge carrier mobility, subthreshold voltage, density of interface states, density of occupied states, and I ON/ I OFF ratio of the devices strongly depended on the P3HT weight ratio in the composite. The effects of white-light illumination on the electrical parameters of the transistors were investigated. The results indicated that GO/P3HT nanocomposite thin-film transistors have high potential for use in radiofrequency applications, and their feasibility for use in digital applications has been demonstrated.

  14. Quadruple-Junction Thin-Film Silicon-Based Solar Cells

    NARCIS (Netherlands)

    Si, F.T.

    2017-01-01

    The direct utilization of sunlight is a critical energy source in a sustainable future. One of the options is to convert the solar energy into electricity using thin-film silicon-based solar cells (TFSSCs). Solar cells in a triple-junction configuration have exhibited the highest energy conversion

  15. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  16. Micro-arc oxidation as a tool to develop multifunctional calcium-rich surfaces for dental implant applications

    Energy Technology Data Exchange (ETDEWEB)

    Ribeiro, A.R., E-mail: arribeiro@inmetro.gov.br [Department of Periodontology, Araraquara Dental School, University Estadual Paulista, Rua Humaitá 1680, 14801-903 Araraquara, São Paulo (Brazil); Directory of Metrology Applied to Life Science, National Institute of Metrology Quality and Technology, Av. N. S. das Graças 50, Xerém, Duque de Caxias, Rio de Janeiro (Brazil); Brazilian Branch of Institute of Biomaterials, Tribocorrosion and Nanomedicine (IBTN/Br) (Brazil); Oliveira, F., E-mail: fernando@dem.uminho.pt [Brazilian Branch of Institute of Biomaterials, Tribocorrosion and Nanomedicine (IBTN/Br) (Brazil); Centre for Mechanical and Materials Technologies, University of Minho, Campus de Azurém, 4800-058 Guimarães (Portugal); Boldrini, L.C., E-mail: lcboldrini@inmetro.gov.br [Directory of Metrology Applied to Life Science, National Institute of Metrology Quality and Technology, Av. N. S. das Graças 50, Xerém, Duque de Caxias, Rio de Janeiro (Brazil); Leite, P.E., E-mail: leitepec@gmail.com [Directory of Metrology Applied to Life Science, National Institute of Metrology Quality and Technology, Av. N. S. das Graças 50, Xerém, Duque de Caxias, Rio de Janeiro (Brazil); Falagan-Lotsch, P., E-mail: prifalagan@gmail.com [Directory of Metrology Applied to Life Science, National Institute of Metrology Quality and Technology, Av. N. S. das Graças 50, Xerém, Duque de Caxias, Rio de Janeiro (Brazil); Linhares, A.B.R., E-mail: adrianalinhares@hotmail.com [Clinical Research Unit, Antonio Pedro Hospital, Fluminense Federal University, Niterói (Brazil); and others

    2015-09-01

    Titanium (Ti) is commonly used in dental implant applications. Surface modification strategies are being followed in last years in order to build Ti oxide-based surfaces that can fulfill, simultaneously, the following requirements: induced cell attachment and adhesion, while providing a superior corrosion and tribocorrosion performance. In this work micro-arc oxidation (MAO) was used as a tool for the growth of a nanostructured bioactive titanium oxide layer aimed to enhance cell attachment and adhesion for dental implant applications. Characterization of the surfaces was performed, in terms of morphology, topography, chemical composition and crystalline structure. Primary human osteoblast adhesion on the developed surfaces was investigated in detail by electronic and atomic force microscopy as well as immunocytochemistry. Also an investigation on the early cytokine production was performed. Results show that a relatively thick hybrid and graded oxide layer was produced on the Ti surface, being constituted by a mixture of anatase, rutile and amorphous phases where calcium (Ca) and phosphorous (P) were incorporated. An outermost nanometric-thick amorphous oxide layer rich in Ca was present in the film. This amorphous layer, rich in Ca, improved fibroblast viability and metabolic activity as well as osteoblast adhesion. High-resolution techniques allowed to understand that osteoblasts adhered less in the crystalline-rich regions while they preferentially adhere and spread over in the Ca-rich amorphous oxide layer. Also, these surfaces induce higher amounts of IFN-γ cytokine secretion, which is known to regulate inflammatory responses, bone microarchitecture as well as cytoskeleton reorganization and cellular spreading. These surfaces are promising in the context of dental implants, since they might lead to faster osseointegration. - Highlights: • A nanometric-structured calcium-rich amorphous layer with improved bioactivity was produced on titanium surfaces.

  17. Micro-arc oxidation as a tool to develop multifunctional calcium-rich surfaces for dental implant applications

    International Nuclear Information System (INIS)

    Ribeiro, A.R.; Oliveira, F.; Boldrini, L.C.; Leite, P.E.; Falagan-Lotsch, P.; Linhares, A.B.R.

    2015-01-01

    Titanium (Ti) is commonly used in dental implant applications. Surface modification strategies are being followed in last years in order to build Ti oxide-based surfaces that can fulfill, simultaneously, the following requirements: induced cell attachment and adhesion, while providing a superior corrosion and tribocorrosion performance. In this work micro-arc oxidation (MAO) was used as a tool for the growth of a nanostructured bioactive titanium oxide layer aimed to enhance cell attachment and adhesion for dental implant applications. Characterization of the surfaces was performed, in terms of morphology, topography, chemical composition and crystalline structure. Primary human osteoblast adhesion on the developed surfaces was investigated in detail by electronic and atomic force microscopy as well as immunocytochemistry. Also an investigation on the early cytokine production was performed. Results show that a relatively thick hybrid and graded oxide layer was produced on the Ti surface, being constituted by a mixture of anatase, rutile and amorphous phases where calcium (Ca) and phosphorous (P) were incorporated. An outermost nanometric-thick amorphous oxide layer rich in Ca was present in the film. This amorphous layer, rich in Ca, improved fibroblast viability and metabolic activity as well as osteoblast adhesion. High-resolution techniques allowed to understand that osteoblasts adhered less in the crystalline-rich regions while they preferentially adhere and spread over in the Ca-rich amorphous oxide layer. Also, these surfaces induce higher amounts of IFN-γ cytokine secretion, which is known to regulate inflammatory responses, bone microarchitecture as well as cytoskeleton reorganization and cellular spreading. These surfaces are promising in the context of dental implants, since they might lead to faster osseointegration. - Highlights: • A nanometric-structured calcium-rich amorphous layer with improved bioactivity was produced on titanium surfaces.

  18. Micro-arc oxidation as a tool to develop multifunctional calcium-rich surfaces for dental implant applications.

    Science.gov (United States)

    Ribeiro, A R; Oliveira, F; Boldrini, L C; Leite, P E; Falagan-Lotsch, P; Linhares, A B R; Zambuzzi, W F; Fragneaud, B; Campos, A P C; Gouvêa, C P; Archanjo, B S; Achete, C A; Marcantonio, E; Rocha, L A; Granjeiro, J M

    2015-09-01

    Titanium (Ti) is commonly used in dental implant applications. Surface modification strategies are being followed in last years in order to build Ti oxide-based surfaces that can fulfill, simultaneously, the following requirements: induced cell attachment and adhesion, while providing a superior corrosion and tribocorrosion performance. In this work micro-arc oxidation (MAO) was used as a tool for the growth of a nanostructured bioactive titanium oxide layer aimed to enhance cell attachment and adhesion for dental implant applications. Characterization of the surfaces was performed, in terms of morphology, topography, chemical composition and crystalline structure. Primary human osteoblast adhesion on the developed surfaces was investigated in detail by electronic and atomic force microscopy as well as immunocytochemistry. Also an investigation on the early cytokine production was performed. Results show that a relatively thick hybrid and graded oxide layer was produced on the Ti surface, being constituted by a mixture of anatase, rutile and amorphous phases where calcium (Ca) and phosphorous (P) were incorporated. An outermost nanometric-thick amorphous oxide layer rich in Ca was present in the film. This amorphous layer, rich in Ca, improved fibroblast viability and metabolic activity as well as osteoblast adhesion. High-resolution techniques allowed to understand that osteoblasts adhered less in the crystalline-rich regions while they preferentially adhere and spread over in the Ca-rich amorphous oxide layer. Also, these surfaces induce higher amounts of IFN-γ cytokine secretion, which is known to regulate inflammatory responses, bone microarchitecture as well as cytoskeleton reorganization and cellular spreading. These surfaces are promising in the context of dental implants, since they might lead to faster osseointegration. Copyright © 2015 Elsevier B.V. All rights reserved.

  19. Pull-test adhesion measurements of diamondlike carbon films on silicon carbide, silicon nitride, aluminum oxide, and zirconium oxide

    International Nuclear Information System (INIS)

    Erck, R.A.; Nichols, F.A.; Dierks, J.F.

    1994-01-01

    Hydrogenated amorphous carbon or diamondlike carbon (DLC) films were formed by 400 eV methane (CH 4 ) ion bombardment of various smooth and rough ceramics, as well as ceramics coated with a layer of Si or Ti. Adhesion was measured by a bonded-pin method. Excellent adhesion was measured for smooth SiC and Si 3 N 4 , but adhesion of DLC to smooth Al 2 O 3 and ZrO 2 was negligible. The use of a Si bonding interlayer produced good adhesion to all the substrates, but a Ti layer was ineffective due to poor bonding between the DLC film and Ti. Bulk thermodynamic calculations are not directly applicable to bonding at the interface because the interface is two dimensional and the compositions of interfacial phases are generally not known. If the standard enthalpy ΔH degree for the reaction between CH 4 and the substrate material is calculated under the assumption that a carbide phase is produced, a relationship is seen between the reaction enthalpy and the relative adhesion. Large positive enthalpies are associated with poor adhesion; negative or small positive enthalpies are associated with good adhesion. This relation between enthalpy and adhesion was also observed for DLC deposited on Si. The lack of adhesion to the Ti was attributed to inadvertent formation of a surface oxide layer that rendered the enthalpy for the reaction with CH 4 positive

  20. Effect of the temperature and dew point of the decarburization process on the oxide subscale of a 3% silicon steel

    Energy Technology Data Exchange (ETDEWEB)

    Cesar, Maria das Gracas M.M. E-mail: gracamelo@acesita.com.br; Mantel, Marc J

    2003-01-01

    The oxide subscale formed on the decarburization annealing of 3% Si-Fe was investigated using microscopy and spectroscopy techniques. It was found that the morphology as well as the molecular structure of the subscale are affected by temperature and dew point. The results suggest that there is an optimum level of internal oxidation and an optimum fayalite/silica ratio in the subscale to achieve a oriented grain silicon steel having a continuous and smooth ceramic film and low core loss.

  1. Ferroelectric and piezoelectric responses of (110) and (001)-oriented epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} thin films on all-oxide layers buffered silicon

    Energy Technology Data Exchange (ETDEWEB)

    Vu, Hien Thu [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Nguyen, Minh Duc, E-mail: minh.nguyen@itims.edu.vn [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Houwman, Evert; Boota, Muhammad [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Dekkers, Matthijn [SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Vu, Hung Ngoc [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Rijnders, Guus [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2015-12-15

    Graphical abstract: The cross sections show a very dense structure in the (001)-oriented films (c,d), while an open columnar growth structure is observed in the case of the (110)-oriented films (a,b). The (110)-oriented PZT films show a significantly larger longitudinal piezoelectric coefficient (d33{sub ,f}), but smaller transverse piezoelectric coefficient (d31{sub ,f}) than the (001) oriented films. - Highlights: • We fabricate all-oxide, epitaxial piezoelectric PZT thin films on Si. • The orientation of the films can be controlled by changing the buffer layer stack. • The coherence of the in-plane orientation of the grains and grain boundaries affects the ferroelectric properties. • Good cycling stability of the ferroelectric properties of (001)-oriented PZT thin films. The (110)-oriented PZT thin films show a larger d33{sub ,f} but smaller d31{sub ,f} than the (001)-oriented films. - Abstract: Epitaxial ferroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were fabricated on silicon substrates using pulsed laser deposition. Depending on the buffer layers and perovskite oxide electrodes, epitaxial films with different orientations were grown. (110)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) films were obtained on YSZ-buffered Si substrates, while (001)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) were fabricated with an extra CeO{sub 2} buffer layer (CeO{sub 2}/YSZ/Si). There is no effect of the electrode material on the properties of the films. The initial remnant polarizations in the (001)-oriented films are higher than those of (110)-oriented films, but it increases to the value of the (001) films upon cycling. The longitudinal piezoelectric d33{sub ,f} coefficients of the (110) films are larger than those of the (001) films, whereas the transverse piezoelectric d31{sub ,f} coefficients in the (110)-films are less than those in the (001)-oriented films. The difference is ascribed to the lower density (connectivity between

  2. Thin film silicon modules: contributions to low cost industrial production

    Energy Technology Data Exchange (ETDEWEB)

    Shah, A. [Universite de Neuchatel, Neuchatel (Switzerland)

    2005-07-01

    This final report for the Swiss Federal Office of Energy (SFOE) discusses the research work done during the two-year period 2003-04 at the Thin-Film Solar Cell Laboratory of the Institute of Microtechnology (IMT) at the University of Neuchatel in Switzerland. The transition from fundamental research work to concrete industrialisation issues, and changes within the research staff are discussed. The main results of the work done are presented, including basic techniques for the production of p-i-n solar cells on glass, new technologies for the deposition of n-i-p cells on low-cost flexible substrates and the optimisation of zinc oxide deposition methods. The key role played by substrate chemistry and roughness in the nucleation and growth of micro-crystalline silicon layers is looked at and diagnostic tools for the analysis of micro-crystalline solar cells are discussed.

  3. Magneto-Optical Thin Films for On-Chip Monolithic Integration of Non-Reciprocal Photonic Devices

    Directory of Open Access Journals (Sweden)

    Mehmet Cengiz Onbasli

    2013-11-01

    Full Text Available Achieving monolithic integration of nonreciprocal photonic devices on semiconductor substrates has been long sought by the photonics research society. One way to achieve this goal is to deposit high quality magneto-optical oxide thin films on a semiconductor substrate. In this paper, we review our recent research activity on magneto-optical oxide thin films toward the goal of monolithic integration of nonreciprocal photonic devices on silicon. We demonstrate high Faraday rotation at telecommunication wavelengths in several novel magnetooptical oxide thin films including Co substituted CeO2−δ, Co- or Fe-substituted SrTiO3−δ, as well as polycrystalline garnets on silicon. Figures of merit of 3~4 deg/dB and 21 deg/dB are achieved in epitaxial Sr(Ti0.2Ga0.4Fe0.4O3−δ and polycrystalline (CeY2Fe5O12 films, respectively. We also demonstrate an optical isolator on silicon, based on a racetrack resonator using polycrystalline (CeY2Fe5O12/silicon strip-loaded waveguides. Our work demonstrates that physical vapor deposited magneto-optical oxide thin films on silicon can achieve high Faraday rotation, low optical loss and high magneto-optical figure of merit, therefore enabling novel high-performance non-reciprocal photonic devices monolithically integrated on semiconductor substrates.

  4. Investigations of Si Thin Films as Anode of Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Qingliu [Department of Chemical; Shi, Bing; Bareño, Javier; Liu, Yuzi; Maroni, Victor A.; Zhai, Dengyun; Dees, Dennis W.; Lu, Wenquan

    2018-01-22

    Amorphous silicon thin films having various thicknesses were investigated as a negative electrode material for lithium-ion batteries. Electrochemical characterization of the 20 nm thick thin silicon film revealed a very low first cycle Coulombic efficiency, which can be attributed to the silicon oxide layer formed on both the surface of the as-deposited Si thin film and the interface between the Si and the substrate. Among the investigated films, the 100 nm Si thin film demonstrated the best performance in terms of first cycle efficiency and cycle life. Observations from scanning electron microscopy demonstrated that the generation of cracks was inevitable in the cycled Si thin films, even as the thickness of the film was as little as 20 nm, which was not predicted by previous modeling work. However, the cycling performance of the 20 and 100 nm silicon thin films was not detrimentally affected by these cracks. The poor capacity retention of the 1 mu m silicon thin film was attributed to the delamination.

  5. Hydrogen distribution in oxynitride/oxide structures

    NARCIS (Netherlands)

    Oude Elferink, J.B.; Heide, U.A. van der; Arnold Bik, W.M.; Habraken, F.H.P.M.; Weg, W.F. van der

    1987-01-01

    Silicon oxynitride films with five different O/N ratios were deposited with low pressure chemical vapor deposition on a silicon substrate covered with an oxide. The films were subjected to subsequent post-deposition anneals in N2 and H2 at 1000°C, and a H plasma at 300°C to obtain information about

  6. Potassium ions in SiO2: electrets for silicon surface passivation

    Science.gov (United States)

    Bonilla, Ruy S.; Wilshaw, Peter R.

    2018-01-01

    This manuscript reports an experimental and theoretical study of the transport of potassium ions in thin silicon dioxide films. While alkali contamination was largely researched in the context of MOSFET instability, recent reports indicate that potassium ions can be embedded into oxide films to produce dielectric materials with permanent electric charge, also known as electrets. These electrets are integral to a number of applications, including the passivation of silicon surfaces for optoelectronic devices. In this work, electric field assisted migration of ions is used to rapidly drive K+ into SiO2 and produce effective passivation of silicon surfaces. Charge concentrations of up to ~5  ×  1012 e cm-2 have been achieved. This charge was seen to be stable for over 1500 d, with decay time constants as high as 17 000 d, producing an effectively passivated oxide-silicon interface with SRV  industrial manufacture of silicon optoelectronic devices.

  7. Demonstration of slot-waveguide structures on silicon nitride / silicon oxide platform.

    Science.gov (United States)

    Barrios, C A; Sánchez, B; Gylfason, K B; Griol, A; Sohlström, H; Holgado, M; Casquel, R

    2007-05-28

    We report on the first demonstration of guiding light in vertical slot-waveguides on silicon nitride/silicon oxide material system. Integrated ring resonators and Fabry-Perot cavities have been fabricated and characterized in order to determine optical features of the slot-waveguides. Group index behavior evidences guiding and confinement in the low-index slot region at O-band (1260-1370nm) telecommunication wavelengths. Propagation losses of <20 dB/cm have been measured for the transverse-electric mode of the slot-waveguides.

  8. Reciprocal space analysis of the microstructure of luminescent and nonluminescent porous silicon films

    International Nuclear Information System (INIS)

    Lee, S.R.; Barbour, J.C.; Medernach, J.W.; Stevenson, J.O.; Custer, J.S.

    1994-01-01

    The microstructure of anodically prepared porous silicon films was determined using a novel X-ray diffraction technique. This technique uses double-crystal diffractometry combined with position-sensitive X- ray detection to efficiently and quantitatively image the reciprocal space structure of crystalline materials. Reciprocal space analysis of newly prepared, as well as aged, p - porous silicon films showed that these films exhibit a very broad range of crystallinity. This material appears to range in structure from a strained, single-crystal, sponge-like material exhibiting long-range coherency to isolated, dilated nanocrystals embedded in an amorphous matrix. Reciprocal space analysis of n + and p + porous silicon showed these materials are strained single-crystals with a spatially-correlated array of vertical pores. The vertical pores in these crystals may be surrounded by nanoporous or nanocrystalline domains as small as a few nm in size which produce diffuse diffraction indicating their presence. The photoluminescence of these films was examined using 488 nm Ar laser excitation in order to search for possible correlations between photoluminescent intensity and crystalline microstructure

  9. The influence of the electrical asymmetry effect on deposition uniformity of thin silicon film

    Energy Technology Data Exchange (ETDEWEB)

    Hrunski, D., E-mail: Dzmitry.Hrunski@leyboldoptics.com; Janssen, A.; Fritz, T.; Hegemann, T.; Clark, C.; Schreiber, U.; Grabosch, G.

    2013-04-01

    The deposition of amorphous and microcrystalline silicon is an important step in the production of thin silicon film solar panels. Deposition rate, layer uniformity and material quality are key attributes for achieving high efficiency in such panels. Due to the multilayer structure of tandem solar cells (more than 6 thin silicon layers), it is becoming increasingly important to improve the uniformity of deposition without sacrificing deposition rate and material quality. This paper reports the results of an investigation into the influence of the electrical asymmetry effect (EAE) on the uniformity of deposited layers. 13.56 MHz + 27.12 MHz excitation frequencies were used for thin silicon film deposition in a Gen5 reactor (1100 × 1400 mm). To change the plasma properties, the DC self bias voltage on the RF electrode was varied by adjustment of the phase angle between the two frequencies applied. It was found that the layers deposited by EAE method have better uniformity than layers deposited in single frequency 27.12 MHz discharge. The EAE provides additional opportunities for improvement of uniformity, deposition rate and material quality. - Highlights: ► The electrical asymmetry effect technique tested for thin silicon film deposition ► Bias voltage has an influence on film uniformity. ► Minimized the deterioration of layer uniformity while increasing discharge frequency.

  10. High performance multilayered nano-crystalline silicon/silicon-oxide light-emitting diodes on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Darbari, S; Shahmohammadi, M; Mortazavi, M; Mohajerzadeh, S [Thin Film and Nano-Electronic Laboratory, School of ECE, University of Tehran, Tehran (Iran, Islamic Republic of); Abdi, Y [Nano-Physics Research Laboratory, Department of Physics, University of Tehran, Tehran (Iran, Islamic Republic of); Robertson, M; Morrison, T, E-mail: mohajer@ut.ac.ir [Department of Physics, Acadia University, Wolfville, NS (Canada)

    2011-09-16

    A low-temperature hydrogenation-assisted sequential deposition and crystallization technique is reported for the preparation of nano-scale silicon quantum dots suitable for light-emitting applications. Radio-frequency plasma-enhanced deposition was used to realize multiple layers of nano-crystalline silicon while reactive ion etching was employed to create nano-scale features. The physical characteristics of the films prepared using different plasma conditions were investigated using scanning electron microscopy, transmission electron microscopy, room temperature photoluminescence and infrared spectroscopy. The formation of multilayered structures improved the photon-emission properties as observed by photoluminescence and a thin layer of silicon oxy-nitride was then used for electrical isolation between adjacent silicon layers. The preparation of light-emitting diodes directly on glass substrates has been demonstrated and the electroluminescence spectrum has been measured.

  11. Microcrystalline silicon oxides for silicon-based solar cells: impact of the O/Si ratio on the electronic structure

    Science.gov (United States)

    Bär, M.; Starr, D. E.; Lambertz, A.; Holländer, B.; Alsmeier, J.-H.; Weinhardt, L.; Blum, M.; Gorgoi, M.; Yang, W.; Wilks, R. G.; Heske, C.

    2014-10-01

    Hydrogenated microcrystalline silicon oxide (μc-SiOx:H) layers are one alternative approach to ensure sufficient interlayer charge transport while maintaining high transparency and good passivation in Si-based solar cells. We have used a combination of complementary x-ray and electron spectroscopies to study the chemical and electronic structure of the (μc-SiOx:H) material system. With these techniques, we monitor the transition from a purely Si-based crystalline bonding network to a silicon oxide dominated environment, coinciding with a significant decrease of the material's conductivity. Most Si-based solar cell structures contain emitter/contact/passivation layers. Ideally, these layers fulfill their desired task (i.e., induce a sufficiently high internal electric field, ensure a good electric contact, and passivate the interfaces of the absorber) without absorbing light. Usually this leads to a trade-off in which a higher transparency can only be realized at the expense of the layer's ability to properly fulfill its task. One alternative approach is to use hydrogenated microcrystalline silicon oxide (μc-SiOx:H), a mixture of microcrystalline silicon and amorphous silicon (sub)oxide. The crystalline Si regions allow charge transport, while the oxide matrix maintains a high transparency. To date, it is still unclear how in detail the oxygen content influences the electronic structure of the μc-SiOx:H mixed phase material. To address this question, we have studied the chemical and electronic structure of the μc-SiOx:H (0 0.5, we observe a pronounced decrease of Si 3s - Si 3p hybridization in favor of Si 3p - O 2p hybridization in the upper valence band. This coincides with a significant increase of the material's resistivity, possibly indicating the breakdown of the conducting crystalline Si network. Silicon oxide layers with a thickness of several hundred nanometres were deposited in a PECVD (plasma-enhanced chemical vapor deposition) multi chamber system

  12. Effect of pyrolysis atmospheres on the morphology of polymer-derived silicon oxynitrocarbide ceramic films coated aluminum nitride surface and the thermal conductivity of silicone rubber composites

    Science.gov (United States)

    Chiu, Hsien T.; Sukachonmakul, Tanapon; Wang, Chen H.; Wattanakul, Karnthidaporn; Kuo, Ming T.; Wang, Yu H.

    2014-02-01

    Amorphous silicon oxycarbide (SiOC) and silicon oxynitrocarbide (SiONC) ceramic films coated aluminum nitride (AlN) were prepared by using preceramic-polysilazane (PSZ) with dip-coating method, followed by pyrolysis at 700 °C in different (air, Ar, N2 and NH3) atmospheres to converted PSZ into SiOCair and SiONC(Ar,N2andNH3) ceramic. The existence of amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface was characterized by FTIR, XRD and XPS. The interfacial adhesion between silicone rubber and AlN was significantly improved after the introduction of amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface. It can be observed from AFM that the pyrolysis of PSZ at different atmosphere strongly affected to films morphology on AlN surface as SiOCair and SiONCNH3 ceramic films were more flat and smooth than SiONCN2 and SiONCAr ceramic films. Besides, the enhancement of the thermal conductivity of silicone rubber composites was found to be related to the decrease in the surface roughness of SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface. This present work provided an alternative surface modification of thermally conductive fillers to improve the thermal conductivity of silicon rubber composites by coating with amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films.

  13. Spike-Timing Dependent Plasticity in Unipolar Silicon Oxide RRAM Devices.

    Science.gov (United States)

    Zarudnyi, Konstantin; Mehonic, Adnan; Montesi, Luca; Buckwell, Mark; Hudziak, Stephen; Kenyon, Anthony J

    2018-01-01

    Resistance switching, or Resistive RAM (RRAM) devices show considerable potential for application in hardware spiking neural networks (neuro-inspired computing) by mimicking some of the behavior of biological synapses, and hence enabling non-von Neumann computer architectures. Spike-timing dependent plasticity (STDP) is one such behavior, and one example of several classes of plasticity that are being examined with the aim of finding suitable algorithms for application in many computing tasks such as coincidence detection, classification and image recognition. In previous work we have demonstrated that the neuromorphic capabilities of silicon-rich silicon oxide (SiO x ) resistance switching devices extend beyond plasticity to include thresholding, spiking, and integration. We previously demonstrated such behaviors in devices operated in the unipolar mode, opening up the question of whether we could add plasticity to the list of features exhibited by our devices. Here we demonstrate clear STDP in unipolar devices. Significantly, we show that the response of our devices is broadly similar to that of biological synapses. This work further reinforces the potential of simple two-terminal RRAM devices to mimic neuronal functionality in hardware spiking neural networks.

  14. Properties and Applications of High Emissivity Composite Films Based on Far-Infrared Ceramic Powder.

    Science.gov (United States)

    Xiong, Yabo; Huang, Shaoyun; Wang, Wenqi; Liu, Xinghai; Li, Houbin

    2017-11-29

    Polymer matrix composite materials that can emit radiation in the far-infrared region of the spectrum are receiving increasing attention due to their ability to significantly influence biological processes. This study reports on the far-infrared emissivity property of composite films based on far-infrared ceramic powder. X-ray fluorescence spectrometry, Fourier transform infrared spectroscopy, thermogravimetric analysis, and X-ray powder diffractometry were used to evaluate the physical properties of the ceramic powder. The ceramic powder was found to be rich in aluminum oxide, titanium oxide, and silicon oxide, which demonstrate high far-infrared emissivity. In addition, the micromorphology, mechanical performance, dynamic mechanical properties, and far-infrared emissivity of the composite were analyzed to evaluate their suitability for strawberry storage. The mechanical properties of the far-infrared radiation ceramic (cFIR) composite films were not significantly influenced ( p ≥ 0.05) by the addition of the ceramic powder. However, the dynamic mechanical analysis (DMA) properties of the cFIR composite films, including a reduction in damping and shock absorption performance, were significant influenced by the addition of the ceramic powder. Moreover, the cFIR composite films showed high far-infrared emissivity, which has the capability of prolonging the storage life of strawberries. This research demonstrates that cFIR composite films are promising for future applications.

  15. Development of practical application technology for photovoltaic power generation systems in fiscal 1997. Development of technologies to manufacture application type thin film solar cells with new structure (development of technologies to manufacture amorphous silicon and thin film poly-crystal silicon hybrid thin film solar cells); 1997 nendo taiyoko hatsuden system jitsuyoka gijutsu kaihatsu. Usumaku taiyo denchi no seizo gijutsu kaihatsu, oyogata shinkozo usumaku taiyo denchi no seizo gijutsu kaihatsu (amorphous silicon/usumaku takessho silicon hybrid usumaku taiyo denchi no seizo gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Research and development was performed with an objective to manufacture amorphous silicon and thin film poly-crystal silicon hybrid solar cells with large area and at low cost, being a high-efficiency next generation solar cell. The research was performed based on a principle that low-cost substrates shall be used, that a manufacturing process capable of forming amorphous silicon films with large area shall be based on, and that silicon film with as thin as possible thickness shall be used. Fiscal 1997 has started research and development on making the cells hybrid with amorphous silicon cells. As a result of the research and development, such achievements have been attained as using texture structure on the rear layer in thin poly-crystal silicon film solar cells with a thickness of two microns, and having achieved conversion efficiency of 10.1% by optimizing the junction interface forming conditions. A photo-deterioration test was carried out on hybrid cells which combine the thin poly-crystal silicon film cells having STAR structure with the amorphous silicon cells. Stabilization efficiency of 11.5% was attained after light has been irradiated for 500 hours or longer. (NEDO)

  16. Photo and cathode luminescence emission in oxide silicium films implanted with silicium; Emision de foto y catodoluminiscencia en peliculas de oxido de silicio termico implantadas con silicio

    Energy Technology Data Exchange (ETDEWEB)

    Flores, F; Aceves, M. [Instituto Nacional de Astrofisica Optica y Electronica, Mexico, D.F. (Mexico); Carrillo, J. [Benemrita Universidad Autonoma de Puebla, Puebla (Mexico); Dominguez, C. [Universida Autonoma de Barcelona, Barcelona (Spain); Falcony, C. [Instituto Politecnico Nacional, Mexico, D.F. (Mexico)

    2001-10-01

    We studied the photo and cathodoluminescence of Silicon Rich Oxides (SRO) obtained by ion implant of Si in thermal oxides. Doses of 10{sup 1}6 cm{sup -}2 (low dose) and 10{sup 1}7 cm{sup -}2 (high dose) and implant energy of 150 keV were used. The films were annealed for 30, 60 and 180 minutes in nitrogen at 1100 Celsius degrees. The spectra show photo and cathodoluminescence emission in the visible range, the bands in the spectra change with the conditions of ion implant and annealing. The films without thermal treatment in both dose present photoluminescence bands around 1.9 eV (band B) and 2.4 eV (band C). With the thermal treatments, the band B disappears. In the case of the films with low dose, the band C shows a blue shift and a decrease in intensity. The high dose films have a band centered in 1.7 eV (band A) that increases its intensity with annealings. The cathodoluminescence bands in all the cases are in 2.7 eV (band D) and they present changes with the thermal treatments that it seems they depend on the variation in the implant parameters. [Spanish] Se estudian las propiedades de foto y la catodoluminiscencia de peliculas de oxidos de silicio ricos en Si (Silicon Rich Oxide SRO) obtenidas por implantacion ionica de Si en oxidos termicos. Se usaron dosis de 10{sup 1}6 cm{sup -}2 (dosis baja) y 10{sup 1}7 cm{sup -}2 (dosis alta) y energia de implantacion de 150 keV. Las peliculas se sometieron a tratamientos termicos por 30, 60 y 180 minutos en nitrogeno de 1100 grados centigrados. Se encontro emision foto y catodoluminiscente en el rango visible, las bandas en los espectros cambian con las condiciones de implantacion ionica y con los tratamientos termicos. Las peliculas sin tratamiento termico en ambas dosis presentan bandas de fotoluminiscencia alrededor de 1.9 eV (banda B) y 2.4 eV (banda C). Con los tratamientos termicos, la banda B desaparece. En el caso de las peliculas con dosis baja, la banda C muestra un corrimiento hacia el azul junto con una

  17. The influence of initial defects on mechanical stress and deformation distribution in oxidized silicon

    Directory of Open Access Journals (Sweden)

    Kulinich O. A.

    2008-10-01

    Full Text Available The near-surface silicon layers in silicon – dioxide silicon systems with modern methods of research are investigated. It is shown that these layers have compound structure and their parameters depend on oxidation and initial silicon parameters. It is shown the influence of initial defects on mechanical stress and deformation distribution in oxidized silicon.

  18. Tungsten oxide proton conducting films for low-voltage transparent oxide-based thin-film transistors

    International Nuclear Information System (INIS)

    Zhang, Hongliang; Wan, Qing; Wan, Changjin; Wu, Guodong; Zhu, Liqiang

    2013-01-01

    Tungsten oxide (WO x ) electrolyte films deposited by reactive magnetron sputtering showed a high room temperature proton conductivity of 1.38 × 10 −4 S/cm with a relative humidity of 60%. Low-voltage transparent W-doped indium-zinc-oxide thin-film transistors gated by WO x -based electrolytes were self-assembled on glass substrates by one mask diffraction method. Enhancement mode operation with a large current on/off ratio of 4.7 × 10 6 , a low subthreshold swing of 108 mV/decade, and a high field-effect mobility 42.6 cm 2 /V s was realized. Our results demonstrated that WO x -based proton conducting films were promising gate dielectric candidates for portable low-voltage oxide-based devices.

  19. Dispersion tailoring of a silicon strip waveguide employing Titania-Alumina thin-film coating

    DEFF Research Database (Denmark)

    Guo, Kai; Christensen, Jesper B.; Christensen, Erik N.

    2017-01-01

    We numerically demonstrate dispersion tailoring of a silicon strip waveguide employing Titania-Alumina thin-film coating using a finite-difference mode solver. The proposed structure exhibits spectrally-flattened near-zero anomalous dispersion within the telecom wavelength range. We also numerica......We numerically demonstrate dispersion tailoring of a silicon strip waveguide employing Titania-Alumina thin-film coating using a finite-difference mode solver. The proposed structure exhibits spectrally-flattened near-zero anomalous dispersion within the telecom wavelength range. We also...

  20. Effects of excitation intensity on the photocurrent response of thin film silicon solar modules

    Science.gov (United States)

    Kim, Q.; Shumka, A.; Trask, J.

    1986-01-01

    Photocurrent responses of amorphous thin film silicon solar modules at room temperature were studied at different excitation intensities using various monochromatic light sources. Photocurrent imaging techniques have been effectively used to locate rapidly, and non-destructively, failure and defect sites in the multilayer thin film device. Differences observed in the photocurrent response characteristics for two different cells in the same amorphous thin film silicon solar module suggest the possibility of the formation of dissimilarly active devices, even though the module is processed in the same fabrication process. Possible mechanisms are discussed.

  1. A thin-film silicon/silicon hetero-junction hybrid solar cell for photoelectrochemical water-reduction applications

    NARCIS (Netherlands)

    Vasudevan, R.A.; Thanawala, Z; Han, L.; Buijs, Thom; Tan, H.; Deligiannis, D.; Perez Rodriguez, P.; Digdaya, I.A.; Smith, W.A.; Zeman, M.; Smets, A.H.M.

    2016-01-01

    A hybrid tandem solar cell consisting of a thin-film, nanocrystalline silicon top junction and a siliconheterojunction bottom junction is proposed as a supporting solar cell for photoelectrochemical applications.Tunneling recombination junction engineering is shown to be an important consideration

  2. Charging effects during focused electron beam induced deposition of silicon oxide

    NARCIS (Netherlands)

    de Boer, Sanne K.; van Dorp, Willem F.; De Hosson, Jeff Th. M.

    2011-01-01

    This paper concentrates on focused electron beam induced deposition of silicon oxide. Silicon oxide pillars are written using 2, 4, 6, 8, 10-pentamethyl-cyclopenta-siloxane (PMCPS) as precursor. It is observed that branching of the pillar occurs above a minimum pillar height. The branching is

  3. Progress in thin-film silicon solar cells based on photonic-crystal structures

    Science.gov (United States)

    Ishizaki, Kenji; De Zoysa, Menaka; Tanaka, Yoshinori; Jeon, Seung-Woo; Noda, Susumu

    2018-06-01

    We review the recent progress in thin-film silicon solar cells with photonic crystals, where absorption enhancement is achieved by using large-area resonant effects in photonic crystals. First, a definitive guideline for enhancing light absorption in a wide wavelength range (600–1100 nm) is introduced, showing that the formation of multiple band edges utilizing higher-order modes confined in the thickness direction and the introduction of photonic superlattice structures enable significant absorption enhancement, exceeding that observed for conventional random scatterers. Subsequently, experimental evidence of this enhancement is demonstrated for a variety of thin-film Si solar cells: ∼500-nm-thick ultrathin microcrystalline silicon cells, few-µm-thick microcrystalline silicon cells, and ∼20-µm-thick thin single-crystalline silicon cells. The high short-circuit current densities and/or efficiencies observed for each cell structure confirm the effectiveness of using multiple band-edge resonant modes of photonic crystals for enhancing broadband absorption in actual solar cells.

  4. Oxidation of ultra low carbon and silicon bearing steels

    Energy Technology Data Exchange (ETDEWEB)

    Suarez, Lucia [CTM - Technologic Centre, Materials Technology Area, Manresa, Barcelona (Spain)], E-mail: lucia.suarez@ctm.com.es; Rodriguez-Calvillo, Pablo [CTM - Technologic Centre, Materials Technology Area, Manresa, Barcelona (Spain)], E-mail: pablo.rodriguez@ctm.com.es; Houbaert, Yvan [Department of Materials Science and Engineering, University of Ghent (Belgium)], E-mail: Yvan.Houbaert@UGent.be; Colas, Rafael [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon (Mexico)], E-mail: rcolas@mail.uanl.mx

    2010-06-15

    Oxidation tests were carried out in samples from an ultra low carbon and two silicon bearing steels to determine the distribution and morphology of the oxide species present. The ultra low carbon steel was oxidized for short periods of time within a chamber designed to obtain thin oxide layers by controlling the atmosphere, and for longer times in an electric furnace; the silicon steels were reheated only in the electric furnace. The chamber was constructed to study the behaviour encountered during the short period of time between descaling and rolling in modern continuous mills. It was found that the oxide layers formed on the samples reheated in the electric furnace were made of different oxide species. The specimens treated in the chamber had layers made almost exclusively of wustite. Selected oxide samples were studied by scanning electron microscopy to obtain electron backscattered diffraction patterns, which were used to identify the oxide species in the layer.

  5. Effect of low thermal budget annealing on surface passivation of silicon by ALD based aluminum oxide films.

    Science.gov (United States)

    Vandana; Batra, Neha; Gope, Jhuma; Singh, Rajbir; Panigrahi, Jagannath; Tyagi, Sanjay; Pathi, P; Srivastava, S K; Rauthan, C M S; Singh, P K

    2014-10-21

    Thermal ALD deposited Al2O3 films on silicon show a marked difference in surface passivation quality as a function of annealing time (using a rapid thermal process). An effective and quality passivation is realized in short anneal duration (∼100 s) in nitrogen ambient which is reflected in the low surface recombination velocity (SRV passivation. Both as-deposited and low thermal budget annealed films show the presence of positive fixed charges and this is never been reported in the literature before. The role of field and chemical passivation is investigated in terms of fixed charge and interface defect densities. Further, the importance of the annealing step sequence in the MIS structure fabrication protocol is also investigated from the view point of its effect on the nature of fixed charges.

  6. Self-assembled thin film of imidazolium ionic liquid on a silicon surface: Low friction and remarkable wear-resistivity

    International Nuclear Information System (INIS)

    Gusain, Rashi; Kokufu, Sho; Bakshi, Paramjeet S.; Utsunomiya, Toru; Ichii, Takashi; Sugimura, Hiroyuki; Khatri, Om P.

    2016-01-01

    Graphical abstract: - Highlights: • Ionic liquid thin film is deposited on a silicon surface via covalent interaction. • Chemical and morphological features of ionic liquid thin film are probed by XPS and AFM. • Ionic liquid thin film exhibited low and steady friction along with remarkable wear-resistivity. - Abstract: Imidazolium-hexafluorophosphate (ImPF_6) ionic liquid thin film is prepared on a silicon surface using 3-chloropropyltrimethoxysilane as a bifunctional chemical linker. XPS result revealed the covalent grafting of ImPF_6 thin film on a silicon surface. The atomic force microscopic images demonstrated that the ImPF_6 thin film is composed of nanoscopic pads/clusters with height of 3–7 nm. Microtribological properties in terms of coefficient of friction and wear-resistivity are probed at the mean Hertzian contact pressure of 0.35–0.6 GPa under the rotational sliding contact. The ImPF_6 thin film exhibited low and steady coefficient of friction (μ = 0.11) along with remarkable wear-resistivity to protect the underlying silicon substrate. The low shear strength of ImPF_6 thin film, the covalent interaction between ImPF_6 ionic liquid thin film and underlying silicon substrate, and its regular grafting collectively reduced the friction and improved the anti-wear property. The covalently grafted ionic liquid thin film further shows immense potential to expand the durability and lifetime of M/NEMS based devices with significant reduction of the friction.

  7. Self-assembled thin film of imidazolium ionic liquid on a silicon surface: Low friction and remarkable wear-resistivity

    Energy Technology Data Exchange (ETDEWEB)

    Gusain, Rashi [CSIR-Indian Institute of Petroleum, Mohkampur, Dehardun 248005 (India); Academy of Scientific and Innovative Research, New Delhi 110025 (India); Kokufu, Sho [Department of Materials Science and Engineering, Kyoto University, Kyoto 606-8501 (Japan); Bakshi, Paramjeet S. [CSIR-Indian Institute of Petroleum, Mohkampur, Dehardun 248005 (India); Utsunomiya, Toru; Ichii, Takashi; Sugimura, Hiroyuki [Department of Materials Science and Engineering, Kyoto University, Kyoto 606-8501 (Japan); Khatri, Om P., E-mail: opkhatri@iip.res.in [CSIR-Indian Institute of Petroleum, Mohkampur, Dehardun 248005 (India); Academy of Scientific and Innovative Research, New Delhi 110025 (India)

    2016-02-28

    Graphical abstract: - Highlights: • Ionic liquid thin film is deposited on a silicon surface via covalent interaction. • Chemical and morphological features of ionic liquid thin film are probed by XPS and AFM. • Ionic liquid thin film exhibited low and steady friction along with remarkable wear-resistivity. - Abstract: Imidazolium-hexafluorophosphate (ImPF{sub 6}) ionic liquid thin film is prepared on a silicon surface using 3-chloropropyltrimethoxysilane as a bifunctional chemical linker. XPS result revealed the covalent grafting of ImPF{sub 6} thin film on a silicon surface. The atomic force microscopic images demonstrated that the ImPF{sub 6} thin film is composed of nanoscopic pads/clusters with height of 3–7 nm. Microtribological properties in terms of coefficient of friction and wear-resistivity are probed at the mean Hertzian contact pressure of 0.35–0.6 GPa under the rotational sliding contact. The ImPF{sub 6} thin film exhibited low and steady coefficient of friction (μ = 0.11) along with remarkable wear-resistivity to protect the underlying silicon substrate. The low shear strength of ImPF{sub 6} thin film, the covalent interaction between ImPF{sub 6} ionic liquid thin film and underlying silicon substrate, and its regular grafting collectively reduced the friction and improved the anti-wear property. The covalently grafted ionic liquid thin film further shows immense potential to expand the durability and lifetime of M/NEMS based devices with significant reduction of the friction.

  8. Light-Weight Free-Standing Carbon Nanotube-Silicon Films for Anodes of Lithium Ion Batteries

    KAUST Repository

    Cui, Li-Feng

    2010-07-27

    Silicon is an attractive alloy-type anode material because of its highest known capacity (4200 mAh/g). However, lithium insertion into and extraction from silicon are accompanied by a huge volume change, up to 300%, which induces a strong strain on silicon and causes pulverization and rapid capacity fading due to the loss of the electrical contact between part of silicon and current collector. Si nanostructures such as nanowires, which are chemically and electrically bonded to the current collector, can overcome the pulverization problem, however, the heavy metal current collectors in these systems are larger in weight than Si active material. Herein we report a novel anode structure free of heavy metal current collectors by integrating a flexible, conductive carbon nanotube (CNT) network into a Si anode. The composite film is free-standing and has a structure similar to the steel bar reinforced concrete, where the infiltrated CNT network functions as both mechanical support and electrical conductor and Si as a high capacity anode material for Li-ion battery. Such free-standing film has a low sheet resistance of ∼30 Ohm/sq. It shows a high specific charge storage capacity (∼2000 mAh/g) and a good cycling life, superior to pure sputtered-on silicon films with similar thicknesses. Scanning electron micrographs show that Si is still connected by the CNT network even when small breaking or cracks appear in the film after cycling. The film can also "ripple up" to release the strain of a large volume change during lithium intercalation. The conductive composite film can function as both anode active material and current collector. It offers ∼10 times improvement in specific capacity compared with widely used graphite/copper anode sheets. © 2010 American Chemical Society.

  9. Fracture properties of hydrogenated amorphous silicon carbide thin films

    International Nuclear Information System (INIS)

    Matsuda, Y.; King, S.W.; Bielefeld, J.; Xu, J.; Dauskardt, R.H.

    2012-01-01

    The cohesive fracture properties of hydrogenated amorphous silicon carbide (a-SiC:H) thin films in moist environments are reported. Films with stoichiometric compositions (C/Si ≈ 1) exhibited a decreasing cohesive fracture energy with decreasing film density similar to other silica-based hybrid organic–inorganic films. However, lower density a-SiC:H films with non-stoichiometric compositions (C/Si ≈ 5) exhibited much higher cohesive fracture energy than the films with higher density stoichiometric compositions. One of the non-stoichiometric films exhibited fracture energy (∼9.5 J m −2 ) greater than that of dense silica glasses. The increased fracture energy was due to crack-tip plasticity, as demonstrated by significant pileup formation during nanoindentation and a fracture energy dependence on film thickness. The a-SiC:H films also exhibited a very low sensitivity to moisture-assisted cracking compared with other silica-based hybrid films. A new atomistic fracture model is presented to describe the observed moisture-assisted cracking in terms of the limited Si-O-Si suboxide bond formation that occurs in the films.

  10. Structural and optical studies of Au doped titanium oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Alves, E., E-mail: ealves@itn.pt [Instituto Tecnologico e Nuclear (ITN), 2686-953 Sacavem (Portugal); Centro de Fisica Nuclear da Universidade de Lisboa, Av. Gama Pinto, 21649-003 Lisboa (Portugal); Franco, N.; Barradas, N.P. [Instituto Tecnologico e Nuclear (ITN), 2686-953 Sacavem (Portugal); Centro de Fisica Nuclear da Universidade de Lisboa, Av. Gama Pinto, 21649-003 Lisboa (Portugal); Nunes, B. [Instituto Tecnologico e Nuclear (ITN), 2686-953 Sacavem (Portugal); Lopes, J. [Instituto Superior de Engenharia de Lisboa (Portugal); Cavaleiro, A. [SEC-CEMUC - Universidade de Coimbra, Dept. Eng. Mecanica, Polo II, 3030-788 Coimbra (Portugal); Torrell, M.; Cunha, L.; Vaz, F. [Centro de Fisica, Universidade do Minho, 4800-058 Guimaraes (Portugal)

    2012-02-01

    Thin films of TiO{sub 2} were doped with Au by ion implantation and in situ during the deposition. The films were grown by reactive magnetron sputtering and deposited in silicon and glass substrates at a temperature around 150 Degree-Sign C. The undoped films were implanted with Au fluences in the range of 5 Multiplication-Sign 10{sup 15} Au/cm{sup 2}-1 Multiplication-Sign 10{sup 17} Au/cm{sup 2} with a energy of 150 keV. At a fluence of 5 Multiplication-Sign 10{sup 16} Au/cm{sup 2} the formation of Au nanoclusters in the films is observed during the implantation at room temperature. The clustering process starts to occur during the implantation where XRD estimates the presence of 3-5 nm precipitates. After annealing in a reducing atmosphere, the small precipitates coalesce into larger ones following an Ostwald ripening mechanism. In situ XRD studies reveal that Au atoms start to coalesce at 350 Degree-Sign C, reaching the precipitates dimensions larger than 40 nm at 600 Degree-Sign C. Annealing above 700 Degree-Sign C promotes drastic changes in the Au profile of in situ doped films with the formation of two Au rich regions at the interface and surface respectively. The optical properties reveal the presence of a broad band centered at 550 nm related to the plasmon resonance of gold particles visible in AFM maps.

  11. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming

    2014-10-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  12. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming; Zhong, Zhaowei; Diallo, Elhadj; Wang, Zhihong; Yue, Weisheng

    2014-01-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  13. Surface microstructures and corrosion resistance of Ni-Ti-Nb shape memory thin films

    Science.gov (United States)

    Li, Kun; Li, Yan; Huang, Xu; Gibson, Des; Zheng, Yang; Liu, Jiao; Sun, Lu; Fu, Yong Qing

    2017-08-01

    Ni-Ti-Nb and Ni-Ti shape memory thin films were sputter-deposited onto silicon substrates and annealed at 600 °C for crystallization. X-ray diffraction (XRD) measurements indicated that all of the annealed Ni-Ti-Nb films were composed of crystalline Ni-Ti (Nb) and Nb-rich grains. X-ray photoelectron spectroscopy (XPS) tests showed that the surfaces of Ni-Ti-Nb films were covered with Ti oxides, NiO and Nb2O5. The corrosion resistance of the Ni-Ti-Nb films in 3.5 wt.% NaCl solution was investigated using electrochemical tests such as open-circuit potential (OCP) and potentio-dynamic polarization tests. Ni-Ti-Nb films showed higher OCPs, higher corrosion potentials (Ecorr) and lower corrosion current densities (icorr) than the binary Ni-Ti film, which indicated a better corrosion resistance. The reason may be that Nb additions modified the passive layer on the film surface. The OCPs of Ni-Ti-Nb films increased with further Nb additions, whereas no apparent difference of Ecorr and icorr was found among the Ni-Ti-Nb films.

  14. Sponge-like reduced graphene oxide/silicon/carbon nanotube composites for lithium ion batteries

    Science.gov (United States)

    Fang, Menglu; Wang, Zhao; Chen, Xiaojun; Guan, Shiyou

    2018-04-01

    Three-dimensional sponge-like reduced graphene oxide/silicon/carbon nanotube composites were synthesized by one-step hydrothermal self-assembly using silicon nanoparticles, graphene oxide and amino modified carbon nanotubes to develop high-performance anode materials of lithium ion batteries. Scanning electron microscopy and transmission electron microscopy images show the structure of composites that Silicon nanoparticles are coated with reduced graphene oxide while amino modified carbon nanotubes wrap around the reduced graphene oxide in the composites. When applied to lithium ion battery, these composites exhibit high initial specific capacity of 2552 mA h/g at a current density of 0.05 A/g. In addition, reduced graphene oxide/silicon/carbon nanotube composites also have better cycle stability than bare Silicon nanoparticles electrode with the specific capacity of 1215 mA h/g after 100 cycles. The three-dimension sponge-like structure not only ensures the electrical conductivity but also buffers the huge volume change, which has broad potential application in the field of battery.

  15. Segregation of boron implanted into silicon on angular configurations of silicon/silicon dioxide oxidation interface

    CERN Document Server

    Tarnavskij, G A; Obrekht, M S

    2001-01-01

    One studies segregation of boron implanted into silicon when a wave (interface) of oxidation moves within it. There are four types of angular configurations of SiO sub 2 /Si oxidation interface, that is: direct and reverse shoulders, trench type cavities and a square. By means of computer-aided simulation one obtained and analyzed complex patterns of B concentration distribution within Si, SiO sub 2 domains and at SiO sub 2 /Si interface for all types of angular configurations of the oxidation interface

  16. PLA and single component silicone rubber blends for sub-zero temperature blown film packaging applications

    Science.gov (United States)

    Meekum, Utai; Khiansanoi, Apichart

    2018-06-01

    The poly(lactic acid) (PLA) blend with single component silicone rubber in the presence of reactive amino silane coupling agent and polyester polyols plasticizer were studied. The manufacturing of film packaging for sub-zero temperature applications from the PLA blend was the main objective. The mechanical properties, especially the impact strengths, of PLA/silicone blends were significantly depended on the silicone loading. The outstanding impact strengths, tested at sub-zero temperature, of the blend having silicone content of 8.0 phr was achieved. It was chosen as the best candidate for the processability improvement. Adding the talc filler into the PLA/silicone blend to enhance the rheological properties was investigated. The ductility of the talc filled blends were decreased with increasing the filler contents. However, the shear viscosity of the blend was raised with talc loading. The blend loaded with 40 phr of talc filler was justified as the optimal formula for the blown film process testing and it was successfully performed with a few difficulties. The obtained blown film showed relative good flexibility in comparison with LDPE but it has low transparency.

  17. Nitric oxide levels in the anterior chamber of vitrectomized eyes with silicon oil

    Directory of Open Access Journals (Sweden)

    Paulo Escarião

    2013-10-01

    Full Text Available PURPOSE: To investigate the nitric oxide levels in the anterior chamber of eyes who underwent pars plana vitrectomy (PPV with silicone oil. METHODS: Patients who underwent PPV with silicon oil injection, from february 2005 to august 2007, were selected. Nine patients (nine eyes participated in the study (five women and four men. Nitric oxide concentration was quantified after the aspiration of aqueous humor samples during the procedure of silicon oil removal. Data such as: oil emulsification; presence of oil in the anterior chamber; intraocular pressure and time with silicone oil were evaluated. Values of p <0.05 were considered to be statistically significant. RESULTS: A positive correlation between nitric oxide concentration and time with silicon oil in the vitreous cavity (r=0.799 was observed. The nitric oxide concentration was significantly higher (p=0.02 in patients with silicon oil more than 24 months (0.90µmol/ml ± 0.59, n=3 in the vitreous cavity comparing to patients with less than 24 months (0.19µmol/ml ± 0.10, n=6. CONCLUSION: A positive correlation linking silicone oil time in the vitreous cavity with the nitric oxide concentration in the anterior chamber was observed.

  18. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0films deposited at a wide temperature range (250°C to 600°C). Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  19. Oxidation and corrosion of silicon-based ceramics and composites

    International Nuclear Information System (INIS)

    Jacobson, N.S.; Fox, D.S.; Smialek, J.L.

    1997-01-01

    Silica scales exhibit slow growth rates and a low activation energy. Thus silica-protected materials are attractive high temperature structural materials for their potentially excellent oxidation resistance and well-documented high temperature strength. This review focuses on silicon carbide, silicon nitride, and composites of these materials. It is divided into four parts: (i) Fundamental oxidation mechanisms, (ii) Special properties of silica scales, (iii) Protective coatings, and (iv) Internal oxidation behavior of composites. While the fundamental oxidation mechanism of SiC is understood, there are still many questions regarding the oxidation mechanism of Si 3 N 4 . Silica scales exhibit many unique properties as compared to chromia and alumina. These include slower growth rates, SiO(g) formation, sensitivity to water vapor and impurities, and dissolution by basic molten salts. Protective coatings can limit the deleterious effects. The fourth area-internal oxidation of fibers and fiber coatings in composites-has limited the application of these novel materials. Strategies for understanding and limiting this internal oxidation are discussed. (orig.)

  20. Covalent Surface Modification of Silicon Oxides with Alcohols in Polar Aprotic Solvents.

    Science.gov (United States)

    Lee, Austin W H; Gates, Byron D

    2017-09-05

    Alcohol-based monolayers were successfully formed on the surfaces of silicon oxides through reactions performed in polar aprotic solvents. Monolayers prepared from alcohol-based reagents have been previously introduced as an alternative approach to covalently modify the surfaces of silicon oxides. These reagents are readily available, widely distributed, and are minimally susceptible to side reactions with ambient moisture. A limitation of using alcohol-based compounds is that previous reactions required relatively high temperatures in neat solutions, which can degrade some alcohol compounds or could lead to other unwanted side reactions during the formation of the monolayers. To overcome these challenges, we investigate the condensation reaction of alcohols on silicon oxides carried out in polar aprotic solvents. In particular, propylene carbonate has been identified as a polar aprotic solvent that is relatively nontoxic, readily accessible, and can facilitate the formation of alcohol-based monolayers. We have successfully demonstrated this approach for tuning the surface chemistry of silicon oxide surfaces with a variety of alcohol containing compounds. The strategy introduced in this research can be utilized to create silicon oxide surfaces with hydrophobic, oleophobic, or charged functionalities.

  1. Amorphous Silicon-Germanium Films with Embedded Nano crystals for Thermal Detectors with Very High Sensitivity

    International Nuclear Information System (INIS)

    Calleja, C.; Torres, A.; Rosales-Quintero, P.; Moreno, M.

    2016-01-01

    We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nano crystals in a plasma enhanced chemical vapor deposition (PECVD) reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR), which is a signature of the sensitivity in thermal detectors (micro bolometers). Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9%K -1 ). Our results show that amorphous silicon-germanium films with embedded nano crystals can be used as thermo sensitive films in high performance infrared focal plane arrays (IRFPAs) used in commercial thermal cameras.

  2. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  3. Materials and Light Management for High-Efficiency Thin-Film Silicon Solar Cells

    OpenAIRE

    Tan, H.

    2015-01-01

    Direct conversion of sunlight into electricity is one of the most promising approaches to provide sufficient renewable energy for humankind. Solar cells are such devices which can efficiently generate electricity from sunlight through the photovoltaic effect. Thin-film silicon solar cells, a type of photovoltaic (PV) devices which deploy the chemical-vapor-deposited hydrogenated amorphous silicon (a-Si:H) and nanocrystalline silicon (nc-Si:H) and their alloys as the absorber layers and doped ...

  4. UV photodissociation spectroscopy of oxidized undecylenic acid films.

    Science.gov (United States)

    Gomez, Anthony L; Park, Jiho; Walser, Maggie L; Lin, Ao; Nizkorodov, Sergey A

    2006-03-16

    Oxidation of thin multilayered films of undecylenic (10-undecenoic) acid by gaseous ozone was investigated using a combination of spectroscopic and mass spectrometric techniques. The UV absorption spectrum of the oxidized undecylenic acid film is significantly red-shifted compared to that of the initial film. Photolysis of the oxidized film in the tropospheric actinic region (lambda > 295 nm) readily produces formaldehyde and formic acid as gas-phase products. Photodissociation action spectra of the oxidized film suggest that organic peroxides are responsible for the observed photochemical activity. The presence of peroxides is confirmed by mass-spectrometric analysis of the oxidized sample and an iodometric test. Significant polymerization resulting from secondary reactions of Criegee radicals during ozonolysis of the film is observed. The data strongly imply the importance of photochemistry in aging of atmospheric organic aerosol particles.

  5. Silicon nitride films fabricated by a plasma-enhanced chemical vapor deposition method for coatings of the laser interferometer gravitational wave detector

    Science.gov (United States)

    Pan, Huang-Wei; Kuo, Ling-Chi; Huang, Shu-Yu; Wu, Meng-Yun; Juang, Yu-Hang; Lee, Chia-Wei; Chen, Hsin-Chieh; Wen, Ting Ting; Chao, Shiuh

    2018-01-01

    Silicon is a potential substrate material for the large-areal-size mirrors of the next-generation laser interferometer gravitational wave detector operated in cryogenics. Silicon nitride thin films uniformly deposited by a chemical vapor deposition method on large-size silicon wafers is a common practice in the silicon integrated circuit industry. We used plasma-enhanced chemical vapor deposition to deposit silicon nitride films on silicon and studied the physical properties of the films that are pertinent to application of mirror coatings for laser interferometer gravitational wave detectors. We measured and analyzed the structure, optical properties, stress, Young's modulus, and mechanical loss of the films, at both room and cryogenic temperatures. Optical extinction coefficients of the films were in the 10-5 range at 1550-nm wavelength. Room-temperature mechanical loss of the films varied in the range from low 10-4 to low 10-5 within the frequency range of interest. The existence of a cryogenic mechanical loss peak depended on the composition of the films. We measured the bond concentrations of N - H , Si - H , Si - N , and Si - Si bonds in the films and analyzed the correlations between bond concentrations and cryogenic mechanical losses. We proposed three possible two-level systems associated with the N - H , Si - H , and Si - N bonds in the film. We inferred that the dominant source of the cryogenic mechanical loss for the silicon nitride films is the two-level system of exchanging position between a H+ and electron lone pair associated with the N - H bond. Under our deposition conditions, superior properties in terms of high refractive index with a large adjustable range, low optical absorption, and low mechanical loss were achieved for films with lower nitrogen content and lower N - H bond concentration. Possible pairing of the silicon nitride films with other materials in the quarter-wave stack is discussed.

  6. MOCVD ZnO/Screen Printed Ag Back Reflector for Flexible Thin Film Silicon Solar Cell Application

    Directory of Open Access Journals (Sweden)

    Amornrat Limmanee

    2014-01-01

    Full Text Available We have prepared Ag back electrode by screen printing technique and developed MOCVD ZnO/screen printed Ag back reflector for flexible thin film silicon solar cell application. A discontinuity and poor contact interface between the MOCVD ZnO and screen printed Ag layers caused poor open circuit voltage (Voc and low fill factor (FF; however, an insertion of a thin sputtered ZnO layer at the interface could solve this problem. The n type hydrogenated amorphous silicon (a-Si:H film is preferable for the deposition on the surface of MOCVD ZnO film rather than the microcrystalline film due to its less sensitivity to textured surface, and this allowed an improvement in the FF. The n-i-p flexible amorphous silicon solar cell using the MOCVD ZnO/screen printed Ag back reflector showed an initial efficiency of 6.2% with Voc=0.86 V, Jsc=12.4 mA/cm2, and FF = 0.58 (1 cm2. The identical quantum efficiency and comparable performance to the cells using conventional sputtered Ag back electrode have verified the potential of the MOCVD ZnO/screen printed Ag back reflector and possible opportunity to use the screen printed Ag thick film for flexible thin film silicon solar cells.

  7. Strain-induced phenomenon in complex oxide thin films

    Science.gov (United States)

    Haislmaier, Ryan

    Complex oxide materials wield an immense spectrum of functional properties such as ferroelectricity, ferromagnetism, magnetoelectricity, optoelectricity, optomechanical, magnetoresistance, superconductivity, etc. The rich coupling between charge, spin, strain, and orbital degrees of freedom makes this material class extremely desirable and relevant for next generation electronic devices and technologies which are trending towards nanoscale dimensions. Development of complex oxide thin film materials is essential for realizing their integration into nanoscale electronic devices, where theoretically predicted multifunctional capabilities of oxides could add tremendous value. Employing thin film growth strategies such as epitaxial strain and heterostructure interface engineering can greatly enhance and even unlock novel material properties in complex oxides, which will be the main focus of this work. However, physically incorporating oxide materials into devices remains a challenge. While advancements in molecular beam epitaxy (MBE) of thin film oxide materials has led to the ability to grow oxide materials with atomic layer precision, there are still major limitations such as controlling stoichiometric compositions during growth as well as creating abrupt interfaces in multi-component layered oxide structures. The work done in this thesis addresses ways to overcome these limitations in order to harness intrinsic material phenomena. The development of adsorption-controlled stoichiometric growth windows of CaTiO3 and SrTiO3 thin film materials grown by hybrid MBE where Ti is supplied using metal-organic titanium tetraisopropoxide material is thoroughly outlined. These growth windows enable superior epitaxial strain-induced ferroelectric and dielectric properties to be accessed as demonstrated by chemical, structural, electrical, and optical characterization techniques. For tensile strained CaTiO3 and compressive strained SrTiO 3 films, the critical effects of

  8. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    Science.gov (United States)

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  9. Silicon nitride and intrinsic amorphous silicon double antireflection coatings for thin-film solar cells on foreign substrates

    International Nuclear Information System (INIS)

    Li, Da; Kunz, Thomas; Wolf, Nadine; Liebig, Jan Philipp; Wittmann, Stephan; Ahmad, Taimoor; Hessmann, Maik T.; Auer, Richard; Göken, Mathias; Brabec, Christoph J.

    2015-01-01

    Hydrogenated intrinsic amorphous silicon (a-Si:H) was investigated as a surface passivation method for crystalline silicon thin film solar cells on graphite substrates. The results of the experiments, including quantum efficiency and current density-voltage measurements, show improvements in cell performance. This improvement is due to surface passivation by an a-Si:H(i) layer, which increases the open circuit voltage and the fill factor. In comparison with our previous work, we have achieved an increase of 0.6% absolute cell efficiency for a 40 μm thick 4 cm 2 aperture area on the graphite substrate. The optical properties of the SiN x /a-Si:H(i) stack were studied using spectroscopic ellipsometer techniques. Scanning transmission electron microscopy inside a scanning electron microscope was applied to characterize the cross section of the SiN x /a-Si:H(i) stack using focus ion beam preparation. - Highlights: • We report a 10.8% efficiency for thin-film silicon solar cell on graphite. • Hydrogenated intrinsic amorphous silicon was applied for surface passivation. • SiN x /a-Si:H(i) stacks were characterized by spectroscopic ellipsometer techniques. • Cross-section micrograph was obtained by scanning transmission electron microscopy. • Quantum efficiency and J-V measurements show improvements in the cell performance

  10. Laser patterning of superconducting oxide films

    International Nuclear Information System (INIS)

    Gupta, A.; Hussey, B.W.; Koren, G.; Cooper, E.I.; Jagannathan, R.

    1988-01-01

    The focused output of an argon ion laser (514.5 nm) has been used for wiring superconducting lines of Y/sub 1/Ba/sub 2/CU/sub 3/O/sub 7-δ/ using films prepared from nitrate and trifluoroacetate solution precursors. A stoichiometric solution of the precursors is sprayed or spun on to the substrate to form a film. The film is patterned by irradiating in selected areas to convert the irradiated layers to an intermediate oxide or fluoride state, the nonirradiated areas being unchanged. The nonirradiated areas are then dissolved away, leaving a pattern of the oxide or fluoride material. This patterned layer is converted to the superconducting 1-2-3 oxide in a subsequent annealing step. Maskless patterning of superconducting films has also been demonstrated by laser-assisted etching of the films in aqueous KOH solution. Although superconductivity is destroyed when the films are placed in solution, it can be restored after a brief anneal in oxygen

  11. Silicon-based thin films as bottom electrodes in chalcogenide nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Yun [IT Convergence and Components Laboratory, Electronics and Telecommunications Research Institute (ETRI), Yuseong-gu, Daejeon 305-350 (Korea, Republic of)], E-mail: seungyun@etri.re.kr; Yoon, Sung-Min; Choi, Kyu-Jeong; Lee, Nam-Yeal; Park, Young-Sam; Ryu, Sang-Ouk; Yu, Byoung-Gon; Kim, Sang-Hoon; Lee, Sang-Heung [IT Convergence and Components Laboratory, Electronics and Telecommunications Research Institute (ETRI), Yuseong-gu, Daejeon 305-350 (Korea, Republic of)

    2007-10-31

    The effect of the electrical resistivity of a silicon-germanium (SiGe) thin film on the phase transition in a GeSbTe (GST) chalcogenide alloy and the manufacturing aspect of the fabrication process of a chalcogenide memory device employing the SiGe film as bottom electrodes were investigated. While p-type SiGe bottom electrodes were formed using in situ doping techniques, n-type ones could be made in a different manner where phosphorus atoms diffused from highly doped silicon underlayers to undoped SiGe films. The p-n heterojunction did not form between the p-type GST and n-type SiGe layers, and the semiconduction type of the SiGe alloys did not influence the memory device switching. It was confirmed that an optimum resistivity value existed for memory operation in spite of proportionality of Joule heating to electrical resistivity. The very high resistivity of the SiGe film had no effect on the reduction of reset current, which might result from the resistance decrease of the SiGe alloy at high temperatures.

  12. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    International Nuclear Information System (INIS)

    Lee, Ching-Ting; Lin, Yung-Hao; Lin, Jhong-Ham

    2015-01-01

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g m change, threshold voltage V T change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature

  13. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw; Lin, Yung-Hao; Lin, Jhong-Ham [Institute of Microelectronics, Department of Electrical Engineering, Research Center for Energy Technology and Strategy (RCETS), National Cheng Kung University, Tainan, Taiwan (China)

    2015-01-28

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g{sub m} change, threshold voltage V{sub T} change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature.

  14. A PUFA-rich diet improves fat oxidation following saturated fat-rich meal.

    Science.gov (United States)

    Stevenson, Jada L; Miller, Mary K; Skillman, Hannah E; Paton, Chad M; Cooper, Jamie A

    2017-08-01

    To determine substrate oxidation responses to saturated fatty acid (SFA)-rich meals before and after a 7-day polyunsaturated fatty acid (PUFA)-rich diet versus control diet. Twenty-six, normal-weight, adults were randomly assigned to either PUFA or control diet. Following a 3-day lead-in diet, participants completed the pre-diet visit where anthropometrics and resting metabolic rate (RMR) were measured, and two SFA-rich HF meals (breakfast and lunch) were consumed. Indirect calorimetry was used to determine fat oxidation (Fox) and energy expenditure (EE) for 4 h after each meal. Participants then consumed a PUFA-rich diet (50 % carbohydrate, 15 % protein, 35 % fat, of which 21 % of total energy was PUFA) or control diet (50 % carbohydrate, 15 % protein, 35 % fat, of which 7 % of total energy was PUFA) for the next 7 days. Following the 7-day diet, participants completed the post-diet visit. From pre- to post-PUFA-rich diet, there was no change in RMR (16.3 ± 0.8 vs. 16.4 ± 0.8 kcal/20 min) or in incremental area under the curve for EE (118.9 ± 20.6-126.9 ± 14.1 kcal/8h, ns). Fasting respiratory exchange ratio increased from pre- to post-PUFA-rich diet only (0.83 ± 0.1-0.86 ± 0.1, p diet (0.03 ± 0.1-0.23 ± 0.1 g/15 min for cumulative Fox; p diet initiates greater fat oxidation after eating occasional high SFA meals compared to a control diet, an effect achieved in 7 days.

  15. Enhanced photoluminescence from ring resonators in hydrogenated amorphous silicon thin films at telecommunications wavelengths.

    Science.gov (United States)

    Patton, Ryan J; Wood, Michael G; Reano, Ronald M

    2017-11-01

    We report enhanced photoluminescence in the telecommunications wavelength range in ring resonators patterned in hydrogenated amorphous silicon thin films deposited via low-temperature plasma enhanced chemical vapor deposition. The thin films exhibit broadband photoluminescence that is enhanced by up to 5 dB by the resonant modes of the ring resonators due to the Purcell effect. Ellipsometry measurements of the thin films show a refractive index comparable to crystalline silicon and an extinction coefficient on the order of 0.001 from 1300 nm to 1600 nm wavelengths. The results are promising for chip-scale integrated optical light sources.

  16. Development of textured ZnO-coated low-cost glass substrate with very high haze ratio for silicon-based thin film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Hongsingthong, Aswin, E-mail: aswin.hongsingthong@nectec.or.th [Solar Energy Technology Laboratory, National Electronics and Computer Technology Center, 112 Thailand Science Park, Phahonyothin Road, Khlong 1, Khlong Luang, Pathumthani 12120 (Thailand); Krajangsang, Taweewat; Limmanee, Amornrat; Sriprapha, Kobsak; Sritharathikhun, Jaran [Solar Energy Technology Laboratory, National Electronics and Computer Technology Center, 112 Thailand Science Park, Phahonyothin Road, Khlong 1, Khlong Luang, Pathumthani 12120 (Thailand); Konagai, Makoto [Department of Physical Electronics, Tokyo Institute of Technology, 2-12-1, NE-15, O-okayama, Meguro-ku, Tokyo 152-8552 (Japan)

    2013-06-30

    Zinc oxide (ZnO) films with a very high haze ratio and low resistivity were developed on soda–lime glass substrate by using reactive ion etching (RIE) treatment with carbon tetrafluoride (CF{sub 4}) to modify the substrate surface morphology before the deposition of ZnO films. We found that the surface morphology of the ZnO films deposited by metal organic chemical vapor deposition (MOCVD) technique could be modified by varying the glass treatment conditions and the gas pressure was a key parameter. With increasing glass-etching pressure, the surface morphology of the ZnO films changed from conventional pyramid-like single texture to greater cauliflower-like double texture, leading to significant increases in root mean square roughness and haze ratio of the films. By employing the developed high-haze ZnO films as a front transparent conductive oxide (TCO) layer in microcrystalline silicon solar cells, an enhancement in the quantum efficiency in the long-wavelength region has been achieved. Experimental results have verified that our unique and original glass etching treatment is a simple and effective technique to improve the light-scattering properties of the ZnO films while preserving their good transparency and electrical properties. Thus, the ZnO films deposited on etched soda–lime glass have a high potential for the use as a front TCO layer in thin-film Si solar cells. - Highlights: • High-haze zinc oxide (ZnO) grown on low cost soda–lime glass has been developed. • Surface of the ZnO can be modified by varying glass-substrate etching conditions. • Glass-etching pressure is a key to increase haze ratio of the ZnO films. • Higher cell efficiency has been achieved from cell using etched glass. • High-haze ZnO coated glass is a promising transparent conductive oxide coated glass.

  17. Development of textured ZnO-coated low-cost glass substrate with very high haze ratio for silicon-based thin film solar cells

    International Nuclear Information System (INIS)

    Hongsingthong, Aswin; Krajangsang, Taweewat; Limmanee, Amornrat; Sriprapha, Kobsak; Sritharathikhun, Jaran; Konagai, Makoto

    2013-01-01

    Zinc oxide (ZnO) films with a very high haze ratio and low resistivity were developed on soda–lime glass substrate by using reactive ion etching (RIE) treatment with carbon tetrafluoride (CF 4 ) to modify the substrate surface morphology before the deposition of ZnO films. We found that the surface morphology of the ZnO films deposited by metal organic chemical vapor deposition (MOCVD) technique could be modified by varying the glass treatment conditions and the gas pressure was a key parameter. With increasing glass-etching pressure, the surface morphology of the ZnO films changed from conventional pyramid-like single texture to greater cauliflower-like double texture, leading to significant increases in root mean square roughness and haze ratio of the films. By employing the developed high-haze ZnO films as a front transparent conductive oxide (TCO) layer in microcrystalline silicon solar cells, an enhancement in the quantum efficiency in the long-wavelength region has been achieved. Experimental results have verified that our unique and original glass etching treatment is a simple and effective technique to improve the light-scattering properties of the ZnO films while preserving their good transparency and electrical properties. Thus, the ZnO films deposited on etched soda–lime glass have a high potential for the use as a front TCO layer in thin-film Si solar cells. - Highlights: • High-haze zinc oxide (ZnO) grown on low cost soda–lime glass has been developed. • Surface of the ZnO can be modified by varying glass-substrate etching conditions. • Glass-etching pressure is a key to increase haze ratio of the ZnO films. • Higher cell efficiency has been achieved from cell using etched glass. • High-haze ZnO coated glass is a promising transparent conductive oxide coated glass

  18. Improved performance of silicon-nanoparticle film-coated dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Ravindra Kumar; Bedja, Idriss M. [CRC, Department of Optometry, College of Applied Medical Sciences, King Saud University, P.O. Box 10219, Riyadh 11433 (Saudi Arabia); Aldwayyan, Abdullah Saleh [Department of Physics and Astronomy, College of Science, King Saud University, P.O. Box 2455, Riyadh 11451 (Saudi Arabia)

    2012-11-15

    Silicon (Si) nanoparticles with average size of 13 nm and orange-red luminescence under UV absorption were synthesized using electrochemical etching of silicon wafers. A film of Si nanoparticles with thickness of 0.75 {mu}m to 2.6 {mu}m was coated on the glass (TiO{sub 2} side) of a dye-sensitized solar cell (DSSC). The cell exhibited nearly 9% enhancement in power conversion efficiency ({eta}) at film thickness of {proportional_to}2.4 {mu}m under solar irradiation of 100 mW/cm{sup 2} (AM 1.5) with improved fill factor and short-circuit current density. This study revealed for the first time that the Si-nanoparticle film converting UV into visible light and helping in homogeneous irradiation, can be utilized for improving the efficiency of the DSSCs. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  20. Improved PECVD Si x N y film as a mask layer for deep wet etching of the silicon

    Science.gov (United States)

    Han, Jianqiang; Yin, Yi Jun; Han, Dong; Dong, LiZhen

    2017-09-01

    Although plasma enhanced chemical vapor deposition (PECVD) silicon nitride (Si x N y ) films have been extensively investigated by many researchers, requirements of film properties vary from device to device. For some applications utilizing Si x N y film as the mask Layer for deep wet etching of the silicon, it is very desirable to obtain a high quality film. In this study, Si x N y films were deposited on silicon substrates by PECVD technique from the mixtures of NH3 and 5% SiH4 diluted in Ar. The deposition temperature and RF power were fixed at 400 °C and 20 W, respectively. By adjusting the SiH4/NH3 flow ratio, Si x N y films of different compositions were deposited on silicon wafers. The stoichiometry, residual stress, etch rate in 1:50 HF, BHF solution and 40% KOH solution of deposited Si x N y films were measured. The experimental results show that the optimum SiH4/NH3 flow ratio at which deposited Si x N y films can perfectly protect the polysilicon resistors on the front side of wafers during KOH etching is between 1.63 and 2.24 under the given temperature and RF power. Polysilicon resistors protected by the Si x N y films can withstand 6 h 40% KOH double-side etching at 80 °C. At the range of SiH4/NH3 flow ratios, the Si/N atom ratio of films ranges from 0.645 to 0.702, which slightly deviate the ideal stoichiometric ratio of LPCVD Si3N4 film. In addition, the silicon nitride films with the best protection effect are not the films of minimum etch rate in KOH solution.

  1. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  2. Application of hydrogen-doped In2O3 transparent conductive oxide to thin-film microcrystalline Si solar cells

    International Nuclear Information System (INIS)

    Koida, Takashi; Sai, Hitoshi; Kondo, Michio

    2010-01-01

    Hydrogen-doped In 2 O 3 (IO:H) films with high electron mobility and improved near-infrared (NIR) transparency have been applied as a transparent conducting oxide (TCO) electrode in substrate-type hydrogenated microcrystalline silicon (μc-Si:H) solar cells. The incorporation of IO:H, instead of conventional Sn-doped In 2 O 3 , improved the short-circuit current density (J sc ) and the resulting conversion efficiency. Optical analysis of the solar cells and TCO films revealed that the improvement in J sc is due to the improved spectral sensitivity in the visible and NIR wavelengths by reduction of absorption loss caused by free carriers in the TCO films.

  3. Electrical and optical properties of zinc oxide: thin films

    International Nuclear Information System (INIS)

    Zuhairusnizam Md Darus; Abdul Jalil Yeop Majlis; Anis Faridah Md Nor; Burhanuddin Kamaluddin

    1992-01-01

    Zinc oxide films have been prepared by high temperature oxidation of thermally evaporated zinc films on glass substrates. The resulting films are characterized using X-ray diffraction, optical absorption and electrical conductivity measurements. These zinc oxide films are very transparent and photoconductive

  4. Crystalline silicon films grown by pulsed dc magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, Peter; Fenske, Frank; Fuhs, Walther; Selle, Burkhardt [Hahn-Meitner-Institut Berlin, Abt. Silizium-Photovoltaik, Kekulestr. 5, D-12489 Berlin (Germany)

    2002-04-01

    Pulsed dc magnetron sputtering is used as a novel method for the deposition of crystalline silicon films on glass substrates. Hydrogen-free polycrystalline Si-films are deposited with high deposition rates at temperatures of 400-450 C and pulse frequencies f in the range 0-250 kHz. Strong preferential (100) orientation of the crystallites is observed with increasing f. High frequency and similarly high negative substrate bias cause an increase of the Ar content and an enhancement of structural disorder. Measurements of the transient floating potential suggest that the observed structural effects are related to bombardment of the growing film by Ar{sup +} ions of high energy.

  5. Improved reaction sintered silicon nitride. [protective coatings to improve oxidation resistance

    Science.gov (United States)

    Baumgartner, H. R.

    1978-01-01

    Processing treatments were applied to as-nitrided reaction sintered silicon nitride (RSSN) with the purposes of improving strength after processing to above 350 MN/m2 and improving strength after oxidation exposure. The experimental approaches are divided into three broad classifications: sintering of surface-applied powders; impregnation of solution followed by further thermal processing; and infiltration of molten silicon and subsequent carburization or nitridation of the silicon. The impregnation of RSSN with solutions of aluminum nitrate and zirconyl chloride, followed by heating at 1400-1500 C in a nitrogen atmosphere containing silicon monoxide, improved RSSN strength and oxidation resistance. The room temperature bend strength of RSSN was increased nearly fifty percent above the untreated strength with mean absolute strengths up to 420 MN/m2. Strengths of treated samples that were measured after a 12 hour oxidation exposure in air were up to 90 percent of the original as-nitrided strength, as compared to retained strengths in the range of 35 to 60 percent for untreated RSSN after the same oxidation exposure.

  6. A new computer-aided simulation model for polycrystalline silicon film resistors

    Science.gov (United States)

    Ching-Yuan Wu; Weng-Dah Ken

    1983-07-01

    A general transport theory for the I-V characteristics of a polycrystalline film resistor has been derived by including the effects of carrier degeneracy, majority-carrier thermionic-diffusion across the space charge regions produced by carrier trapping in the grain boundaries, and quantum mechanical tunneling through the grain boundaries. Based on the derived transport theory, a new conduction model for the electrical resistivity of polycrystalline film resitors has been developed by incorporating the effects of carrier trapping and dopant segregation in the grain boundaries. Moreover, an empirical formula for the coefficient of the dopant-segregation effects has been proposed, which enables us to predict the dependence of the electrical resistivity of phosphorus-and arsenic-doped polycrystalline silicon films on thermal annealing temperature. Phosphorus-doped polycrystalline silicon resistors have been fabricated by using ion-implantation with doses ranged from 1.6 × 10 11 to 5 × 10 15/cm 2. The dependence of the electrical resistivity on doping concentration and temperature have been measured and shown to be in good agreement with the results of computer simulations. In addition, computer simulations for boron-and arsenic-doped polycrystalline silicon resistors have also been performed and shown to be consistent with the experimental results published by previous authors.

  7. Treatment of transparent conductive oxides by laser processes for the development of Silicon photovoltaic cells

    International Nuclear Information System (INIS)

    Canteli Perez-Caballero, D.

    2015-01-01

    Transparent conductive oxides (TCOs) are heavily doped oxides with high transparency in the visible range of the spectrum and a very low sheet resistance, making them very attractive for applications in optoelectronic devices. TCOs are widely found in many different areas such as low emissivity windows, electric contacts in computers, televisions or portable devices, and, specially, in the photovoltaic (PV) industry. PV industry is mainly based on mono- and multicrystalline silicon, where TCOs are used as anti-reflective coatings, but the search for cheaper, alternative technologies has led to the development of thin film PV technologies, where TCOs are used as transparent contacts. With the maturation of the thin film PV industry, laser sources have become an essential tool, allowing the improvement of some industrial processes and the development of new ones. Because of the interest on a deeper understanding of the interaction processes between laser light and TCOs, the laser ablation of three of the most important TCOs has been studied in depth in the present work. (Author)

  8. Solid-phase crystallization of amorphous silicon on ZnO:Al for thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Becker, C.; Conrad, E.; Dogan, P.; Fenske, F.; Gorka, B.; Haenel, T.; Lee, K.Y.; Rau, B.; Ruske, F.; Weber, T.; Gall, S.; Rech, B. [Helmholtz-Zentrum Berlin fuer Materialien und Energie (formerly Hahn-Meitner-Institut Berlin), Kekulestr. 5, D-12489 Berlin (Germany); Berginski, M.; Huepkes, J. [Institute of Photovoltaics, Forschungszentrum Juelich GmbH, D-52425 Juelich (Germany)

    2009-06-15

    The suitability of ZnO:Al thin films for polycrystalline silicon (poly-Si) thin-film solar cell fabrication was investigated. The electrical and optical properties of 700 -nm-thick ZnO:Al films on glass were analyzed after typical annealing steps occurring during poly-Si film preparation. If the ZnO:Al layer is covered by a 30 nm thin silicon film, the initial sheet resistance of ZnO:Al drops from 4.2 to 2.2 {omega} after 22 h annealing at 600 C and only slightly increases for a 200 s heat treatment at 900 C. A thin-film solar cell concept consisting of poly-Si films on ZnO:Al coated glass is introduced. First solar cell results will be presented using absorber layers either prepared by solid-phase crystallization (SPC) or by direct deposition at 600 C. (author)

  9. Oxidation of ruthenium thin films using atomic oxygen

    Energy Technology Data Exchange (ETDEWEB)

    McCoy, A.P.; Bogan, J.; Brady, A.; Hughes, G.

    2015-12-31

    In this study, the use of atomic oxygen to oxidise ruthenium thin films is assessed. Atomic layer deposited (ALD) ruthenium thin films (~ 3 nm) were exposed to varying amounts of atomic oxygen and the results were compared to the impact of exposures to molecular oxygen. X-ray photoelectron spectroscopy studies reveal substantial oxidation of metallic ruthenium films to RuO{sub 2} at exposures as low as ~ 10{sup 2} L at 575 K when atomic oxygen was used. Higher exposures of molecular oxygen resulted in no metal oxidation highlighting the benefits of using atomic oxygen to form RuO{sub 2}. Additionally, the partial oxidation of these ruthenium films occurred at temperatures as low as 293 K (room temperature) in an atomic oxygen environment. - Highlights: • X-ray photoelectron spectroscopy study of the oxidation of Ru thin filmsOxidation of Ru thin films using atomic oxygen • Comparison between atomic oxygen and molecular oxygen treatments on Ru thin films • Fully oxidised RuO{sub 2} thin films formed with low exposures to atomic oxygen.

  10. Structural and elastoplastic properties of β -Ga2O3 films grown on hybrid SiC/Si substrates

    Science.gov (United States)

    Osipov, A. V.; Grashchenko, A. S.; Kukushkin, S. A.; Nikolaev, V. I.; Osipova, E. V.; Pechnikov, A. I.; Soshnikov, I. P.

    2018-04-01

    Structural and mechanical properties of gallium oxide films grown on (001), (011) and (111) silicon substrates with a buffer layer of silicon carbide are studied. The buffer layer was fabricated by the atom substitution method, i.e., one silicon atom per unit cell in the substrate was substituted by a carbon atom by chemical reaction with carbon monoxide. The surface and bulk structure properties of gallium oxide films have been studied by atomic-force microscopy and scanning electron microscopy. The nanoindentation method was used to investigate the elastoplastic characteristics of gallium oxide, and also to determine the elastic recovery parameter of the films under study. The ultimate tensile strength, hardness, elastic stiffness constants, elastic compliance constants, Young's modulus, linear compressibility, shear modulus, Poisson's ratio and other characteristics of gallium oxide have been calculated by quantum chemistry methods based on the PBESOL functional. It is shown that all these properties of gallium oxide are essentially anisotropic. The calculated values are compared with experimental data. We conclude that a change in the silicon orientation leads to a significant reorientation of gallium oxide.

  11. Amorphous silicon films doped with BF3 and PF5

    International Nuclear Information System (INIS)

    Ortiz, A.; Muhl, S.; Sanchez, A.; Monroy, R.; Pickin, W.

    1984-01-01

    By using gaseous discharge process, thin films of hydrogenated amorphous silicon (a-Si:H) were produced. This process consists of Silane (SiH 4 ) decomposition at low pressure, in a chamber. (A.C.A.S.) [pt

  12. NO2 sensing properties of amorphous silicon films

    International Nuclear Information System (INIS)

    Georgieva, V; Gadjanova, V; Donkov, N; Stefanov, P; Sendova-Vassileva, M; Grechnikov, A

    2012-01-01

    The sensitivity to NO 2 was studied of amorphous silicon thin films obtained by e-beam evaporation. The process was carried out at an operational-mode vacuum of 1.5x10 -5 Torr at a deposition rate of 170 nm/min. The layer's structure was analyzed by Raman spectroscopy, while its composition was determined by X-ray photoemission spectroscopy (XPS). To estimate their sensitivity to NO 2 , the Si films were deposited on a 16-MHz quartz crystal microbalance (QCM) and the correlation was used between the QCM frequency variation and the mass-loading after exposure to NO 2 in concentrations from 10 ppm to 5000 ppm. A considerable sensitivity of the films was found in the interval 1000 ppm-2500 ppm NO 2 , leading to frequency shifts from 131 Hz to 208 Hz. The results obtained on the films' sorption properties can be applied to the development sensor elements.

  13. Scattering properties of textured TCO substrates in thin-film silicon solar cells; Streuverhalten von texturierten TCO-Substraten in Silizium-Duennschichtsolarzellen

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, Melanie

    2009-04-27

    In this PhD thesis the scattering properties of textured transparent conducting oxides (TCO) for the application in thin-film silicon solar cells are investigated. The main focus is the correlation between the nanotextured surface and its scattering behaviour. Therefore a ray tracing model based on geometric optics and atomic force microscopy data is developed. Simulation results are compared and discussed with measurements of angle resolved scattering in the far field and experimentally determined scanning near field microscopy data in the near field. Besides, simulation results obtained by applying geometric optics and solutions of the Maxwell equation in the near field are compared and discussed. The scattering properties of TCO-air and TCO-silicon interfaces are considered. (orig.)

  14. High-Pressure Water-Vapor Annealing for Enhancement of a-Si:H Film Passivation of Silicon Surface

    International Nuclear Information System (INIS)

    Guo Chun-Lin; Wang Lei; Zhang Yan-Rong; Zhou Hai-Feng; Liang Feng; Yang Zhen-Hui; Yang De-Ren

    2014-01-01

    We investigate the effect of amorphous hydrogenated silicon (a-Si:H) films passivated on silicon surfaces based on high-pressure water-vapor annealing (HWA). The effective carrier lifetime of samples reaches the maximum value after 210°C, 90min HWA. Capacitance-voltage measurement reveals that the HWA not only greatly reduces the density of interface states (D it ), but also decreases the fixed charges (Q fixed ) mainly caused by bulk defects. The change of hydrogen and oxygen in the film is measured by a spectroscopic ellipsometer and a Fourier-transform infrared (FTIR) spectrometer. All these results show that HWA is a useful method to improve the passivation effect of a-Si:H films deposited on silicon surfaces

  15. Design and Fabrication of Silicon-on-Silicon-Carbide Substrates and Power Devices for Space Applications

    Directory of Open Access Journals (Sweden)

    Gammon P.M.

    2017-01-01

    Full Text Available A new generation of power electronic semiconductor devices are being developed for the benefit of space and terrestrial harsh-environment applications. 200-600 V lateral transistors and diodes are being fabricated in a thin layer of silicon (Si wafer bonded to silicon carbide (SiC. This novel silicon-on-silicon-carbide (Si/SiC substrate solution promises to combine the benefits of silicon-on-insulator (SOI technology (i.e device confinement, radiation tolerance, high and low temperature performance with that of SiC (i.e. high thermal conductivity, radiation hardness, high temperature performance. Details of a process are given that produces thin films of silicon 1, 2 and 5 μm thick on semi-insulating 4H-SiC. Simulations of the hybrid Si/SiC substrate show that the high thermal conductivity of the SiC offers a junction-to-case temperature ca. 4× less that an equivalent SOI device; reducing the effects of self-heating, and allowing much greater power density. Extensive electrical simulations are used to optimise a 600 V laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOSFET implemented entirely within the silicon thin film, and highlight the differences between Si/SiC and SOI solutions.

  16. Fatigue characteristics of polycrystalline silicon thin-film membrane and its dependence on humidity

    International Nuclear Information System (INIS)

    Tanemura, Tomoki; Yamashita, Shuichi; Wado, Hiroyuki; Takeuchi, Yukihiro; Tsuchiya, Toshiyuki; Tabata, Osamu

    2013-01-01

    This paper describes fatigue characteristics of a polycrystalline silicon thin-film membrane under different humidity evaluated by out-of-plane resonant vibration. The membrane, without the surface of sidewalls by patterning of photolithography and etching process, was applied to evaluate fatigue characteristics precisely against the changes in the surrounding humidity owing to narrower deviation in the fatigue lifetime. The membrane has 16 mm square-shaped multilayered films consisting of a 250 or 500 nm thick polysilicon film on silicon dioxide and silicon nitride underlying layers. A circular weight of 12 mm in diameter was placed at the center of the membrane to control the resonant frequency. Stress on the polysilicon film was generated by deforming the membrane oscillating the weight in the out-of-plane direction. The polysilicon film was fractured by fatigue damage accumulation under cyclic stress. The lifetime of the polysilicon membrane extended with lower relative humidity, especially at 5%RH. The results of the fatigue tests were well formulated with Weibull's statistics and Paris’ law. The dependence of fatigue characteristics on humidity has been quantitatively revealed for the first time. The crack growth rate indicated by the fatigue index decreased with the reduction in humidity, whereas the deviation of strength represented by the Weibull modulus was nearly constant against humidity. (paper)

  17. Passivating electron contact based on highly crystalline nanostructured silicon oxide layers for silicon solar cells

    Czech Academy of Sciences Publication Activity Database

    Stuckelberger, J.; Nogay, G.; Wyss, P.; Jeangros, Q.; Allebe, Ch.; Debrot, F.; Niquille, X.; Ledinský, Martin; Fejfar, Antonín; Despeisse, M.; Haug, F.J.; Löper, P.; Ballif, C.

    2016-01-01

    Roč. 158, Dec (2016), s. 2-10 ISSN 0927-0248 R&D Projects: GA MŠk LM2015087 Institutional support: RVO:68378271 Keywords : surface passivation * passivating contact * nanostructure * silicon oxide * nanocrystalline * microcrystalline * poly-silicon * crystallization * Raman * transmission line measurement Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 4.784, year: 2016

  18. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  19. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    International Nuclear Information System (INIS)

    Duraia, El-Shazly M.; Mansurov, Z.A.; Tokmolden, S.; Beall, Gary W.

    2010-01-01

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm -1 and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  20. Preparation of highly aligned silicon oxide nanowires with stable intensive photoluminescence

    Energy Technology Data Exchange (ETDEWEB)

    Duraia, El-Shazly M., E-mail: duraia_physics@yahoo.co [Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Al-Farabi Kazakh National University, Almaty (Kazakhstan); Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Mansurov, Z.A. [Al-Farabi Kazakh National University, Almaty (Kazakhstan); Tokmolden, S. [Institute of Physics and Technology, 11 Ibragimov Street, 050032 Almaty (Kazakhstan); Beall, Gary W. [Texas State University-San Marcos, Department of Chemistry and Biochemistry, 601 University Dr., San Marcos, TX 78666 (United States)

    2010-02-15

    In this work we report the successful formation of highly aligned vertical silicon oxide nanowires. The source of silicon was from the substrate itself without any additional source of silicon. X-ray measurement demonstrated that our nanowires are amorphous. Photoluminescence measurements were conducted through 18 months and indicated that there is a very good intensive emission peaks near the violet regions. The FTIR measurements indicated the existence of peaks at 463, 604, 795 and a wide peak at 1111 cm{sup -1} and this can be attributed to Si-O-Si and Si-O stretching vibrations. We also report the formation of the octopus-like silicon oxide nanowires and the growth mechanism of these structures was discussed.

  1. Amorphous silicon thin-film solar cells on glass fiber textiles

    Energy Technology Data Exchange (ETDEWEB)

    Plentz, Jonathan, E-mail: jonathan.plentz@leibniz-ipht.de; Andrä, Gudrun; Pliewischkies, Torsten; Brückner, Uwe; Eisenhawer, Björn; Falk, Fritz

    2016-02-15

    Graphical abstract: - Highlights: • Amorphous silicon solar cells on textile glass fiber fabrics are demonstrated. • Open circuit voltages of 883 mV show shunt-free contacting on non-planar fabrics. • Short-circuit current densities of 3.7 mA/cm{sup 2} are limited by transmission losses. • Fill factors of 43.1% and pseudo fill factors of 70.2% show high series resistance. • Efficiencies of 1.4% and pseudo efficiencies of 2.1% realized on textile fabrics. - Abstract: In this contribution, amorphous silicon thin-film solar cells on textile glass fiber fabrics for smart textiles are prepared and the photovoltaic performance is characterized. These solar cells on fabrics delivered open circuit voltages up to 883 mV. This shows that shunt-free contacting of the solar cells was successful, even in case of non-planar fabrics. The short-circuit current densities up to 3.7 mA/cm{sup 2} are limited by transmission losses in a 10 nm thin titanium layer, which was used as a semi-transparent contact. The low conductivity of this layer limits the fill factor to 43.1%. Pseudo fill factors, neglecting the series resistance, up to 70.2% were measured. Efficiencies up to 1.4% and pseudo efficiencies up to 2.1% were realized on textile fabrics. A transparent conductive oxide could further improve the efficiency to above 5%.

  2. 18O isotopic tracer studies of silicon oxidation in dry oxygen

    International Nuclear Information System (INIS)

    Han, C.J.

    1986-01-01

    Oxidation of silicon in dry oxygen has been an important process in the integrated circuit industry for making gate insulators on metal-oxide-semiconductory (MOS) devices. This work examines this process using isotopic tracers of oxygen to determine the transport mechanisms of oxygen through silicon dioxide. Oxides were grown sequentially using mass-16 and mass-18 oxygen gas sources to label the oxygen molecules from each step. The resulting oxides are analyzed using secondary ion mass spectrometry (SIMS). The results of these analyses suggest two oxidant species are present during the oxidation, each diffuses and oxidizes separately during the process. A model from this finding using a sum of two linear-parabolic growth rates, each representing the growth rate from one of the oxidants, describes the reported oxidation kinetics in the literature closely. A fit of this relationship reveals excellent fits to the data for oxide thicknesses ranging from 30 A to 1 μm and for temperatures ranging from 800 to 1200 0 C. The mass-18 oxygen tracers also enable a direct observation of the oxygen solubility in the silicon dioxide during a dry oxidation process. The SIMS profiles establish a maximum solubility for interstitial oxygen at 1000 0 C at 2 x 10 20 cm -3 . Furthermore, the mass-18 oxygen profiles show negligible network diffusion during an 1000 0 C oxidation

  3. Study Trapped Charge Distribution in P-Channel Silicon-Oxide-Nitride-Oxide-Silicon Memory Device Using Dynamic Programming Scheme

    Science.gov (United States)

    Li, Fu-Hai; Chiu, Yung-Yueh; Lee, Yen-Hui; Chang, Ru-Wei; Yang, Bo-Jun; Sun, Wein-Town; Lee, Eric; Kuo, Chao-Wei; Shirota, Riichiro

    2013-04-01

    In this study, we precisely investigate the charge distribution in SiN layer by dynamic programming of channel hot hole induced hot electron injection (CHHIHE) in p-channel silicon-oxide-nitride-oxide-silicon (SONOS) memory device. In the dynamic programming scheme, gate voltage is increased as a staircase with fixed step amplitude, which can prohibits the injection of holes in SiN layer. Three-dimensional device simulation is calibrated and is compared with the measured programming characteristics. It is found, for the first time, that the hot electron injection point quickly traverses from drain to source side synchronizing to the expansion of charged area in SiN layer. As a result, the injected charges quickly spread over on the almost whole channel area uniformly during a short programming period, which will afford large tolerance against lateral trapped charge diffusion by baking.

  4. Structural and optical properties of ZnO films grown on silicon and ...

    Indian Academy of Sciences (India)

    TECS

    Abstract. Photoluminescence (PL) properties of undoped ZnO thin films grown by rf magnetron sputtering on silicon .... voluted O1 s and (c) typical Zr 3d spectra of ZrO2/ZnO/Si film. .... strate doping concentration (NB) of ≈ 2⋅5 × 1015 cm–3 is.

  5. Modified MIS-structure based on nanoporous silicon with enhanced sensitivity to the hydrogen containing gases

    Energy Technology Data Exchange (ETDEWEB)

    Gorbanyuk, T.; Evtukh, A.; Litovchenko, V.; Solntsev, V. [Institute of Semiconductor Physics, Kiev (Ukraine)

    2008-07-01

    The gas sensitivity of metal-insulator-semiconductor (MIS)-structures based on nanoporous silicon with active electrodes from palladium/tungsten oxide composite has been studied. It was found that the using of palladium/tungsten oxide composite (instead of thin palladium film) leads to enhanced sensitivity of MIS structures to hydrogen sulphide in air. The mechanism of this phenomenon has been established. The enhanced H{sub 2}S sensitivity is explained in the following way. The microparticles of tungsten trioxide inside palladium matrix stimulate the dissociation of hydrogen sulphide molecules, and hydrogen atoms and/or protons flow down to palladium surface, are absorbed by palladium volume, diffuse to palladium/oxidized nanoporous silicon interface. Hydrogen atoms adsorbed at the interface are polarized and give rise to a dipole layer. As a result, the voltage shift of the capacity-voltage (C-V) curve proportional to the measured gas concentration is observed. The surface microstructure of Pd/WO{sub 3} composite was studied by AFM microscopy. The chemical content of the composite film has been investigated by SIMS. It was found that the composite film on nanoporous silicon surface poses the holes with the size about 0.05 {mu}m, the mean separation between tungsten oxide microparticles is 1-2 {mu}m. It also was found that the using of the additional double layer polymer film (polymer film (phthalocyanine zinc)/semicon-ductor film (cadmium sulphide)) on composite film surface leads to the additional enhancement of the gas sensitivity to hydrogen sulphide. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Chitosan/graphene oxide biocomposite film from pencil rod

    Science.gov (United States)

    Gea, S.; Sari, J. N.; Bulan, R.; Piliang, A.; Amaturrahim, S. A.; Hutapea, Y. A.

    2018-03-01

    Graphene Oxide (GO) has been succesfully synthesized using Hummber method from graphite powder of pencil rod. The excellent solubility of graphene oxide (GO)in water imparts its feasibilty as new filler for reinforcement hydrophilic biopolymers. In this research, the biocomposite film was fabricated from chitosan/graphene oxide. The characteristics of graphene oxide were investigated using Fourier Transform Infrared (FT-IR) and X-ray Diffraction (XRD). The results of the XRD showed graphene structur in 2θ, appeared at 9.0715°with interlayer spacing was about 9.74063Å. Preparation films with several variations of chitosan/graphene oxide was done by casting method and characterized by mechanical and morphological analysis. The mechanical properties of the tensile test in the film show that the film CS/GO (85: 15)% has the optimum Young’s modulus size of 2.9 GPa compared to other variations of CS / GO film. Morphological analysis film CS/GO (85:15)% by Scanning Electron Microscopy (SEM), the obtained biocomposites film showed fine dispersion of GO in the CS matrix and could mix each other homogeneously.

  7. Surface microstructures and corrosion resistance of Ni-Ti-Nb shape memory thin films

    Energy Technology Data Exchange (ETDEWEB)

    Li, Kun [School of Materials Science and Engineering, Beihang University, Beijing 100191 (China); Beijing Key Laboratory for Advanced Functional Materials and Thin Film Technology, Beihang University, Beijing 100191 (China); Faculty of Engineering and Environment, Northumbria University, Newcastle Upon Tyne NE1 8ST (United Kingdom); Li, Yan, E-mail: liyan@buaa.edu.cn [School of Materials Science and Engineering, Beihang University, Beijing 100191 (China); Beijing Key Laboratory for Advanced Functional Materials and Thin Film Technology, Beihang University, Beijing 100191 (China); Huang, Xu [Memry Corporation, Bethel, CT 06801 (United States); Gibson, Des [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of the West of Scotland, Paisley PA1 2BE (United Kingdom); Zheng, Yang; Liu, Jiao; Sun, Lu [School of Materials Science and Engineering, Beihang University, Beijing 100191 (China); Beijing Key Laboratory for Advanced Functional Materials and Thin Film Technology, Beihang University, Beijing 100191 (China); Fu, Yong Qing, E-mail: richard.fu@northumbria.ac.uk [Faculty of Engineering and Environment, Northumbria University, Newcastle Upon Tyne NE1 8ST (United Kingdom)

    2017-08-31

    Highlights: • The corrosion resistance of Ni-Ti-Nb shape memory thin films is investigated. • Modified surface oxide layers improve the corrosion resistance of Ni-Ti-Nb films. • Further Nb additions reduce the potential corrosion tendency of the films. - Abstract: Ni-Ti-Nb and Ni-Ti shape memory thin films were sputter-deposited onto silicon substrates and annealed at 600 °C for crystallization. X-ray diffraction (XRD) measurements indicated that all of the annealed Ni-Ti-Nb films were composed of crystalline Ni-Ti (Nb) and Nb-rich grains. X-ray photoelectron spectroscopy (XPS) tests showed that the surfaces of Ni-Ti-Nb films were covered with Ti oxides, NiO and Nb{sub 2}O{sub 5}. The corrosion resistance of the Ni-Ti-Nb films in 3.5 wt.% NaCl solution was investigated using electrochemical tests such as open-circuit potential (OCP) and potentio-dynamic polarization tests. Ni-Ti-Nb films showed higher OCPs, higher corrosion potentials (E{sub corr}) and lower corrosion current densities (i{sub corr}) than the binary Ni-Ti film, which indicated a better corrosion resistance. The reason may be that Nb additions modified the passive layer on the film surface. The OCPs of Ni-Ti-Nb films increased with further Nb additions, whereas no apparent difference of E{sub corr} and i{sub corr} was found among the Ni-Ti-Nb films.

  8. Spectra of fast neutrons using a lithiated glass film on silicon

    International Nuclear Information System (INIS)

    Wallace, Steven; Stephan, Andrew C.; Womble, Phillip C.; Begtrup, Gavi; Dai Sheng

    2003-01-01

    Experimental results of a neutron detector manufactured by coating a silicon charged particle detector with a film of lithiated glass are presented. The silicon surface barrier detector (SBD) responds to the 6 Li(n, alpha)triton reaction products generated in the thin film of lithiated glass entering the SBD. Neutron spectral information is present in the pulse height spectrum. An energy response is seen that clearly shows that neutrons from a Pu-Be source and from a deuterium-tritium (D-T) pulsed neutron generator can be differentiated and counted above a gamma background. The significant result is that the fissile content within a container can be measured using a pulsed D-T neutron generator using the neutrons that are counted in the interval between the pulses

  9. Interface and oxide traps in high-κ hafnium oxide films

    International Nuclear Information System (INIS)

    Wong, H.; Zhan, N.; Ng, K.L.; Poon, M.C.; Kok, C.W.

    2004-01-01

    The origins of the interface trap generation and the effects of thermal annealing on the interface and bulk trap distributions are studied in detail. We found that oxidation of the HfO 2 /Si interface, removal of deep trap centers, and crystallization of the as-deposited film will take place during the post-deposition annealing (PDA). These processes will result in the removal of interface traps and deep oxide traps and introduce a large amount of shallow oxide traps at the grain boundaries of the polycrystalline film. Thus, trade-off has to be made in considering the interface trap density and oxide trap density when conducting PDA. In addition, the high interface trap and oxide trap densities of the HfO 2 films suggest that we may have to use the SiO 2 /HfO 2 stack or hafnium silicate structure for better device performance

  10. A highly sensitive and durable electrical sensor for liquid ethanol using thermally-oxidized mesoporous silicon

    Science.gov (United States)

    Harraz, Farid A.; Ismail, Adel A.; Al-Sayari, S. A.; Al-Hajry, A.; Al-Assiri, M. S.

    2016-12-01

    A capacitive detection of liquid ethanol using reactive, thermally oxidized films constructed from electrochemically synthesized porous silicon (PSi) is demonstrated. The sensor elements are fabricated as meso-PSi (pore sizes hydrophobic PSi surface exhibited almost a half sensitivity of the thermal oxide sensor. The response to water is achieved only at the oxidized surface and found to be ∼one quarter of the ethanol sensitivity, dependent on parameters such as vapor pressure and surface tension. The capacitance response retains ∼92% of its initial value after continuous nine cyclic runs and the sensors presumably keep long-term stability after three weeks storage, demonstrating excellent durability and storage stability. The observed behavior in current system is likely explained by the interface interaction due to dipole moment effect. The results suggest that the current sensor structure and design can be easily made to produce notably higher sensitivities for reversible detection of various analytes.

  11. Fabrication and RF characterization of zinc oxide based Film Bulk Acoustic Resonator

    Science.gov (United States)

    Patel, Raju; Bansal, Deepak; Agrawal, Vimal Kumar; Rangra, Kamaljit; Boolchandani, Dharmendar

    2018-06-01

    This work reports fabrication and characterization of Film Bulk Acoustic Resonator (FBAR) to improve the performance characteristics for RF filter and sensing application. Zinc oxide as a piezoelectric (PZE) material was deposited on an aluminum bottom electrode using an RF magnetron sputtering, at room temperature, and gold as top electrode for the resonator. Tetramethyl ammonium hydroxide (TMAH) setup was used for bulk silicon etching to make back side cavity to confine the acoustic signals. The transmission characteristics show that the FBARs have a central frequency at 1.77 GHz with a return loss of -10.7 dB.

  12. Design and Fabrication of Oxygen/RP-2 Multi-Element Oxidizer-Rich Staged Combustion Thrust Chamber Injectors

    Science.gov (United States)

    Garcia, C. P.; Medina, C. R.; Protz, C. S.; Kenny, R. J.; Kelly, G. W.; Casiano, M. J.; Hulka, J. R.; Richardson, B. R.

    2016-01-01

    As part of the Combustion Stability Tool Development project funded by the Air Force Space and Missile Systems Center, the NASA Marshall Space Flight Center was contracted to assemble and hot-fire test a multi-element integrated test article demonstrating combustion characteristics of an oxygen/hydrocarbon propellant oxidizer-rich staged-combustion engine thrust chamber. Such a test article simulates flow through the main injectors of oxygen/kerosene oxidizer-rich staged combustion engines such as the Russian RD-180 or NK-33 engines, or future U.S.-built engine systems such as the Aerojet-Rocketdyne AR-1 engine or the Hydrocarbon Boost program demonstration engine. On the current project, several configurations of new main injectors were considered for the thrust chamber assembly of the integrated test article. All the injector elements were of the gas-centered swirl coaxial type, similar to those used on the Russian oxidizer-rich staged-combustion rocket engines. In such elements, oxidizer-rich combustion products from the preburner/turbine exhaust flow through a straight tube, and fuel exiting from the combustion chamber and nozzle regenerative cooling circuits is injected near the exit of the oxidizer tube through tangentially oriented orifices that impart a swirl motion such that the fuel flows along the wall of the oxidizer tube in a thin film. In some elements there is an orifice at the inlet to the oxidizer tube, and in some elements there is a sleeve or "shield" inside the oxidizer tube where the fuel enters. In the current project, several variations of element geometries were created, including element size (i.e., number of elements or pattern density), the distance from the exit of the sleeve to the injector face, the width of the gap between the oxidizer tube inner wall and the outer wall of the sleeve, and excluding the sleeve entirely. This paper discusses the design rationale for each of these element variations, including hydraulic, structural

  13. Formation of a Polycrystalline Silicon Thin Film by Using Blue Laser Diode Annealing

    Science.gov (United States)

    Choi, Young-Hwan; Ryu, Han-Youl

    2018-04-01

    We report the crystallization of an amorphous silicon thin film deposited on a SiO2/Si wafer using an annealing process with a high-power blue laser diode (LD). The laser annealing process was performed using a continuous-wave blue LD of 450 nm in wavelength with varying laser output power in a nitrogen atmosphere. The crystallinity of the annealed poly-silicon films was investigated using ellipsometry, electron microscope observation, X-ray diffraction, and Raman spectroscopy. Polysilicon grains with > 100-nm diameter were observed to be formed after the blue LD annealing. The crystal quality was found to be improved as the laser power was increased up to 4 W. The demonstrated blue LD annealing is expected to provide a low-cost and versatile solution for lowtemperature poly-silicon processes.

  14. Self-cleaning glass coating containing titanium oxide and silicon; Revestimentos autolimpantes para vidros contendo oxido de titanio e silicio

    Energy Technology Data Exchange (ETDEWEB)

    Araujo, A.O. de; Alves, A.K.; Berutti, F.A.; Bergmann, C.P. [Universidade Federal do Rio Grande do Sul (LACER/UFRGS), Porto Alegre, RS (Brazil). Lab. de Materiais Ceramicos

    2009-07-01

    Using the electro spinning technique nano fibers of titanium oxide doped with silicon were synthesized. As precursor materials, titanium propoxide, silicon tetra propoxide and a solution of polyvinylpyrrolidone were used. The non-tissue material obtained was characterized by X-ray diffraction to determine the phase and crystallite size, BET method to determine the surface and SEM to analyze the microstructure of the fibers. After ultrasound dispersion of this material in ethanol, the glass coatings were made by dip-coating methodology. The influence of the removal velocity, the solution composition and the glass surface preparation were evaluated. The film was characterized by the contact angle of a water droplet in its surface. (author)

  15. Second-harmonic generation in substoichiometric silicon nitride layers

    Science.gov (United States)

    Pecora, Emanuele; Capretti, Antonio; Miano, Giovanni; Dal Negro, Luca

    2013-03-01

    Harmonic generation in optical circuits offers the possibility to integrate wavelength converters, light amplifiers, lasers, and multiple optical signal processing devices with electronic components. Bulk silicon has a negligible second-order nonlinear optical susceptibility owing to its crystal centrosymmetry. Silicon nitride has its place in the microelectronic industry as an insulator and chemical barrier. In this work, we propose to take advantage of silicon excess in silicon nitride to increase the Second Harmonic Generation (SHG) efficiency. Thin films have been grown by reactive magnetron sputtering and their nonlinear optical properties have been studied by femtosecond pumping over a wide range of excitation wavelengths, silicon nitride stoichiometry and thermal processes. We demonstrate SHG in the visible range (375 - 450 nm) using a tunable 150 fs Ti:sapphire laser, and we optimize the SH emission at a silicon excess of 46 at.% demonstrating a maximum SHG efficiency of 4x10-6 in optimized films. Polarization properties, generation efficiency, and the second order nonlinear optical susceptibility are measured for all the investigated samples and discussed in terms of an effective theoretical model. Our findings show that the large nonlinear optical response demonstrated in optimized Si-rich silicon nitride materials can be utilized for the engineering of nonlinear optical functions and devices on a Si chip.

  16. Nanostructured silicon anodes for lithium ion rechargeable batteries.

    Science.gov (United States)

    Teki, Ranganath; Datta, Moni K; Krishnan, Rahul; Parker, Thomas C; Lu, Toh-Ming; Kumta, Prashant N; Koratkar, Nikhil

    2009-10-01

    Rechargeable lithium ion batteries are integral to today's information-rich, mobile society. Currently they are one of the most popular types of battery used in portable electronics because of their high energy density and flexible design. Despite their increasing use at the present time, there is great continued commercial interest in developing new and improved electrode materials for lithium ion batteries that would lead to dramatically higher energy capacity and longer cycle life. Silicon is one of the most promising anode materials because it has the highest known theoretical charge capacity and is the second most abundant element on earth. However, silicon anodes have limited applications because of the huge volume change associated with the insertion and extraction of lithium. This causes cracking and pulverization of the anode, which leads to a loss of electrical contact and eventual fading of capacity. Nanostructured silicon anodes, as compared to the previously tested silicon film anodes, can help overcome the above issues. As arrays of silicon nanowires or nanorods, which help accommodate the volume changes, or as nanoscale compliant layers, which increase the stress resilience of silicon films, nanoengineered silicon anodes show potential to enable a new generation of lithium ion batteries with significantly higher reversible charge capacity and longer cycle life.

  17. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  18. Nickel silicide thin films as masking and structural layers for silicon bulk micro-machining by potassium hydroxide wet etching

    International Nuclear Information System (INIS)

    Bhaskaran, M; Sriram, S; Sim, L W

    2008-01-01

    This paper studies the feasibility of using titanium and nickel silicide thin films as mask materials for silicon bulk micro-machining. Thin films of nickel silicide were found to be more resistant to wet etching in potassium hydroxide. The use of nickel silicide as a structural material, by fabricating micro-beams of varying dimensions, is demonstrated. The micro-structures were realized using these thin films with wet etching using potassium hydroxide solution on (1 0 0) and (1 1 0) silicon substrates. These results show that nickel silicide is a suitable alternative to silicon nitride for silicon bulk micro-machining

  19. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  20. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  1. A parametric study of laser induced ablation-oxidation on porous silicon surfaces

    International Nuclear Information System (INIS)

    De Stefano, Luca; Rea, Ilaria; Nigro, M Arcangela; Della Corte, Francesco G; Rendina, Ivo

    2008-01-01

    We have investigated the laser induced ablation-oxidation process on porous silicon layers having different porosities and thicknesses by non-destructive optical techniques. In particular, the interaction between a low power blue light laser and the porous silicon surfaces has been characterized by variable angle spectroscopic ellipsometry and Fourier transform infrared spectroscopy. The oxidation profiles etched on the porous samples can be tuned as functions of the layer porosity and laser fluence. Oxide stripes of width less than 2 μm and with thicknesses between 100 nm and 5 μm have been produced, depending on the porosity of the porous silicon, by using a 40 x focusing objective

  2. Silicon nanowires in polymer nanocomposites for photovoltaic hybrid thin films

    International Nuclear Information System (INIS)

    Ben Dkhil, S.; Bourguiga, R.; Davenas, J.; Cornu, D.

    2012-01-01

    Highlights: ► Hybrid solar cells based on blends of poly(N-vinylcarbazole) and silicon nanowires have been fabricated. ► We have investigated the charge transfer between PVK and SiNWs by the way of the quenching of the PVK photoluminescence. ► The relation between the morphology of the composite thin films and the charge transfer between SiNWs and PVK has been examined. ► We have investigated the effects of SiNWs concentration on the photovoltaic characteristics leading to the optimization of a critical SiNWs concentration. - Abstract: Hybrid thin films combining the high optical absorption of a semiconducting polymer film and the electronic properties of silicon fillers have been investigated in the perspective of the development of low cost solar cells. Bulk heterojunction photovoltaic materials based on blends of a semiconductor polymer poly(N-vinylcarbazole) (PVK) as electron donor and silicon nanowires (SiNWs) as electron acceptor have been studied. Composite PVK/SiNWs films were cast from a common solvent mixture. UV–visible spectrometry and photoluminescence of the composites have been studied as a function of the SiNWs concentration. Photoluminescence spectroscopy (PL) shows the existence of a critical SiNWs concentration of about 10 wt % for PL quenching corresponding to the most efficient charge pair separation. The photovoltaic (PV) effect has been studied under illumination. The optimum open-circuit voltage V oc and short-circuit current density J sc are obtained for 10 wt % SiNWs whereas a degradation of these parameters is observed at higher SiNWs concentrations. These results are correlated to the formation of aggregates in the composite leading to recombination of the photogenerated charge pairs competing with the dissociation mechanism.

  3. The Refractive Index Measurement Of Silicon Dioxide Thin Film by the Coupling Prism Method

    International Nuclear Information System (INIS)

    Budianto, Anwar; Hariyanto, Sigit; Subarkah

    1996-01-01

    Refractive index of silicon dioxide thin film that doped with phosphor (SiO 2 :P) above the pure silicon dioxide substrate has been measured by light coupling prism method. The method principle is focusing the light on coupling prism base so that the light propagates into the waveguide layer while the reflected one forms a mode in the observation plane. The SiO 2 thin film as waveguide layer has a refractive index that give the thick and refractive index relation. The He-Ne laser as light source has the wavelength λ 0,6328 μm. The refractive index measurement of the thin film with the substrate refractive index n sb = 1,47 and the thin film thick d = 2μm gives n g = 1,5534 ± 0,01136. This method can distinguish the refractive index of thin film about 6% to the refractive index of substrate

  4. Indium oxide/n-silicon heterojunction solar cells

    Science.gov (United States)

    Feng, Tom; Ghosh, Amal K.

    1982-12-28

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  5. Application of CBD-Zinc Sulfide Film as an Antireflection Coating on Very Large Area Multicrystalline Silicon Solar Cell

    Directory of Open Access Journals (Sweden)

    U. Gangopadhyay

    2007-01-01

    Full Text Available The low-cost chemical bath deposition (CBD technique is used to prepare CBD-ZnS films as antireflective (AR coating for multicrystalline silicon solar cells. The uniformity of CBD-ZnS film on large area of textured multicrystalline silicon surface is the major challenge of CBD technique. In the present work, attempts have been made for the first time to improve the rate of deposition and uniformity of deposited film by controlling film stoichiometry and refractive index and also to minimize reflection loss by proper optimization of molar percentage of different chemical constituents and deposition conditions. Reasonable values of film deposition rate (12.13 Å′/min., good film uniformity (standard deviation <1, and refractive index (2.35 along with a low percentage of average reflection (6-7% on a textured mc-Si surface are achieved with proper optimization of ZnS bath. 12.24% efficiency on large area (125 mm × 125 mm multicrystalline silicon solar cells with CBD-ZnS antireflection coating has been successfully fabricated. The viability of low-cost CBD-ZnS antireflection coating on large area multicrystalline silicon solar cell in the industrial production level is emphasized.

  6. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  7. Bright luminance from silicon dioxide film with carbon nanotube electron beam exposure

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Su Woong; Hong, Ji Hwan; Kang, Jung Su; Callixte, Shikili; Park, Kyu Chang, E-mail: kyupark@khu.ac.kr

    2016-02-15

    We observed the bright bluish-white luminescence with naked eye from carbon nanotube electron beam exposed silicon dioxide (SiO{sub 2}) thin film on Si substrate. The luminescence shows a peak intensity at 2.7 eV (460 nm) with wide spread up to 600 nm after the C-beam exposed on SiO{sub 2} thin film. The C-beam exposure system is composed of carbon nanotube emitters as electron beam source. The brightness strongly depend on the exposure condition. Luminescence characteristic was optimized by C-beam adjustment to observe with the naked eye. The cause of luminescence in the C-beam exposed SiO{sub 2} thin film is analyzed by CL microscopy, FT-IR, AFM and ellipsometer. Decrease of Si–O bonding was observed after C-beam exposure, and this reveals that oxygen deficient defects which are irradiation-sensitive cause 2.7 eV peak of luminescence. - Highlights: • We observed bright luminescence for SiO{sub 2} thin film with naked eye by carbon nanotube electron beam (C-beam) exposure technique. • The bright luminance from C-beam exposed SiO{sub 2} film will open novel silicon optoelectronics.

  8. Oxidation protection and behavior of in-situ zirconium diboride–silicon carbide coating for carbon/carbon composites

    International Nuclear Information System (INIS)

    Li, Lu; Li, Hejun; Yin, Xuemin; Chu, Yanhui; Chen, Xi; Fu, Qiangang

    2015-01-01

    Highlights: • ZrB 2 –SiC coating was prepared on C/C composite by in-situ reaction. • A two-layered structure was obtained when the coating was oxidized at 1500 °C. • The formation and collapse of bubbles influenced the coating oxidation greatly. • The morphology evolution of oxide scale during oxidation was illuminated. - Abstract: To protect carbon/carbon (C/C) composites against oxidation, zirconium diboride–silicon carbide (ZrB 2 –SiC) coating was prepared by in-situ reaction using ZrC, B 4 C and Si as raw materials. The in-situ ZrB 2 –SiC coated C/C presented good oxidation resistance, whose weight loss was only 0.15% after isothermal oxidation at 1500 °C for 216 h. Microstructure evolution of coating at 1500 °C was studied, revealing a two-layered structure: (1) ZrO 2 (ZrSiO 4 ) embedded in SiO 2 -rich glass, and (2) unaffected ZrB 2 –SiC. The formation and collapse of bubbles influenced the coating oxidation greatly. A model based on the evolution of oxide scale was proposed to explain the failure mechanism of coating

  9. Fluorescence studies of Rhodamine 6G functionalized silicon oxide nanostructures

    International Nuclear Information System (INIS)

    Baumgaertel, Thomas; Borczyskowski, Christian von; Graaf, Harald

    2010-01-01

    Selective anchoring of optically active molecules on nanostructured surfaces is a promising step towards the creation of nanoscale devices with new functionalities. Recently we have demonstrated the electrostatic attachment of charged fluorescent molecules on silicon oxide nanostructures prepared by atomic force microscopy (AFM) nanolithography via local anodic oxidation (LAO) of dodecyl-terminated silicon. In this paper we report on our findings from a more detailed optical investigation of the bound dye Rhodamine 6G. High sensitivity optical wide field microscopy as well as confocal laser microscopy have been used to characterize the Rhodamine fluorescence emission. A highly interesting question concerns the interaction between an emitter close to a silicon surface because mechanisms such as energy transfer and fluorescence quenching will occur which are still not fully understood. Since the oxide thickness can be varied during preparation continuously from 1 to ∼ 5 nm, it is possible to investigate the fluorescence of the bound dye in close proximity to the underlying silicon. Using confocal laser microscopy we were also able to obtain optical spectra from the bound molecules. Together with the results from an analysis of their photochemical bleaching behaviour, we conjecture that some of the Rhodamine 6G molecules on the structure are interacting with the oxide, causing a spectral shift and differences in their photochemical properties.

  10. Zinc oxide films impurified with Ti and prepared by the Sol-gel method

    International Nuclear Information System (INIS)

    Tirado G, S.; Cazares R, J.M.; Maldonado, A.

    2006-01-01

    Titanium-doped zinc oxide thin films have been prepared on silicon substrate using the Sol-Gel technique. The structural, morphology, electrical and optical properties of such thin films were studied as a function of titanium concentration (0.5, 1 and 1.5 %) and the thin films thickness. Zinc acetate dihydrate and titanium (VI)-oxy acetylacetonate were used as precursor materials, using 2-methoxyethanol and monoethanolamine as via. The X-ray diffraction spectra show polycrystalline films in all the cases. It can see for all the thin films a preferential growth along the (002) planes where the titanium concentration and also the thin films thickness play an important rule. No structural changes are observed at all. The surface morphology studied shows as the grain size decreases when thin thickness is increases. For titanium concentration of 0.5, 1 and 1.5 % values the grains size increase also. The thin films thickness for titanium concentration of 1.5 % was 500 nm (4v), 400 nm (3v), 180 nm (2v) and 130 nm (1v), values obtained from cross-section micrographs. Highly resistive samples are obtained for substrate soda-lime even showing high transmittance. Better physical properties are required for gas sensors or semitransparent electrodes and other possible applications. (Author)

  11. Reliability assessment of ultra-thin HfO2 films deposited on silicon wafer

    International Nuclear Information System (INIS)

    Fu, Wei-En; Chang, Chia-Wei; Chang, Yong-Qing; Yao, Chih-Kai; Liao, Jiunn-Der

    2012-01-01

    Highlights: ► Nano-mechanical properties on annealed ultra-thin HfO 2 film are studied. ► By AFM analysis, hardness of the crystallized HfO 2 film significantly increases. ► By nano-indention, the film hardness increases with less contact stiffness. ► Quality assessment on the annealed ultra-thin films can thus be achieved. - Abstract: Ultra-thin hafnium dioxide (HfO 2 ) is used to replace silicon dioxide to meet the required transistor feature size in advanced semiconductor industry. The process integration compatibility and long-term reliability for the transistors depend on the mechanical performance of ultra-thin HfO 2 films. The criteria of reliability including wear resistance, thermal fatigue, and stress-driven failure rely on film adhesion significantly. The adhesion and variations in mechanical properties induced by thermal annealing of the ultra-thin HfO 2 films deposited on silicon wafers (HfO 2 /SiO 2 /Si) are not fully understood. In this work, the mechanical properties of an atomic layer deposited HfO 2 (nominal thickness ≈10 nm) on a silicon wafer were characterized by the diamond-coated tip of an atomic force microscope and compared with those of annealed samples. The results indicate that the annealing process leads to the formation of crystallized HfO 2 phases for the atomic layer deposited HfO 2 . The HfSi x O y complex formed at the interface between HfO 2 and SiO 2 /Si, where the thermal diffusion of Hf, Si, and O atoms occurred. The annealing process increases the surface hardness of crystallized HfO 2 film and therefore the resistance to nano-scratches. In addition, the annealing process significantly decreases the harmonic contact stiffness (or thereafter eliminate the stress at the interface) and increases the nano-hardness, as measured by vertically sensitive nano-indentation. Quality assessments on as-deposited and annealed HfO 2 films can be thereafter used to estimate the mechanical properties and adhesion of ultra-thin HfO 2

  12. Strong and reversible modulation of carbon nanotube-silicon heterojunction solar cells by an interfacial oxide layer.

    Science.gov (United States)

    Jia, Yi; Cao, Anyuan; Kang, Feiyu; Li, Peixu; Gui, Xuchun; Zhang, Luhui; Shi, Enzheng; Wei, Jinquan; Wang, Kunlin; Zhu, Hongwei; Wu, Dehai

    2012-06-21

    Deposition of nanostructures such as carbon nanotubes on Si wafers to make heterojunction structures is a promising route toward high efficiency solar cells with reduced cost. Here, we show a significant enhancement in the cell characteristics and power conversion efficiency by growing a silicon oxide layer at the interface between the nanotube film and Si substrate. The cell efficiency increases steadily from 0.5% without interfacial oxide to 8.8% with an optimal oxide thickness of about 1 nm. This systematic study reveals that formation of an oxide layer switches charge transport from thermionic emission to a mixture of thermionic emission and tunneling and improves overall diode properties, which are critical factors for tailoring the cell behavior. By controlled formation and removal of interfacial oxide, we demonstrate oscillation of the cell parameters between two extreme states, where the cell efficiency can be reversibly altered by a factor of 500. Our results suggest that the oxide layer plays an important role in Si-based photovoltaics, and it might be utilized to tune the cell performance in various nanostructure-Si heterojunction structures.

  13. Characterization of Al2O3 surface passivation of silicon solar cells

    International Nuclear Information System (INIS)

    Albadri, Abdulrahman M.

    2014-01-01

    A study of the passivation of silicon surface by aluminum oxide (Al 2 O 3 ) is reported. A correlation of fixed oxide charge density (Q f ) and interface trap density (D it ) on passivation efficiency is presented. Low surface recombination velocity (SRV) was obtained even by as-deposited Al 2 O 3 films and this was found to be associated to the passivation of interface states. Fourier transfer infrared spectroscopy spectra show the existence of an interfacial silicon oxide thin layer in both as-deposited and annealed Al 2 O 3 films. Q f is found positive in as-deposited films and changing to negative upon subsequent annealing, providing thus an enhancement of the passivation in p-type silicon wafers, associated to field effects. Secondary ion mass spectrometry analysis confirms the correlation between D it and hydrogen concentration at the Al 2 O 3 /Si interface. A lowest SRV of 15 cm/s was obtained after an anneal at 400 °C in nitrogen atmosphere. - Highlights: • Al 2 O 3 provides superior passivation for silicon surfaces. • Atomic layer deposition-Al 2 O 3 was deposited at a low temperature of 200 °C. • A lowest surface passivation velocity of 15 cm/s was obtained after an anneal at 400 °C in nitrogen. • As-deposited Al 2 O 3 films form very thin SiO 2 layer responsible of low interface trap densities. • High negative fixed charge density of (− 2 × 10 12 cm −2 ) was achieved upon annealing at 400 °C

  14. Surface and sub-surface thermal oxidation of thin ruthenium films

    Energy Technology Data Exchange (ETDEWEB)

    Coloma Ribera, R.; Kruijs, R. W. E. van de; Yakshin, A. E.; Bijkerk, F. [MESA+ Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Kokke, S.; Zoethout, E. [FOM Dutch Institute for Fundamental Energy Research (DIFFER), P.O. Box 1207, 3430 BE Nieuwegein (Netherlands)

    2014-09-29

    A mixed 2D (film) and 3D (nano-column) growth of ruthenium oxide has been experimentally observed for thermally oxidized polycrystalline ruthenium thin films. Furthermore, in situ x-ray reflectivity upon annealing allowed the detection of 2D film growth as two separate layers consisting of low density and high density oxides. Nano-columns grow at the surface of the low density oxide layer, with the growth rate being limited by diffusion of ruthenium through the formed oxide film. Simultaneously, with the growth of the columns, sub-surface high density oxide continues to grow limited by diffusion of oxygen or ruthenium through the oxide film.

  15. Electronic properties of thermally formed thin iron oxide films

    International Nuclear Information System (INIS)

    Wielant, J.; Goossens, V.; Hausbrand, R.; Terryn, H.

    2007-01-01

    The oxide layer, present between an organic coating and the substrate, guarantees adhesion of the coating and plays a determinating role in the delamination rate of the organic coating. The purpose of this study is to compare the resistive and semiconducting properties of thermal oxides formed on steel in two different atmospheres at 250 deg. C: an oxygen rich atmosphere, air, and an oxygen deficient atmosphere, N 2 . In N 2 , a magnetite layer grows while in air a duplex oxide film forms composed by an inner magnetite layer and a thin outer hematite scale. The heat treatment for different amounts of time at high temperature was used as method to sample the thickness variation and change in electronic and semiconducting properties of the thermal oxide layers. Firstly, linear voltammetric measurements were performed to have a first insight in the electrochemical behavior of the thermal oxides in a borate buffer solution. Electrochemical impedance spectroscopy in the same buffer combined with the Mott-Schottky analysis were used to determine the semiconducting properties of the thermal oxides. By spectroscopic ellipsometry (SE) and atomic force microscopy (AFM), respectively, the thickness and roughness of the oxide layers were determined supporting the physical interpretation of the voltammetric and EIS data. These measurements clearly showed that oxide layers with different constitution, oxide resistance, flatband potential and doping concentration can be grown by changing the atmosphere

  16. Electrochemistry of hydrous oxide films

    International Nuclear Information System (INIS)

    Burke, L.D.; Lyons, M.E.G.

    1986-01-01

    The formation, acid-base properties, structural aspects, and transport processes of hydrous oxide films are discussed. Classical and nonclassical theoretical models of the oxide-solution interface are compared. Monolayer oxidation, behavior, and crystal growth of oxides on platinum, palladium, gold, iridium, rhodium, ruthenium, and some non-noble metals, including tungsten, are reviewed and compared

  17. Application of CBD-Zinc Sulfide Film as an Antireflection Coating on Very Large Area Multicrystalline Silicon Solar Cell

    OpenAIRE

    U. Gangopadhyay; K. Kim; S. K. Dhungel; H. Saha; J. Yi

    2007-01-01

    The low-cost chemical bath deposition (CBD) technique is used to prepare CBD-ZnS films as antireflective (AR) coating for multicrystalline silicon solar cells. The uniformity of CBD-ZnS film on large area of textured multicrystalline silicon surface is the major challenge of CBD technique. In the present work, attempts have been made for the first time to improve the rate of deposition and uniformity of deposited film by controlling film stoichiometry and refractive index and also to minimize...

  18. Structural and electronic characterization of 355 nm laser-crystallized silicon: Interplay of film thickness and laser fluence

    International Nuclear Information System (INIS)

    Semler, Matthew R.; Swenson, Orven F.; Hoey, Justin M.; Guruvenket, Srinivasan; Gette, Cody R.; Hobbie, Erik K.

    2014-01-01

    We present a detailed study of the laser crystallization of amorphous silicon thin films as a function of laser fluence and film thickness. Silicon films grown through plasma-enhanced chemical vapor deposition were subjected to a Q-switched, diode-pumped solid-state laser operating at 355 nm. The crystallinity, morphology, and optical and electronic properties of the films are characterized through transmission and reflectance spectroscopy, resistivity measurements, Raman spectroscopy, X-ray diffraction, atomic force microscopy, and optical and scanning-electron microscopy. Our results reveal a unique surface morphology that strongly couples to the electronic characteristics of the films, with a minimum laser fluence at which the film properties are optimized. A simple scaling model is used to relate film morphology to conductivity in the laser-processed films

  19. INFLUENCE OF ELECTROPOLYMERIZATION METHOD ON MORPHOLOGIES AND CAPACITIVE PROPERTIES OF POLYPYRROLE FILMS GROWING ON SILICON

    OpenAIRE

    IMENE CHIKOUCHE; ALI SAHARI; AHMED ZOUAOUI

    2014-01-01

    Two methods of Pyrrole electropolymerization were investigated to prepare polypyrrole films growing onto n-doped silicon n-Si (111): Polypyrrole films prepared by galvanostatic method exhibits toroidal morphology for thin films, and mixture of toroidal and globular morphologies for thick films. Polypyrrole films obtained from this method were characterized by lower surface roughness. Electropolymerization of pyrrole by potentiodynamic method provided Polypyrrole films with beans-like structur...

  20. Electrochromism of the electroless deposited cuprous oxide films

    International Nuclear Information System (INIS)

    Neskovska, R.; Ristova, M.; Velevska, J.; Ristov, M.

    2007-01-01

    Thin cuprous oxide films were prepared by a low cost, chemical deposition (electroless) method onto glass substrates pre-coated with fluorine doped tin oxide. The X-ray diffraction pattern confirmed the Cu 2 O composition of the films. Visible transmittance spectra of the cuprous oxide films were studied for the as-prepared, colored and bleached films. The cyclic voltammetry study showed that those films exhibited cathode coloring electrochromism, i.e. the films showed change of color from yellowish to black upon application of an electric field. The transmittance across the films for laser light of 670 nm was found to change due to the voltage change for about 50%. The coloration memory of those films was also studied during 6 h, ex-situ. The coloration efficiency at 670 nm was calculated to be 37 cm 2 /C