WorldWideScience

Sample records for silicon trench sidewalls

  1. Study of shallow trench isolation technology with a poly-Si sidewall buffer layer

    International Nuclear Information System (INIS)

    Juang, M H; Chen, C L; Jang, S L

    2008-01-01

    Shallow trench isolation (STI) technology with a poly-Si buffer layer at the trench sidewall has been studied. At the densification temperature of 950 °C, for the samples without using a poly-Si buffer layer, the resulting junction shows a leakage of about 700 nA cm −2 for a diode area of 100 × 100 µm 2 , primarily due to large peripheral junction leakage. The large leakage is ascribed to the defect generation caused by a thermally induced stress near the trench sidewall. The usage of a poly-Si buffer layer in the trench sidewall is found to significantly improve the junction characteristics. As a result, when a 40 nm poly-Si buffer layer is sandwiched between the Si substrate and the trench-fill silicon oxide, the resultant junctions show a leakage of only about 8 nA cm −2 . This result may reflect the considerably reduced thermally induced stress near the trench sidewall. Furthermore, at the densification temperature of 1100 °C, the usage of a poly-Si buffer layer can help to achieve excellent junctions with a leakage smaller than 5 nA cm −2 for a diode area of 100 × 100 µm 2

  2. Atomic force microscopy deep trench and sidewall imaging with an optical fiber probe

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Hui, E-mail: xiehui@hit.edu.cn; Hussain, Danish; Yang, Feng [The State Key Laboratory of Robotics and Systems, Harbin Institute of Technology, 2 Yikuang, 150080 Harbin (China); Sun, Lining [The State Key Laboratory of Robotics and Systems, Harbin Institute of Technology, 2 Yikuang, 150080 Harbin (China); Robotics and Microsystems Center, Soochow University, 215021 Suzhou (China)

    2014-12-15

    We report a method to measure critical dimensions of micro- and nanostructures using the atomic force microscope (AFM) with an optical fiber probe (OFP). This method is capable of scanning narrow and deep trenches due to the long and thin OFP tip, as well as imaging of steep sidewalls with unique profiling possibilities by laterally tilting the OFP without any modifications of the optical lever. A switch control scheme is developed to measure the sidewall angle by flexibly transferring feedback control between the Z- and Y-axis, for a serial scan of the horizontal surface (raster scan on XY-plane) and sidewall (raster scan on the YZ-plane), respectively. In experiments, a deep trench with tapered walls (243.5 μm deep) and a microhole (about 14.9 μm deep) have been imaged with the orthogonally aligned OFP, as well as a silicon sidewall (fabricated by deep reactive ion etching) has been characterized with the tilted OFP. Moreover, the sidewall angle of TGZ3 (AFM calibration grating) was accurately measured using the switchable scan method.

  3. Effect of surface roughness of trench sidewalls on electrical properties in 4H-SiC trench MOSFETs

    Science.gov (United States)

    Kutsuki, Katsuhiro; Murakami, Yuki; Watanabe, Yukihiko; Onishi, Toru; Yamamoto, Kensaku; Fujiwara, Hirokazu; Ito, Takahiro

    2018-04-01

    The effects of the surface roughness of trench sidewalls on electrical properties have been investigated in 4H-SiC trench MOSFETs. The surface roughness of trench sidewalls was well controlled and evaluated by atomic force microscopy. The effective channel mobility at each measurement temperature was analyzed on the basis of the mobility model including optical phonon scattering. The results revealed that surface roughness scattering had a small contribution to channel mobility, and at the arithmetic average roughness in the range of 0.4-1.4 nm, there was no correlation between the experimental surface roughness and the surface roughness scattering mobility. On the other hand, the characteristics of the gate leakage current and constant current stress time-dependent dielectric breakdown tests demonstrated that surface morphology had great impact on the long-term reliability of gate oxides.

  4. Friction, adhesion and wear properties of PDMS films on silicon sidewalls

    International Nuclear Information System (INIS)

    Penskiy, I; Gerratt, A P; Bergbreiter, S

    2011-01-01

    This paper demonstrates the first tests of friction, adhesion and wear properties of thin poly(dimethylsiloxane) (PDMS) films on the sidewalls of silicon-on-insulator structures. The test devices were individually calibrated using a simple method that included optical and electrical measurements. The static coefficient of friction versus normal pressure curves were obtained for PDMS–PDMS, PDMS–silicon and silicon–silicon sidewall interfaces. The effects of aging on friction and adhesion properties of PDMS were also evaluated. The results of friction tests showed that the static coefficient of friction follows the JKR contact model, which means that the friction force depends on the apparent area of contact. The wear tests showed high resistance of PDMS to abrasion over millions of cycles.

  5. A parylene-filled-trench technique for thermal isolation in silicon-based microdevices

    International Nuclear Information System (INIS)

    Lei Yinhua; Wang Wei; Li Ting; Jin Yufeng; Zhang Haixia; Li Zhihong; Yu Huaiqiang; Luo Yingcun

    2009-01-01

    Microdevices prepared in a silicon substrate have been widely used in versatile fields due to the matured silicon-based microfabrication technique and the excellent physical properties of silicon material. However, the high thermal conductivity of silicon restricts its application in most thermal microdevices, especially devices comprising different temperature zones. In this work, a parylene-filled-trench technique was optimized to realize high-quality thermal isolation in silicon-based microdevices. Parylene C, a heat transfer barricading material, was deposited on parallel high-aspect-ratio trenches, which surrounded the isolated target zones. After removing the remnant silicon beneath the trenches by deep reactive ion etching from the back side, a high-quality heat transfer barrier was obtained. By using narrow trenches, only 5 µm thick parylene was required for a complete filling, which facilitated multi-layer interconnection thereafter. The parylene filling performance inside the high-aspect-ratio trench was optimized by two approaches: multiple etch–deposition cycling and trench profile controlling. A 4 × 6 array, in which each unit was kept at a constant temperature and was well thermally isolated individually, was achieved on a silicon substrate by using the present parylene-filled-trench technique. The preliminary experimental results indicated that the present parylene-filled-trench structure exhibited excellent thermal isolation performance, with a very low power requirement of 0.134 mW (K mm 2 ) −1 for heating the isolated silicon unit and a high thermal isolation efficiency of 72.5% between two adjacent units. Accompanied with high-quality isolation performance, the microdevices embedded the present parylene-filled-trench structure to retain a strong mechanical connection larger than 400 kPa between two isolated zones, which is very important for a high-reliability-required micro-electro-mechanical-system (MEMS) device. Considering its room

  6. Analysis of Side-Wall Structure of Grown-in Twin-Type Octahedral Defects in Czochralski Silicon

    Science.gov (United States)

    Ueki, Takemi; Itsumi, Manabu; Takeda, Tadao

    1998-04-01

    We analyzed the side-wall structure of grown-in octahedral defects in Czochralski silicon standard wafers for large-scale integrated circuits. There are two types of twin octahedral defects: an overlapping type and an adjacent type. In the twin octahedral defects of the overlapping type, a hole is formed in the connection part. The side-wall layer in the hole part is formed continually and is the same thickness as the side-wall layers of both octahedrons. In the twin octahedral defects of the adjacent type, a partition layer is formed in the connection part. Our electron energy-loss spectroscopy analyses identified that the side-wall layer includes SiO2.

  7. Novel vertical silicon photodiodes based on salicided polysilicon trenched contacts

    International Nuclear Information System (INIS)

    Kaminski, Yelena; Shauly, Eitan; Paz, Yaron

    2015-01-01

    The classical concept of silicon photodiodes comprises of a planar design characterized by heavily doped emitters. Such geometry has low collection efficiency of the photons absorbed close to the surface. An alternative, promising, approach is to use a vertical design. Nevertheless, realization of such design is technologically challenged, hence hardly explored. Herein, a novel type of silicon photodiodes, based on salicided polysilicon trenched contacts, is presented. These contacts can be prepared up to 10 μm in depth, without showing any leakage current associated with the increase in the contact area. Consequently, the trenched photodiodes revealed better performance than no-trench photodiodes. A simple two dimensional model was developed, allowing to estimate the conditions under which a vertical design has the potential to have better performance than that of a planar design. At large, the deeper the trench is, the better is the vertical design relative to the planar (up to 10 μm for silicon). The vertical design is more advantageous for materials characterized by short diffusion lengths of the carriers. Salicided polysilicon trenched contacts open new opportunities for the design of solar cells and image sensors. For example, these contacts may passivate high contact area buried contacts, by virtue of the conformity of polysilicon interlayer, thus lowering the via resistance induced recombination enhancement effect

  8. Novel vertical silicon photodiodes based on salicided polysilicon trenched contacts

    Energy Technology Data Exchange (ETDEWEB)

    Kaminski, Yelena [Department of Chemical Engineering, Technion, Haifa (Israel); TowerJazz Ltd. Migdal Haemek (Israel); Shauly, Eitan [TowerJazz Ltd. Migdal Haemek (Israel); Paz, Yaron, E-mail: paz@tx.technion.ac.il [Department of Chemical Engineering, Technion, Haifa (Israel)

    2015-12-07

    The classical concept of silicon photodiodes comprises of a planar design characterized by heavily doped emitters. Such geometry has low collection efficiency of the photons absorbed close to the surface. An alternative, promising, approach is to use a vertical design. Nevertheless, realization of such design is technologically challenged, hence hardly explored. Herein, a novel type of silicon photodiodes, based on salicided polysilicon trenched contacts, is presented. These contacts can be prepared up to 10 μm in depth, without showing any leakage current associated with the increase in the contact area. Consequently, the trenched photodiodes revealed better performance than no-trench photodiodes. A simple two dimensional model was developed, allowing to estimate the conditions under which a vertical design has the potential to have better performance than that of a planar design. At large, the deeper the trench is, the better is the vertical design relative to the planar (up to 10 μm for silicon). The vertical design is more advantageous for materials characterized by short diffusion lengths of the carriers. Salicided polysilicon trenched contacts open new opportunities for the design of solar cells and image sensors. For example, these contacts may passivate high contact area buried contacts, by virtue of the conformity of polysilicon interlayer, thus lowering the via resistance induced recombination enhancement effect.

  9. Light-absorbent liquid immersion angled exposure for patterning 3D samples with vertical sidewalls

    International Nuclear Information System (INIS)

    Kumagai, Shinya; Kubo, Hironori; Sasaki, Minoru

    2017-01-01

    To make photolithography patterns on 3D samples, the angled (inclined) exposure technique has been used so far. However, technological issues have emerged in making photolithography patterns on the surface of trench structures. The surface of the trench structures can be covered with a photoresist film by spray-coating but the photoresist film deposited on the sidewalls and bottom of the trench is generally thin. The thin photoresist film deposited inside the trench has been easily overdosed. Moreover, irregular patterns have frequently been formed by the light reflected inside the trench. In this study, we have developed liquid immersion photolithography using a light-absorbent material. The light-reflection inside the trench was suppressed. Various patterns were transferred in the photoresist film deposited on the trench structures which had an aspect ratio of 0.74. Compared to immersion photolithography using pure water under p -polarization light control, the light-absorbent liquid immersion photolithography developed here patterned well the surfaces of the trench sidewalls and bottom. (paper)

  10. Single-crystal-silicon-based microinstrument to study friction and wear at MEMS sidewall interfaces

    International Nuclear Information System (INIS)

    Ansari, N; Ashurst, W R

    2012-01-01

    Since the advent of microelectromechanical systems (MEMS) technology, friction and wear are considered as key factors that determine the lifetime and reliability of MEMS devices that contain contacting interfaces. However, to date, our knowledge of the mechanisms that govern friction and wear in MEMS is insufficient. Therefore, systematically investigating friction and wear at MEMS scale is critical for the commercial success of many potential MEMS devices. Specifically, since many emerging MEMS devices contain more sidewall interfaces, which are topographically and chemically different from in-plane interfaces, studying the friction and wear characteristics of MEMS sidewall surfaces is important. The microinstruments that have been used to date to investigate the friction and wear characteristics of MEMS sidewall surfaces possess several limitations induced either by their design or the structural film used to fabricate them. Therefore, in this paper, we report on a single-crystal-silicon-based microinstrument to study the frictional and wear behavior of MEMS sidewalls, which not only addresses some of the limitations of other microinstruments but is also easy to fabricate. The design, modeling and fabrication of the microinstrument are described in this paper. Additionally, the coefficients of static and dynamic friction of octadecyltrichlorosilane-coated sidewall surfaces as well as sidewall surfaces with only native oxide on them are also reported in this paper. (paper)

  11. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  12. Magnetron target designs to improve wafer edge trench filling in ionized metal physical vapor deposition

    International Nuclear Information System (INIS)

    Lu Junqing; Yoon, Jae-Hong; Shin, Keesam; Park, Bong-Gyu; Yang Lin

    2006-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed. The model was validated based on the agreement between the model predictions and the reported experimental values for the asymmetric metal deposition at trench sidewalls near the wafer edge for a 200 mm wafer. This model could predict the thickness of the metal deposits across the wafer, the symmetry of the deposits on the trench sidewalls at any wafer location, and the angular distributions of the metal fluxes arriving at any wafer location. The model predictions for the 300 mm wafer indicate that as the target-to-wafer distance is shortened, the deposit thickness increases and the asymmetry decreases, however the overall uniformity decreases. Up to reasonable limits, increasing the target size and the sputtering intensity for the outer target portion significantly improves the uniformity across the wafer and the symmetry on the trench sidewalls near the wafer edge

  13. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa

    2013-05-30

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  14. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto; Sevilla, Galo T.

    2013-01-01

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  15. Nanostructured 2D cellular materials in silicon by sidewall transfer lithography NEMS

    Science.gov (United States)

    Syms, Richard R. A.; Liu, Dixi; Ahmad, Munir M.

    2017-07-01

    Sidewall transfer lithography (STL) is demonstrated as a method for parallel fabrication of 2D nanostructured cellular solids in single-crystal silicon. The linear mechanical properties of four lattices (perfect and defected diamond; singly and doubly periodic honeycomb) with low effective Young’s moduli and effective Poisson’s ratio ranging from positive to negative are modelled using analytic theory and the matrix stiffness method with an emphasis on boundary effects. The lattices are fabricated with a minimum feature size of 100 nm and an aspect ratio of 40:1 using single- and double-level STL and deep reactive ion etching of bonded silicon-on-insulator. Nanoelectromechanical systems (NEMS) containing cellular materials are used to demonstrate stretching, bending and brittle fracture. Predicted edge effects are observed, theoretical values of Poisson’s ratio are verified and failure patterns are described.

  16. A metallic buried interconnect process for through-wafer interconnection

    International Nuclear Information System (INIS)

    Ji, Chang-Hyeon; Herrault, Florian; Allen, Mark G

    2008-01-01

    In this paper, we present the design, fabrication process and experimental results of electroplated metal interconnects buried at the bottom of deep silicon trenches with vertical sidewalls. A manual spray-coating process along with a unique trench-formation process has been developed for the electroplating of a metal interconnection structure at the bottom surface of the deep trenches. The silicon etch process combines the isotropic dry etch process and conventional Bosch process to fabricate a deep trench with angled top-side edges and vertical sidewalls. The resulting trench structure, in contrast to the trenches fabricated by wet anisotropic etching, enables spray-coated photoresist patterning with good sidewall and top-side edge coverage while maintaining the ability to form a high-density array of deep trenches without excessive widening of the trench opening. A photoresist spray-coating process was developed and optimized for the formation of electroplating mold at the bottom of 300 µm deep trenches having vertical sidewalls. A diluted positive tone photoresist with relatively high solid content and multiple coating with baking between coating steps has been experimentally proven to provide high quality sidewall and edge coverage. To validate the buried interconnect approach, a three-dimensional daisy chain structure having a buried interconnect as the bottom connector and traces on the wafer surface as the top conductor has been designed and fabricated

  17. Method of reducing tungsten selectivity to a contact sidewall

    International Nuclear Information System (INIS)

    Smith, G.C.

    1990-01-01

    This patent describes a method for forming a contact plug on a surface of a semiconductor body. It comprises: forming a dielectric layer over the surface of the semiconductor body, the dielectric layer having an aperture therethrough with sidewalls comprising silicon nitride; depositing a metal into the aperture in such a manner that the metal deposits upon the silicon nitride of the sidewalls of the aperture at a substantially greater rate than upon the surface of the dielectric layer

  18. Highly nonlinear sub-micron silicon nitride trench waveguide coated with gold nanoparticles

    Science.gov (United States)

    Huang, Yuewang; Zhao, Qiancheng; Sharac, Nicholas; Ragan, Regina; Boyraz, Ozdal

    2015-05-01

    We demonstrate the fabrication of a highly nonlinear sub-micron silicon nitride trench waveguide coated with gold nanoparticles for plasmonic enhancement. The average enhancement effect is evaluated by measuring the spectral broadening effect caused by self-phase-modulation. The nonlinear refractive index n2 was measured to be 7.0917×10-19 m2/W for a waveguide whose Wopen is 5 μm. Several waveguides at different locations on one wafer were measured in order to take the randomness of the nanoparticle distribution into consideration. The largest enhancement is measured to be as high as 10 times. Fabrication of this waveguide started with a MEMS grade photomask. By using conventional optical lithography, the wide linewidth was transferred to a wafer. Then the wafer was etched anisotropically by potassium hydroxide (KOH) to engrave trapezoidal trenches with an angle of 54.7º. Side wall roughness was mitigated by KOH etching and thermal oxidation that was used to generate a buffer layer for silicon nitride waveguide. The guiding material silicon nitride was then deposited by low pressure chemical vapor deposition. The waveguide was then patterned with a chemical template, with 20 nm gold particles being chemically attached to the functionalized poly(methyl methacrylate) domains. Since the particles attached only to the PMMA domains, they were confined to localized regions, therefore forcing the nanoparticles into clusters of various numbers and geometries. Experiments reveal that the waveguide has negligible nonlinear absorption loss, and its nonlinear refractive index can be greatly enhanced by gold nano clusters. The silicon nitride trench waveguide has large nonlinear refractive index, rendering itself promising for nonlinear applications.

  19. Single-electron transistors fabricated with sidewall spacer patterning

    Science.gov (United States)

    Park, Byung-Gook; Kim, Dae Hwan; Kim, Kyung Rok; Song, Ki-Whan; Lee, Jong Duk

    2003-09-01

    We have implemented a sidewall spacer patterning method for novel dual-gate single-electron transistor (DGSET) and metal-oxide-semiconductor-based SET (MOSET) based on the uniform SOI wire, using conventional lithography and processing technology. A 30 nm wide silicon quantum wire is defined by a sidewall spacer patterning method, and depletion gates for two tunnel junctions of the DGSET are formed by the doped polycrystalline silicon sidewall. The fabricated DGSET and MOSET show clear single-electron tunneling phenomena at liquid nitrogen temperature and insensitivity of the Coulomb oscillation period to gate bias conditions. On the basis of the phase control capability of the sidewall depletion gates, we have proposed a complementary self-biasing method, which enables the SET/CMOS hybrid multi-valued logic (MVL) to operate perfectly well at high temperature, where the peak-to-valley current ratio of Coulomb oscillation severely decreases. The suggested scheme is evaluated by SPICE simulation with an analytical DGSET model, and it is confirmed that even DGSETs with a large Si island can be utilized efficiently in the multi-valued logic.

  20. Uniform nano-ripples on the sidewall of silicon carbide micro-hole fabricated by femtosecond laser irradiation and acid etching

    Energy Technology Data Exchange (ETDEWEB)

    Khuat, Vanthanh [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China); Le Quy Don Technical University, No. 100, Hoang Quoc Viet Street, Hanoi 7EN-248 (Viet Nam); Chen, Tao; Gao, Bo; Si, Jinhai, E-mail: jinhaisi@mail.xjtu.edu.cn; Ma, Yuncan; Hou, Xun [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China)

    2014-06-16

    Uniform nano-ripples were observed on the sidewall of micro-holes in silicon carbide fabricated by 800-nm femtosecond laser and chemical selective etching. The morphology of the ripple was analyzed using scanning electronic microscopy. The formation mechanism of the micro-holes was attributed to the chemical reaction of the laser affected zone with mixed solution of hydrofluoric acid and nitric acid. The formation of nano-ripples on the sidewall of the holes could be attributed to the standing wave generated in z direction due to the interference between the incident wave and the reflected wave.

  1. Electrochemical trench etching of silicon triggered via mechanical nanocontacts

    Energy Technology Data Exchange (ETDEWEB)

    Gassilloud, R.; Michler, J. [EMPA, Materials Science and Technology, Feuerwerkerstrasse 39, CH-3602 Thun (Switzerland); Schmuki, P. [Department of Materials Science, LKO, University of Erlangen-Nuernberg, Martensstrasse 7, D-91058 Erlangen (Germany)

    2007-12-01

    We report a method to produce microstructures on silicon wafers using a microscratching technique followed by a subsequent electrochemical trench etching in hydrofluoric-based electrolyte. Micro-scratches are used to trigger macropore formation. We show that mask-less dissolved trenches with aspect ratios up to 1:7 are formed at the scratched regions on (0 0 1)Si surface. The micro-scratches orientate the macropores formation by aligning them in the scratching direction. We propose that dislocations formed during scratching are firstly dissolved leading to the formation of V-shape grooves. The V-shape geometries obtained by this way are used to initiate the macropores nucleation; i.e. due to the geometry, an avalanche current occurs at the grooves base and thus induces local dissolutions of the substrate. High rate local dissolutions are achieved by back-side illumination of the Si wafer. (author)

  2. Influence of geometrical and electrical parameters of masking layers on the electrochemical etching of silicon for single trench formation

    International Nuclear Information System (INIS)

    Gautier, G; Ventura, L; Jerisian, R

    2005-01-01

    Deep single trenches can be produced at the edge of apertures of protective films masking the surface of silicon samples. This macropore formation, from polarized HF based solutions, is electrically activated depending on the mask geometrical and physical parameters whatever the silicon type or the electrolyte composition. The mask thickness increase is known to induce deeper trenches. In this paper, we show that we can predict and localize this phenomenon by simulating two dimensional hole current distributions below the mask. We demonstrate also the influence of the material permittivity on trench depth. These 2D simulation results are correlated with experimental results

  3. Sensor assembly method using silicon interposer with trenches for three-dimensional binocular range sensors

    Science.gov (United States)

    Nakajima, Kazuhiro; Yamamoto, Yuji; Arima, Yutaka

    2018-04-01

    To easily assemble a three-dimensional binocular range sensor, we devised an alignment method for two image sensors using a silicon interposer with trenches. The trenches were formed using deep reactive ion etching (RIE) equipment. We produced a three-dimensional (3D) range sensor using the method and experimentally confirmed that sufficient alignment accuracy was realized. It was confirmed that the alignment accuracy of the two image sensors when using the proposed method is more than twice that of the alignment assembly method on a conventional board. In addition, as a result of evaluating the deterioration of the detection performance caused by the alignment accuracy, it was confirmed that the vertical deviation between the corresponding pixels in the two image sensors is substantially proportional to the decrease in detection performance. Therefore, we confirmed that the proposed method can realize more than twice the detection performance of the conventional method. Through these evaluations, the effectiveness of the 3D binocular range sensor aligned by the silicon interposer with the trenches was confirmed.

  4. Ultrahigh-density trench cpacitors in silicon and their application to integrated DC-DC conversion

    NARCIS (Netherlands)

    Roozeboom, F.; Bergveld, H.J.; Nowak, K.; Le Cornec, F.; Guiraud, L.; Bunel, C.; Iochem, S.; Ferreira, J.; Ledain, S.; Pieraerts, E.; Pommier, M.

    2009-01-01

    This paper addresses silicon-based integration of passive components applied to 3D integration with dies of other technologies within one package. Particularly, the development of high-density trench capacitors has enabled the realization of small-formfactor DC-DC converters. As illustration, an

  5. High Aspect Ratio Sub-15 nm Silicon Trenches From Block Copolymer Templates

    Science.gov (United States)

    Gu, Xiaodan; Liu, Zuwei; Gunkel, Ilja; Olynick, Deirdre; Russell, Thomas; University of Massachusetts Amherst Collaboration; Oxford Instrument Collaboration; Lawrence Berkeley National Lab Collaboration

    2013-03-01

    High-aspect-ratio sub-15 nm silicon trenches are fabricated directly from plasma etching of a block copolymer (BCP) mask. Polystyrene-b-poly(2-vinyl pyridine) (PS-b-P2VP) 40k-b-18k was spin coated and solvent annealed to form cylindrical structures parallel to the silicon substrate. The BCP thin film was reconstructed by immersion in ethanol and then subjected to an oxygen and argon reactive ion etching to fabricate the polymer mask. A low temperature ion coupled plasma with sulfur hexafluoride and oxygen was used to pattern transfer block copolymer structure to silicon with high selectivity (8:1) and fidelity. The silicon pattern was characterized by scanning electron microscopy and grazing incidence x-ray scattering. We also demonstrated fabrication of silicon nano-holes using polystyrene-b-polyethylene oxide (PS-b-PEO) using same methodology described above for PS-b-P2VP. Finally, we show such silicon nano-strucutre serves as excellent nano-imprint master template to pattern various functional materials like poly 3-hexylthiophene (P3HT).

  6. Fabrication of a vertical sidewall using double-sided anisotropic etching of 〈1 0 0〉 oriented silicon

    International Nuclear Information System (INIS)

    Kim, Hyun-Seok; Bang, Yong-Seung; Song, Eun-Seok; Kim, Yong-Kweon; Kim, Jung-Mu; Ji, Chang-Hyeon

    2012-01-01

    A double-sided wet etch process has been proposed to fabricate vertical structures in 〈1 0 0〉 oriented silicon substrate. Both sides of a {1 0 0} silicon wafer have been patterned identically along the 〈1 1 0〉 direction, and etched using potassium hydroxide (KOH) solution. By precisly controlling the etch time, using etch-timer structure and additive control, structures with smooth and vertical {1 1 0} sidewalls have been fabricated at the edges of a rectangular opening without undercut. Rectangular through-holes, bridges and cantilevers have been constructed using the proposed process. The measured average surface roughness of the vertical sidewall was 481 nm, which has been further reduced to 217 nm and 218 nm by postetching using a KOH–IPA and TMAH–Triton mixture, respectively. Slanted {4 1 1} planes exposed at the concave corners during the vertical etch process have been successfully removed or diminished by the postetching process. A bridge structure with a high aspect ratio of 39:1 has been fabricated, and cantilevers without undercutting were successfully constructed by applying the compensation technique. The proposed process can potentially be utilized in place of the deep reactive ion etching process for the fabrication of structures having vertical through-holes, such as through-silicon vias, high aspect ratio springs and filters for microfluidic applications. (paper)

  7. Deep Reactive Ion Etching for High Aspect Ratio Microelectromechanical Components

    DEFF Research Database (Denmark)

    Jensen, Søren; Yalcinkaya, Arda Deniz; Jacobsen, S.

    2004-01-01

    A deep reactive ion etch (DRIE) process for fabrication of high aspect ratio trenches has been developed. Trenches with aspect ratios exceeding 20 and vertical sidewalls with low roughness have been demonstrated. The process has successfully been used in the fabrication of silicon-on-insulator (SOI...

  8. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  9. Process optimization of a deep trench isolation structure for high voltage SOI devices

    International Nuclear Information System (INIS)

    Zhu Kuiying; Qian Qinsong; Zhu Jing; Sun Weifeng

    2010-01-01

    The process reasons for weak point formation of the deep trench on SOI wafers have been analyzed in detail. An optimized trench process is also proposed. It is found that there are two main reasons: one is over-etching laterally of the silicon on the surface of the buried oxide caused by a fringe effect; and the other is the slow growth rate of the isolation oxide in the concave silicon corner of the trench bottom. In order to improve the isolation performance of the deep trench, two feasible ways for optimizing the trench process are proposed. The improved process thickens the isolation oxide and rounds sharp silicon corners at their weak points, increasing the applied voltage by 15-20 V at the same leakage current. The proposed new trench isolation process has been verified in the foundry's 0.5-μm HV SOI technology. (semiconductor devices)

  10. X-ray-to-current signal conversion characteristics of trench-structured photodiodes for direct-conversion-type silicon X-ray sensor

    International Nuclear Information System (INIS)

    Ariyoshi, Tetsuya; Funaki, Shota; Sakamoto, Kenji; Baba, Akiyoshi; Arima, Yutaka

    2017-01-01

    To reduce the radiation dose required in medical X-ray diagnoses, we propose a high-sensitivity direct-conversion-type silicon X-ray sensor that uses trench-structured photodiodes. This sensor is advantageous in terms of its long device lifetime, noise immunity, and low power consumption because of its low bias voltage. With this sensor, it is possible to detect X-rays with almost 100% efficiency; sensitivity can therefore be improved by approximately 10 times when compared with conventional indirect-conversion-type sensors. In this study, a test chip was fabricated using a single-poly single-metal 0.35 μm process. The formed trench photodiodes for the X-ray sensor were approximately 170 and 300 μm deep. At a bias voltage of 25 V, the absorbed X-ray-to-current signal conversion efficiencies were 89.3% (theoretical limit; 96.7%) at a trench depth of 170 μm and 91.1% (theoretical limit; 94.3%) at a trench depth of 300 μm. (author)

  11. Using open sidewalls for modelling self-consistent lithosphere subduction dynamics

    Directory of Open Access Journals (Sweden)

    M. V. Chertova

    2012-10-01

    Full Text Available Subduction modelling in regional model domains, in 2-D or 3-D, is commonly performed using closed (impermeable vertical boundaries. Here we investigate the merits of using open boundaries for 2-D modelling of lithosphere subduction. Our experiments are focused on using open and closed (free slip sidewalls while comparing results for two model aspect ratios of 3:1 and 6:1. Slab buoyancy driven subduction with open boundaries and free plates immediately develops into strong rollback with high trench retreat velocities and predominantly laminar asthenospheric flow. In contrast, free-slip sidewalls prove highly restrictive on subduction rollback evolution, unless the lithosphere plates are allowed to move away from the sidewalls. This initiates return flows pushing both plates toward the subduction zone speeding up subduction. Increasing the aspect ratio to 6:1 does not change the overall flow pattern when using open sidewalls but only the flow magnitude. In contrast, for free-slip boundaries, the slab evolution does change with respect to the 3:1 aspect ratio model and slab evolution does not resemble the evolution obtained with open boundaries using 6:1 aspect ratio. For models with open side boundaries, we could develop a flow-speed scaling based on energy dissipation arguments to convert between flow fields of different model aspect ratios. We have also investigated incorporating the effect of far-field generated lithosphere stress in our open boundary models. By applying realistic normal stress conditions to the strong part of the overriding plate at the sidewalls, we can transfer intraplate stress to influence subduction dynamics varying from slab roll-back, stationary subduction, to advancing subduction. The relative independence of the flow field on model aspect ratio allows for a smaller modelling domain. Open boundaries allow for subduction to evolve freely and avoid the adverse effects (e.g. forced return flows of free-slip boundaries. We

  12. Hydrogen-terminated diamond vertical-type metal oxide semiconductor field-effect transistors with a trench gate

    Energy Technology Data Exchange (ETDEWEB)

    Inaba, Masafumi, E-mail: inaba-ma@ruri.waseda.jp; Muta, Tsubasa; Kobayashi, Mikinori; Saito, Toshiki; Shibata, Masanobu; Matsumura, Daisuke; Kudo, Takuya; Hiraiwa, Atsushi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kawarada, Hiroshi [Graduate School of Science and Engineering, Waseda University, 3-4-1 Okubo, Shinjuku, Tokyo 169-8555 (Japan); Kagami Memorial Laboratory for Materials Science and Technology, Waseda University, 2-8-26 Nishiwaseda, Shinjuku, Tokyo 169-0051 (Japan)

    2016-07-18

    The hydrogen-terminated diamond surface (C-H diamond) has a two-dimensional hole gas (2DHG) layer independent of the crystal orientation. A 2DHG layer is ubiquitously formed on the C-H diamond surface covered by atomic-layer-deposited-Al{sub 2}O{sub 3}. Using Al{sub 2}O{sub 3} as a gate oxide, C-H diamond metal oxide semiconductor field-effect transistors (MOSFETs) operate in a trench gate structure where the diamond side-wall acts as a channel. MOSFETs with a side-wall channel exhibit equivalent performance to the lateral C-H diamond MOSFET without a side-wall channel. Here, a vertical-type MOSFET with a drain on the bottom is demonstrated in diamond with channel current modulation by the gate and pinch off.

  13. Analysis of OFF-state and ON-state performance in a silicon-on-insulator power MOSFET with a low-k dielectric trench

    International Nuclear Information System (INIS)

    Wang Zhigang; Zhang Bo; Li Zhaoji

    2013-01-01

    A novel silicon-on-insulator (SOI) MOSFET with a variable low-k dielectric trench (LDT MOSFET) is proposed and its performance and characteristics are investigated. The trench in the drift region between drain and source is filled with low-k dielectric to extend the effective drift region. At OFF state, the low-k dielectric trench (LDT) can sustain high voltage and enhance the dielectric field due to the accumulation of ionized charges. At the same time, the vertical dielectric field in the buried oxide can also be enhanced by these ionized charges. Additionally, ON-state analysis of LDT MOSFET demonstrates excellent forward characteristics, such as low gate-to-drain charge density ( 2 ) and a robust safe operating area (0–84 V). (semiconductor devices)

  14. Note: long range and accurate measurement of deep trench microstructures by a specialized scanning tunneling microscope.

    Science.gov (United States)

    Ju, Bing-Feng; Chen, Yuan-Liu; Zhang, Wei; Zhu, Wule; Jin, Chao; Fang, F Z

    2012-05-01

    A compact but practical scanning tunneling microscope (STM) with high aspect ratio and high depth capability has been specially developed. Long range scanning mechanism with tilt-adjustment stage is adopted for the purpose of adjusting the probe-sample relative angle to compensate the non-parallel effects. A periodical trench microstructure with a pitch of 10 μm has been successfully imaged with a long scanning range up to 2.0 mm. More innovatively, a deep trench with depth and step height of 23.0 μm has also been successfully measured, and slope angle of the sidewall can approximately achieve 67°. The probe can continuously climb the high step and exploring the trench bottom without tip crashing. The new STM could perform long range measurement for the deep trench and high step surfaces without image distortion. It enables accurate measurement and quality control of periodical trench microstructures.

  15. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers

    International Nuclear Information System (INIS)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-01-01

    To develop x-ray mirrors for micropore optics, smooth silicon (111)sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 μm wide (111) sidewalls was fabricated using a 220 μm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time,x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements

  16. Micropore x-ray optics using anisotropic wet etching of (110) silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Mitsuda, Kazuhisa; Hoshino, Akio; Ishisaki, Yoshitaka; Yang, Zhen; Takano, Takayuki; Maeda, Ryutaro

    2006-12-10

    To develop x-ray mirrors for micropore optics, smooth silicon (111) sidewalls obtained after anisotropic wet etching of a silicon (110) wafer were studied. A sample device with 19 microm wide (111) sidewalls was fabricated using a 220 microm thick silicon (110) wafer and potassium hydroxide solution. For what we believe to be the first time, x-ray reflection on the (111) sidewalls was detected in the angular response measurement. Compared to ray-tracing simulations, the surface roughness of the sidewalls was estimated to be 3-5 nm, which is consistent with the atomic force microscope and the surface profiler measurements.

  17. Optimizing shape uniformity and increasing structure heights of deep reactive ion etched silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Wright, Jonathan; Simons, Hugh

    2015-01-01

    Line-focusing compound silicon x-ray lenses with structure heights exceeding 300 μm were fabricated using deep reactive ion etching. To ensure profile uniformity over the full height, a new strategy was developed in which the perimeter of the structures was defined by trenches of constant width....... The remaining sacrificial material inside the lens cavities was removed by etching through the silicon wafer. Since the wafers become fragile after through-etching, they were then adhesively bonded to a carrier wafer. Individual chips were separated using laser micro machining and the 3D shape of fabricated...... analysis, where a slight bowing of the lens sidewalls and an insufficiently uniform apex region are identified as resolution-limiting factors. Despite these, the proposed fabrication route proved a viable approach for producing x-ray lenses with large structure heights and provides the means to improve...

  18. Partial liquid-penetration inside a deep trench by film flowing over it

    Science.gov (United States)

    Nguyen, Phuc-Khanh; Dimakopoulos, Yiannis; Tsamopoulos, John

    2014-11-01

    Liquid film flow along substrates featuring a deep trench may not wet the trench floor, but create a second gas-liquid interface inside the trench. The liquid penetration inside the trench depends on the location and shape of this inner interface. The penetration increases by decreasing the two three-phase contact lines between the inner interface and the two side-walls or the flow rate and depends on the liquid properties. This partial-penetration is studied by employing the Galerkin / finite element method to solve the two-dimensional steady-state Navier-Stokes equations in a physical domain that is adaptively remeshed. Multiple branches of steady solutions connected via turning points are revealed by pseudo arc-length continuation. Flow hysteresis may occur in a certain range of liquid penetration depth, when the interaction of the two interfaces changes qualitatively. This induces an abrupt jump of penetration distance and deformation amplitude of the outer interface. Work supported by the General Secretariat of Research & Technology of Greece through the program ``Excellence'' (Grant No. 1918) in the framework ``Education and Lifelong Learning'' co-funded by the ESF.

  19. Design improvements on shallow-land burial trenches for disposing of low-level radioactive waste

    International Nuclear Information System (INIS)

    Takamura, E.S.; Salsman, J.M.

    1984-01-01

    The lack of success of closed low-level radioactive waste disposal sites has prompted the federal government to increase regulation of these facilities. In order to meet these increased requirements, several waste trench improvements are necessary. These improvements to the trench include sandy-clay caps, compacted sandy-clay bottoms, in-place geophysical instruments and vadose zone sampling equipment, and concrete sidewalls. These design improvements presented in this paper should increase the containment of the radionuclides by decreasing the waste contact with infiltrating groundwater. The design improves on the monitoring and sampling methods for detecting radionuclides transported through the leachate or gas effluent streams. 13 references, 4 figures

  20. Oblique patterned etching of vertical silicon sidewalls

    Science.gov (United States)

    Bruce Burckel, D.; Finnegan, Patrick S.; David Henry, M.; Resnick, Paul J.; Jarecki, Robert L.

    2016-04-01

    A method for patterning on vertical silicon surfaces in high aspect ratio silicon topography is presented. A Faraday cage is used to direct energetic reactive ions obliquely through a patterned suspended membrane positioned over the topography. The technique is capable of forming high-fidelity pattern (100 nm) features, adding an additional fabrication capability to standard top-down fabrication approaches.

  1. Ultra-low specific on-resistance SOI double-gate trench-type MOSFET

    International Nuclear Information System (INIS)

    Lei Tianfei; Luo Xiaorong; Ge Rui; Chen Xi; Wang Yuangang; Yao Guoliang; Jiang Yongheng; Zhang Bo; Li Zhaoji

    2011-01-01

    An ultra-low specific on-resistance (R on,sp ) silicon-on-insulator (SOI) double-gate trench-type MOSFET (DG trench MOSFET) is proposed. The MOSFET features double gates and an oxide trench: the oxide trench is in the drift region, one trench gate is inset in the oxide trench and one trench gate is extended into the buried oxide. Firstly, the double gates reduce R on,sp by forming dual conduction channels. Secondly, the oxide trench not only folds the drift region, but also modulates the electric field, thereby reducing device pitch and increasing the breakdown voltage (BV). ABV of 93 V and a R on,sp of 51.8 mΩ·mm 2 is obtained for a DG trench MOSFET with a 3 μm half-cell pitch. Compared with a single-gate SOI MOSFET (SG MOSFET) and a single-gate SOI MOSFET with an oxide trench (SG trench MOSFET), the R on,sp of the DG trench MOSFET decreases by 63.3% and 33.8% at the same BV, respectively. (semiconductor devices)

  2. High aspect ratio titanium nitride trench structures as plasmonic biosensor

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Repän, Taavi; Takayama, Osamu

    2017-01-01

    High aspect ratio titanium nitride (TiN) grating structures are fabricated by the combination of deep reactive ion etching (DRIE) and atomic layer deposition (ALD) techniques. TiN is deposited at 500 ◦C on a silicon trench template. Silicon between vertical TiN layers is selectively etched...... to fabricate the high aspect ratio TiN trenches with the pitch of 400 nm and height of around 2.7 µm. Dielectric functions of TiN films with different thicknesses of 18 - 105 nm and post-annealing temperatures of 700 - 900 ◦C are characterized by an ellipsometer. We found that the highest annealing temperature...... of 900 ◦C gives the most pronounced plasmonic behavior with the highest plasma frequency, ωp = 2.53 eV (λp = 490 nm). Such high aspect ratio trench structures function as a plasmonic grating sensor that supports the Rayleigh-Woods anomalies (RWAs), enabling the measurement of changes in the refractive...

  3. GaAs on Si epitaxy by aspect ratio trapping: Analysis and reduction of defects propagating along the trench direction

    Energy Technology Data Exchange (ETDEWEB)

    Orzali, Tommaso, E-mail: tommaso.orzali@sematech.org; Vert, Alexey; O' Brien, Brendan; Papa Rao, Satyavolu S. [SEMATECH, 257 Fuller Rd Suite 2200, Albany, New York 12203 (United States); Herman, Joshua L.; Vivekanand, Saikumar [College of Nanoscale Science and Engineering, SUNY Polytechnic Institute, 251 Fuller Road, Albany, New York 12203 (United States); Hill, Richard J. W. [Now at Micron Technologies, 8000 S Federal Way, Boise, Idaho 83716 (United States); Karim, Zia [AIXTRON, Inc., 1139 Karlstad Dr., Sunnyvale, California 94089 (United States)

    2015-09-14

    The Aspect Ratio Trapping technique has been extensively evaluated for improving the quality of III-V heteroepitaxial films grown on Si, due to the potential for terminating defects at the sidewalls of SiO{sub 2} patterned trenches that enclose the growth region. However, defects propagating along the trench direction cannot be effectively confined with this technique. We studied the effect of the trench bottom geometry on the density of defects of GaAs fins, grown by metal-organic chemical vapor deposition on 300 mm Si (001) wafers inside narrow (<90 nm wide) trenches. Plan view and cross sectional Scanning Electron Microscopy and Transmission Electron Microscopy, together with High Resolution X-Ray Diffraction, were used to evaluate the crystal quality of GaAs. The prevalent defects that reach the top surface of GaAs fins are (111) twin planes propagating along the trench direction. The lowest density of twin planes, ∼8 × 10{sup 8 }cm{sup −2}, was achieved on “V” shaped bottom trenches, where GaAs nucleation occurs only on (111) Si planes, minimizing the interfacial energy and preventing the formation of antiphase boundaries.

  4. Design criteria for XeF2 enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    KAUST Repository

    Hussain, Aftab M.

    2016-07-15

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF2) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  5. Design criteria for XeF2 enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    KAUST Repository

    Hussain, Aftab M.; Shaikh, Sohail F.; Hussain, Muhammad Mustafa

    2016-01-01

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF2) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  6. Evaluation of Anisotropic Biaxial Stress Induced Around Trench Gate of Si Power Transistor Using Water-Immersion Raman Spectroscopy

    Science.gov (United States)

    Suzuki, Takahiro; Yokogawa, Ryo; Oasa, Kohei; Nishiwaki, Tatsuya; Hamamoto, Takeshi; Ogura, Atsushi

    2018-05-01

    The trench gate structure is one of the promising techniques to reduce on-state resistance (R on) for silicon power devices, such as insulated gate bipolar transistors and power metal-oxide-semiconductor field-effect transistors. In addition, it has been reported that stress is induced around the trench gate area, modifying the carrier mobilities. We evaluated the one-dimensional distribution and anisotropic biaxial stress by quasi-line excitation and water-immersion Raman spectroscopy, respectively. The results clearly confirmed anisotropic biaxial stress in state-of-the-art silicon power devices. It is theoretically possible to estimate carrier mobility using piezoresistance coefficients and anisotropic biaxial stress. The electron mobility was increased while the hole mobility was decreased or remained almost unchanged in the silicon (Si) power device. The stress significantly modifies the R on of silicon power transistors. Therefore, their performance can be improved using the stress around the trench gate.

  7. Design criteria for XeF{sub 2} enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    Energy Technology Data Exchange (ETDEWEB)

    Hussain, Aftab M.; Shaikh, Sohail F.; Hussain, Muhammad M., E-mail: muhammadmustafa.hussain@kaust.edu.sa [Integrated Nanotechnology Laboratory (INL) and Integrated Disruptive Electronics Applications (IDEA) Laboratory, Computer Electrical Mathematical Science and Engineering Division, King Abdullah University of Science and Technology - KAUST, Thuwal 23955-6900 (Saudi Arabia)

    2016-07-15

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF{sub 2}) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  8. Radiation-enhanced short channel effects due to multi-dimensional influence from charge at trench isolation oxides

    International Nuclear Information System (INIS)

    Youk, G.U.; Khare, P.S.; Schrimpf, R.D.; Massengill, L.W.; Galloway, K.F.

    1999-01-01

    Radiation enhanced drain induced barrier lowering (DIBL) was experimentally observed and verified by 3-D simulations for submicron devices with trench isolation oxides. Submicron MOSFETs with shallow trench isolation were exposed to total-ionizing-dose radiation. Prior to irradiation, the devices exhibited near-ideal current-voltage characteristics, with no significant short-channel effects for as-drawn gate lengths of 0.4 microm. Following irradiation, the off-state leakage current increased significantly for total doses above about 650 krad(SiO 2 ). In addition, the irradiated devices exhibited DIBL that increased the drain current by 5--10x for a gate length of 0.4 microm (the nominal minimum gate length for this process) and much more for slightly shorter devices (0.35 microm). The increase in the off-state leakage current and the accompanying DIBL are shown to be associated with a parasitic field-effect transistor that is present at the edge of the shallow trench. Three-dimensional simulations are used to illustrate the effect. Simulations show that trapped charge at the trench sidewalls enhance the DIBL by depleting the edges of the channel. Radiation-induced charge may decrease the effectiveness of short-channel engineering

  9. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  10. Use of Functionalized Carbon Nanotubes for Covalent Attachment of Nanotubes to Silicon

    Science.gov (United States)

    Tour, James M.; Dyke, Christopher A.; Maya, Francisco; Stewart, Michael P.; Chen, Bo; Flatt, Austen K.

    2012-01-01

    The purpose of the invention is to covalently attach functionalized carbon nanotubes to silicon. This step allows for the introduction of carbon nanotubes onto all manner of silicon surfaces, and thereby introduction of carbon nano - tubes covalently into silicon-based devices, onto silicon particles, and onto silicon surfaces. Single-walled carbon nanotubes (SWNTs) dispersed as individuals in surfactant were functionalized. The nano - tube was first treated with 4-t-butylbenzenediazonium tetrafluoroborate to give increased solubility to the carbon nanotube; the second group attached to the sidewall of the nanotube has a silyl-protected terminal alkyne that is de-protected in situ. This gives a soluble carbon nanotube that has functional groups appended to the sidewall that can be attached covalently to silicon. This reaction was monitored by UV/vis/NJR to assure direct covalent functionalization.

  11. Filling high aspect ratio trenches by superconformal chemical vapor deposition: Predictive modeling and experiment

    Science.gov (United States)

    Wang, Wenjiao B.; Abelson, John R.

    2014-11-01

    Complete filling of a deep recessed structure with a second material is a challenge in many areas of nanotechnology fabrication. A newly discovered superconformal coating method, applicable in chemical vapor deposition systems that utilize a precursor in combination with a co-reactant, can solve this problem. However, filling is a dynamic process in which the trench progressively narrows and the aspect ratio (AR) increases. This reduces species diffusion within the trench and may drive the component partial pressures out of the regime for superconformal coating. We therefore derive two theoretical models that can predict the possibility for filling. First, we recast the diffusion-reaction equation for the case of a sidewall with variable taper angle. This affords a definition of effective AR, which is larger than the nominal AR due to the reduced species transport. We then derive the coating profile, both for superconformal and for conformal coating. The critical (most difficult) step in the filling process occurs when the sidewalls merge at the bottom of the trench to form the V shape. Experimentally, for the Mg(DMADB)2/H2O system and a starting AR = 9, this model predicts that complete filling will not be possible, whereas experimentally we do obtain complete filling. We then hypothesize that glancing-angle, long-range transport of species may be responsible for the better than predicted filling. To account for the variable range of species transport, we construct a ballistic transport model. This incorporates the incident flux from outside the structure, cosine law re-emission from surfaces, and line-of-sight transport between internal surfaces. We cast the transport probability between all positions within the trench into a matrix that represents the redistribution of flux after one cycle of collisions. Matrix manipulation then affords a computationally efficient means to determine the steady-state flux distribution and growth rate for a given taper angle. The

  12. Mechanical seal with textured sidewall

    Energy Technology Data Exchange (ETDEWEB)

    Khonsari, Michael M.; Xiao, Nian

    2017-02-14

    The present invention discloses a mating ring, a primary ring, and associated mechanical seal having superior heat transfer and wear characteristics. According to an exemplary embodiment of the present invention, one or more dimples are formed onto the cylindrical outer surface of a mating ring sidewall and/or a primary ring sidewall. A stationary mating ring for a mechanical seal assembly is disclosed. Such a mating ring comprises an annular body having a central axis and a sealing face, wherein a plurality of dimples are formed into the outer circumferential surface of the annular body such that the exposed circumferential surface area of the annular body is increased. The texture added to the sidewall of the mating ring yields superior heat transfer and wear characteristics.

  13. Friction characteristics of the curved sidewall surfaces of a rotary MEMS device in oscillating motion

    International Nuclear Information System (INIS)

    Wu, Jie; Wang, Shao; Miao, Jianmin

    2009-01-01

    A MEMS device with a configuration similar to that of a micro-bearing was developed to study the friction behavior of the curved sidewall surfaces. This friction-testing device consists of two sets of actuators for normal motion and rotation, respectively. Friction measurements were performed at the curved sidewall surfaces of single-crystal silicon. Two general models were developed to determine the equivalent tangential stiffness of the bush-flexure assembly at the contact point by reducing a matrix equation to a one-dimensional formulation. With this simplification, the motions of the contacting surfaces were analyzed by using a recently developed quasi-static stick-slip model. The measurement results show that the coefficient of static friction exhibits a nonlinear dependence on the normal load. The true coefficient of static friction was determined by fitting the experimental friction curve

  14. The Impact of the Shallow-Trench Isolation Effect on Flicker Noise of Source Follower MOSFETs in a CMOS Image Sensor.

    Science.gov (United States)

    Fan, C C; Chiu, Y C; Liu, C; Lai, W W; Cheng, C H; Lin, D L; Li, G R; Lo, Y H; Chang, C W; Tsai, C C; Chang, C Y

    2018-06-01

    The flicker noise of source follower transistors is the dominant noise source in image sensors. This paper reports a systematic study of the shallow trench isolation effect in transistors with different sizes under high temperature conditions that correspond to the quantity of empty defect sites. The effects of shallow trench isolation sidewall defects on flicker noise characteristics are investigated. In addition, the low-frequency noise and subthreshold swing degrade simultaneously in accordance to the device gate width scaling. Both serious subthreshold leakage and considerable noise can be attributed to the high trap density near the STI edge. Consequently, we propose a coincidental relationship between the noise level and the subthreshold characteristic; its trend is identical to the experiments and simulation results.

  15. Intermediate Bandgap Solar Cells From Nanostructured Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Black, Marcie [Bandgap Engineering, Lincoln, MA (United States)

    2014-10-30

    This project aimed to demonstrate increased electronic coupling in silicon nanostructures relative to bulk silicon for the purpose of making high efficiency intermediate bandgap solar cells using silicon. To this end, we formed nanowires with controlled crystallographic orientation, small diameter, <111> sidewall faceting, and passivated surfaces to modify the electronic band structure in silicon by breaking down the symmetry of the crystal lattice. We grew and tested these silicon nanowires with <110>-growth axes, which is an orientation that should produce the coupling enhancement.

  16. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  17. Iridium-coated micropore x-ray optics using dry etching of a silicon wafer and atomic layer deposition.

    Science.gov (United States)

    Ogawa, Tomohiro; Ezoe, Yuichiro; Moriyama, Teppei; Mitsuishi, Ikuyuki; Kakiuchi, Takuya; Ohashi, Takaya; Mitsuda, Kazuhisa; Putkonen, Matti

    2013-08-20

    To enhance x-ray reflectivity of silicon micropore optics using dry etching of silicon (111) wafers, iridium coating is tested by use of atomic layer deposition. An iridium layer is successfully formed on sidewalls of tiny micropores with a pore width of 20 μm and depth of 300 μm. The film thickness is ∼20  nm. An enhanced x-ray reflectivity compared to that of silicon is confirmed at Ti Kα 4.51 keV, for what we believe to be the first time, with this type of optics. Some discrepancies from a theoretical reflectivity curve of iridium-coated silicon are noticed at small incident angles <1.3°. When a geometrical shadowing effect due to occultation by a ridge existing on the sidewalls is taken into account, the observed reflectivity becomes well represented by the modified theoretical curve. An estimated surface micro roughness of ∼1  nm rms is consistent with atomic force microscope measurements of the sidewalls.

  18. A study of thermo-mechanical stress and its impact on through-silicon vias

    International Nuclear Information System (INIS)

    Ranganathan, N; Balasubramanian, N; Prasad, K; Pey, K L

    2008-01-01

    The BOSCH etch process, which is commonly used in microelectromechanical system fabrication, has been extensively investigated in this work for implementation in through-silicon via (TSV) technology for 3D-microsystems packaging. The present work focuses on thermo-mechanical stresses caused by thermal loading due to post-TSV processes and their impact on the electrical performance of through-silicon copper interconnects. A test vehicle with deep silicon copper-plated comb structure was designed to study and evaluate different deep silicon via etch processes and its effect on the electrical leakage characteristics under various electrical and thermal stress conditions. It has been shown that the leakage current between the comb interconnect structures increases with an increase in sidewall roughness and that it can be significantly lowered by smoothening the sidewalls. It was also shown that by tailoring a non-BOSCH etch process with the normal BOSCH process, a similar leakage current reduction can be achieved. It was also shown through thermo-mechanical simulation studies that there is a clear correlation between high leakage current behavior due to non-uniform Ta barrier deposition over the rough sidewalls and the thermo-mechanical stress induced by post-TSV processes

  19. Sidewall coring shell

    Energy Technology Data Exchange (ETDEWEB)

    Edelman, Ya A; Konstantinov, L P; Martyshin, A N

    1966-12-12

    A sidewall coring shell consists of a housing and a detachable core catcher. The core lifter is provided with projections, the ends of which are situated in another plane, along the longitudinal axis of the lifter. The chamber has corresponding projections.

  20. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    Science.gov (United States)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  1. High-directionality fiber-chip grating coupler with interleaved trenches and subwavelength index-matching structure.

    Science.gov (United States)

    Benedikovic, Daniel; Alonso-Ramos, Carlos; Cheben, Pavel; Schmid, Jens H; Wang, Shurui; Xu, Dan-Xia; Lapointe, Jean; Janz, Siegfried; Halir, Robert; Ortega-Moñux, Alejandro; Wangüemert-Pérez, J Gonzalo; Molina-Fernández, Iñigo; Fédéli, Jean-Marc; Vivien, Laurent; Dado, Milan

    2015-09-15

    We present the first experimental demonstration of a new fiber-chip grating coupler concept that exploits the blazing effect by interleaving the standard full (220 nm) and shallow etch (70 nm) trenches in a 220 nm thick silicon layer. The high directionality is obtained by controlling the separation between the deep and shallow trenches to achieve constructive interference in the upward direction and destructive interference toward the silicon substrate. Utilizing this concept, the grating directionality can be maximized independent of the bottom oxide thickness. The coupler also includes a subwavelength-engineered index-matching region, designed to reduce the reflectivity at the interface between the injection waveguide and the grating. We report a measured fiber-chip coupling efficiency of -1.3  dB, the highest coupling efficiency achieved to date for a surface grating coupler in a 220 nm silicon-on-insulator platform fabricated in a conventional dual-etch process without high-index overlays or bottom mirrors.

  2. Fundamental characterization of the effect of nitride sidewall spacer process on boron dose loss in ultra-shallow junction formation

    Energy Technology Data Exchange (ETDEWEB)

    Kohli, P. [Silicon Technology Development, Texas Instruments, Dallas, TX 75243 (United States) and Microelectronics Research Center, University of Texas, Austin, TX 78758 (United States)]. E-mail: puneet.kohli@sematech.org; Chakravarthi, S. [Silicon Technology Development, Texas Instruments, Dallas, TX 75243 (United States); Jain, Amitabh [Silicon Technology Development, Texas Instruments, Dallas, TX 75243 (United States); Bu, H. [Silicon Technology Development, Texas Instruments, Dallas, TX 75243 (United States); Mehrotra, M. [Silicon Technology Development, Texas Instruments, Dallas, TX 75243 (United States); Dunham, S.T. [Department of Electrical Engineering, University of Washington, Seattle, WA 98195 (United States); Banerjee, S.K. [Microelectronics Research Center, University of Texas, Austin, TX 78758 (United States)

    2004-12-15

    A nitride spacer with an underlying deposited tetraethoxysilane (TEOS) oxide that behaves as a convenient etch stop layer is a popular choice for sidewall spacer in modern complementary metal oxide semiconductor (CMOS) process flows. In this work, we have investigated the effect of the silicon nitride spacer process chemistry on the boron profile in silicon and the related dose loss of B from Si into silicon dioxide. This is reflected as a dramatic change in the junction depth, junction abruptness and junction peak concentration for the different nitride chemistries. We conclude that the silicon nitride influences the concentration of hydrogen in the silicon dioxide and different nitride chemistries result in different concentrations of hydrogen in the silicon dioxide during the final source/drain anneal. The presence of H enhances the diffusivity of B in the silicon dioxide and thereby results in a significant dose loss from the Si into the silicon dioxide. In this work, we show that this dose loss can be minimized and the junction profile engineered by choosing a desirable nitride chemistry.

  3. A low on-resistance SOI LDMOS using a trench gate and a recessed drain

    International Nuclear Information System (INIS)

    Ge Rui; Luo Xiaorong; Jiang Yongheng; Zhou Kun; Wang Pei; Wang Qi; Wang Yuangang; Zhang Bo; Li Zhaoji

    2012-01-01

    An integrable silicon-on-insulator (SOI) power lateral MOSFET with a trench gate and a recessed drain (TGRD MOSFET) is proposed to reduce the on-resistance. Both of the trench gate extended to the buried oxide (BOX) and the recessed drain reduce the specific on-resistance (R on,sp ) by widening the vertical conduction area and shortening the extra current path. The trench gate is extended as a field plate improves the electric field distribution. Breakdown voltage (BV) of 97 V and R on,sp of 0.985 mΩ·cm 2 (V GS = 5 V) are obtained for a TGRD MOSFET with 6.5 μm half-cell pitch. Compared with the trench gate SOI MOSFET (TG MOSFET) and the conventional MOSFET, R on,sp of the TGRD MOSFET decreases by 46% and 83% at the same BV, respectively. Compared with the SOI MOSFET with a trench gate and a trench drain (TGTD MOSFET), BV of the TGRD MOSFET increases by 37% at the same R on,sp . (semiconductor devices)

  4. Basin sidewall effects during comparable boom testing

    International Nuclear Information System (INIS)

    DeVitis, D.S.; Hannon, L.

    1995-01-01

    A quantitative investigation of the effects of boom sidewall clearance during first and gross oil loss speed tests was discussed. A second measure of sidewall was quantified in terms of flow characteristics at specific location in the boom apex. The test boom was rigged in 5 different configurations. First oil loss and gross oil loss tow speeds, and relative horizontal flow velocities within the boom apex were obtained for each configuration. Flow velocities of 0.5 to 1.5 knots in 0.25 knot increments were measured. Flow velocities illustrated similar flow characteristics within the apex regardless of side wall clearance. The results of the study illustrated that boom to basin sidewall clearance may be an independent test parameter without a significant bias. 5 tabs., 8 figs.,

  5. Friction and dynamically dissipated energy dependence on temperature in polycrystalline silicon MEMS devices

    NARCIS (Netherlands)

    Gkouzou, A.; Kokorian, J.; Janssen, G.C.A.M.; van Spengen, W.M.

    2017-01-01

    In this paper, we report on the influence of capillary condensation on the sliding friction of sidewall surfaces in polycrystalline silicon micro-electromechanical
    systems (MEMS). We developed a polycrystalline silicon MEMS tribometer, which is a microscale test device with two components

  6. High Power Self-Aligned, Trench-Implanted 4H-SiC JFETs

    Directory of Open Access Journals (Sweden)

    Vamvoukakis K.

    2017-01-01

    Full Text Available The process technology for the fabrication of 4H-SiC trenched-implanted-gate 4H–SiC vertical-channel JFET (TI-VJFET has been developed. The optimized TIVJFETs have been fabricated with self-aligned nickel silicide source and gate contacts using a process sequence that greatly reduces process complexity as it includes only four lithography steps. A source-pillars sidewall oxidation and subsequent removal of the metallization from the top of the sidewall oxide ensured isolation between gate and source. Optimum planarization of the source pillars top has been performed by cyclotene spin coating and etch back. The effect of the channel geometry on the electrical characteristics has been studied by varying its length (0.3 and 1.2μm and its width (1.5-5μm. The voltage blocking exhibits a triode shape, which is typical for a static-induction transistor (SIT operation. The transistors exhibited high ON current handling capabilities (Direct Current density >1kA/cm2 and values of RON ranging from 6 - 12 mΩ•cm2 depending on the channel length. Maximum voltage blocking was 800V limited by the edge termination. The maximum voltage gain was 51. Most transistors were normally-on. Normally-off operation has been observed for transistors lower than 2μm channel width (mask level and deep implantation.

  7. A systematic study of BNL's 3D-Trench Electrode detectors

    International Nuclear Information System (INIS)

    Montalbano, A.; Bassignana, D.; Li, Z.; Liu, S.; Lynn, D.; Pellegrini, G.; Tsybychev, D.

    2014-01-01

    New types of silicon pixel detectors have been proposed because of the need for more radiation hard semiconductor devices for the high luminosity tracking detector upgrades at the Large Hadron Collider. A novel type of 3D Si pixel detectors is proposed, with each cell of the 3D-Trench Electrode pixel detector featuring a concentric trench electrode surrounding the central collecting column electrode. The pixel sensor is an array of those individual cells. Systematic 3D simulations using Silvacos TCAD programs have been carried out to study the characteristics of this novel 3D pixel design and to compare to the traditional 3D column electrode pixel design. The 3D simulations show a much lower depletion voltage and a more uniform electric field in the new 3D-Trench Electrode pixel detectors as compared to the traditional 3D column Electrode detectors. The first prototype 3D-Trench Electrode pixel detectors have been manufactured at the Centro Nacional De Microelectronica. Preliminary electrical measurements are discussed and charge collection efficiency measurements are presented

  8. Universal trench design method for a high-voltage SOI trench LDMOS

    Institute of Scientific and Technical Information of China (English)

    Hu Xiarong; Zhang Bo; Luo Xiaorong; Li Zhaoji

    2012-01-01

    The design method for a high-voltage SOl trench LDMOS for various trench permittivities,widths and depths is introduced.A universal method for efficient design is presented for the first time,taking the trade-off between breakdown voltage (BV) and specific on-resistance (Rs,on) into account.The high-k (relative permittivity)dielectric is suitable to fill a shallow and wide trench while the low-k dielectric is suitable to fill a deep and narrow trench.An SOI LDMOS with a vacuum trench in the drift region is also discussed.Simulation results show that the high FOM BV2/Rs,on can be achieved with a trench filled with the low-k dielectric due to its shortened cell-pitch.

  9. Redeposition of etch products on sidewalls during SiO2 etching in a fluorocarbon plasma. I. Effect of particle emission from the bottom surface in a CF4 plasma

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2002-01-01

    The effect of etch-product redeposition on sidewall properties during the etching of step-shaped SiO 2 patterns in a CF 4 plasma was examined using a Faraday cage located in a transformer coupled plasma etcher. Sidewall properties were observed for two cases: with and without particles emitted from the bottom surface in normal contact with the sidewall. Particles sputtered from the bottom surface were redeposited on the sidewall, which contributes to the formation of a passivation layer on the surface of the latter. The passivation layer consisted of silicon oxide, Si x O y , and fluorocarbon, C x F y , the latter comprising the major species. Ar plasma experiments confirmed that C x F y or a fluorocarbon polymer must be present on the sidewall in order for the Si x O y species to be deposited on the surface. The redeposited particles, which were largely F-deficient fluorocarbon species, as evidenced by x-ray photoelectron spectroscopy analyses, functioned as precursors for fluorocarbon polymerization, resulting in a rough sidewall surface. The chemical etch rates of SiO 2 were retarded by the redeposition of particles, which eventually formed a thick layer, eventually covering the bulk SiO 2 . Auger electron spectroscopy analyses of the sidewall surface affected by the emission from the bottom suggest that the surface consists of three distinct layers: a surface-carbon layer, a redeposition-etch combined layer, and bulk SiO 2

  10. A high-performance trench capacitor integrated in a passive integration technology

    International Nuclear Information System (INIS)

    Geiselbrechtinger, Angelika; Büyüktas, Kevni; Allers, Karl-Heinz; Hartung, Wolfgang

    2009-01-01

    The requirements for the electrical characteristics of passive on-chip devices become more and more important. The electrical performance of RF circuits is predominantly restricted by the passives. New technologies and new device concepts are necessary to meet the demands. In this work, a trench capacitor developed for RF applications is presented for the first time. This so-called SilCap (silicon capacitor) device features very high capacitance density, extreme low-voltage dependence, excellent temperature stability, good RF performance and a high breakthrough voltage. First, the device function and the technological concept are introduced. The concept is realized without implementing cost-intensive high-k materials. This trench capacitor is integrated in the front end of line of a passive integration technology. The achieved specific capacitance density is compared to a standard planar capacitor. Performance of the SilCap in terms of quality factor and breakthrough voltage is shown. Finally, reliability data of this trench capacitor are presented with special focus on extrinsic and dielectric lifetime

  11. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa

    2014-08-28

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  12. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto

    2014-01-01

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  13. Trench mouth

    Science.gov (United States)

    ... gingivae). The term trench mouth comes from World War I, when this infection was common among soldiers " ... mouth include: Emotional stress Poor oral hygiene Poor nutrition Smoking Throat, tooth, or mouth infections Trench mouth ...

  14. Trench angle: a key design factor for a deep trench superjunction MOSFET

    International Nuclear Information System (INIS)

    Kang, Hyemin; Lee, Jaegil; Lee, Kwangwon; Choi, Youngchul

    2015-01-01

    Why is the development of a deep trench superjunction (SJ) MOSFET above 600 V and under 8.0 mohm · cm 2 difficult? A deep trench SJ MOSFET is expected to have a low turn-on resistance because the post thermal process after the epitaxial process, which is normally used in a multi-step epitaxy structure, is unnecessary. When designing a deep trench SJ MOSFET, the trench angle is the most important factor because this determines the breakdown voltage (BV) and BV variations. In this paper, we investigated how the trench angle affects the BV and BV window as a condition of the possible thermal process. By employing a physical concept, ΔCharge, we explained why the maximum BV is decreased and the BV window is increased as the trench angle decreases. Also, we systematically scrutinized the transition of the vertical electric field by varying the trench angle. Furthermore, in a real case, the principle of the trench angle which contributes to the deviation of the charge imbalance and specific resistance of SJ is described. Finally, we discuss the challenge of SJ MOSFET development in the industry. (paper)

  15. Silicon-Based Technology for Integrated Waveguides and mm-Wave Systems

    DEFF Research Database (Denmark)

    Jovanovic, Vladimir; Gentile, Gennaro; Dekker, Ronald

    2015-01-01

    IC processing is used to develop technology for silicon-filled millimeter-wave-integrated waveguides. The front-end process defines critical waveguide sections and enables integration of dedicated components, such as RF capacitors and resistors. Wafer gluing is used to strengthen the mechanical...... support and deep reactive-ion etching forms the waveguide bulk with smooth and nearly vertical sidewalls. Aluminum metallization covers the etched sidewalls, fully enclosing the waveguides in metal from all sides. Waveguides are fabricated with a rectangular cross section of 560 μm x 280 μm. The measured...

  16. Focused ion beam scan routine, dwell time and dose optimizations for submicrometre period planar photonic crystal components and stamps in silicon

    International Nuclear Information System (INIS)

    Hopman, Wico C L; Ay, Feridun; Hu, Wenbin; Gadgil, Vishwas J; Kuipers, Laurens; Pollnau, Markus; Ridder, Rene M de

    2007-01-01

    Focused ion beam (FIB) milling is receiving increasing attention for nanostructuring in silicon (Si). These structures can for example be used for photonic crystal structures in a silicon-on-insulator (SOI) configuration or for moulds which can have various applications in combination with imprint technologies. However, FIB fabrication of submicrometre holes having perfectly vertical sidewalls is still challenging due to the redeposition effect in Si. In this study we show how the scan routine of the ion beam can be used as a sidewall optimization parameter. The experiments have been performed in Si and SOI. Furthermore, we show that sidewall angles as small as 1.5 0 are possible in Si membranes using a spiral scan method. We investigate the effect of the dose, loop number and dwell time on the sidewall angle, interhole milling and total milling depth by studying the milling of single and multiple holes into a crystal. We show that the sidewall angles can be as small as 5 0 in (bulk) Si and SOI when applying a larger dose. Finally, we found that a relatively large dwell time of 1 ms and a small loop number is favourable for obtaining vertical sidewalls. By comparing the results with those obtained by others, we conclude that the number of loops at a fixed dose per hole is the parameter that determines the sidewall angle and not the dwell time by itself

  17. Fabrication of silicon molds for polymer optics

    DEFF Research Database (Denmark)

    Nilsson, Daniel; Jensen, Søren; Menon, Aric Kumaran

    2003-01-01

    A silicon mold used for structuring polymer microcavities for optical applications is fabricated, using a combination of DRIE (deep reactive ion etching) and anisotropic chemical wet etching with KOH + IPA. For polymer optical microcavities, low surface roughness and vertical sidewalls are often ...... and KOH + IPA etch have been optimized. To reduce stiction between the silicon mold and the polymers used for molding, the mold is coated with a teflon-like material using the DRIE system. Released polymer microstructures characterized with AFM and SEM are also presented....

  18. Fabrication and Characterisation of Silicon Waveguides for High-Speed Optical Signal Processing

    DEFF Research Database (Denmark)

    Jensen, Asger Sellerup

    This Ph.D. thesis treats various aspects of silicon photonics. From the limitations of silicon as a linear and nonlinear waveguide medium to its synergy with other waveguide materials. Various methods for reducing sidewall roughness and line edge roughness of silicon waveguides are attempted...... was too high for any practical applications. It is speculated that the attempt at creating a material with low density of dangling bonds was unsuccessful. Nevertheless, linear losses of 2.4dB/cm at 1550nm wavelength in the silicon waveguides remained sufficiently low that high speed nonlinear optical...

  19. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  20. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  1. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  2. Influence of structured sidewalls on the wetting states and superhydrophobic stability of surfaces with dual-scale roughness

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Huaping, E-mail: wuhuaping@gmail.com [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); State Key Laboratory of Structural Analysis for Industrial Equipment, Dalian University of Technology, Dalian 116024 (China); Zhu, Kai; Wu, Bingbing [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); Lou, Jia [Piezoelectric Device Laboratory, Department of Mechanics and Engineering Science, Ningbo University, Ningbo, Zhejiang 315211 (China); Zhang, Zheng [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); Chai, Guozhong, E-mail: chaigz@zjut.edu.cn [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China)

    2016-09-30

    Highlights: • Apparent contact angle equation of all wetting states on dual-scale rough surfaces is derived. • Structured sidewalls can improve superhydrophobicity than smooth sidewalls. • Structured sidewalls can enlarge ACA than smooth sidewalls. • Structured sidewalls present an advantage over smooth sidewalls in terms of enhancing superhydrophobic stability. - Abstract: The superhydrophobicity of biological surfaces with dual-scale roughness has recently received considerable attention because of the unique wettability of such surfaces. Based on this, artificial micro/nano hierarchical structures with structured sidewalls and smooth sidewalls were designed and the influences of sidewall configurations (i.e., structured and smooth) on the wetting state of micro/nano hierarchical structures were systematically investigated based on thermodynamics and the principle of minimum free energy. Wetting transition and superhydrophobic stability were then analyzed for a droplet on dual-scale rough surfaces with structured and smooth sidewalls. Theoretical analysis results show that dual-scale rough surfaces with structured sidewalls have a larger “stable superhydrophobic region” than those with smooth sidewalls. The dual-scale rough surfaces with smooth sidewalls can enlarge the apparent contact angle (ACA) without improvement in the superhydrophobic stability. By contrast, dual-scale rough surfaces with structured sidewalls present an advantage over those with smooth sidewalls in terms of enlarging ACA and enhancing superhydrophobic stability. The proposed thermodynamic model is valid when compared with previous experimental data and numerical analysis results, which is helpful for designing and understanding the wetting states and superhydrophobic stability of surfaces with dual-scale roughness.

  3. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  4. Reduction of ambipolar characteristics of vertical channel tunneling field-effect transistor by using dielectric sidewall

    International Nuclear Information System (INIS)

    Park, Chun Woong; Cho, Il Hwan; Choi, Woo Young; Lee, Jong-Ho

    2013-01-01

    Ambipolar characteristics of tunneling FETs have been improved by introducing a novel structure which contains dielectric sidewall in the gate region. In the ambipolar operation mode, gate field effect on intrinsic-drain junction region can be reduced with dielectric sidewall. As a result, ambipolar state tunneling probability is decreased at the intrinsic-drain junction. Since the sidewall region is located near the drain region, tunneling probability of source-intrinsic region is not affected by dielectric sidewall. This asymmetric characteristics means only ambipolar current of tunneling FETs can be prohibited by dielectric sidewall. Reduction of ambipolar characteristic of proposed structure has been evaluated with dimension and location of dielectric sidewall. Quantitative analysis of ambipolar characteristics is also investigated with tunneling. (paper)

  5. Trenching as an exploratory method

    International Nuclear Information System (INIS)

    Hatheway, A.W.; Leighton, F.B.

    1979-01-01

    The critical nature of siting nuclear power plants has led to increased emphasis on exploratory trenching. Trenching is the most definitive of all subsurface exploratory methods; it permits inspection of a continuous geologic section by both geologists and regulatory authorities and makes possible the preparation of a graphic log that delineates both obvious and subtle geologic features. About one of every two nuclear plant licensing efforts utilizes exploratory trenching. Many geologic hazards, such as ''capable'' faults, can be detected from trench exposures; they may otherwise remain undetected. Trenches must be judiciously located, survey-controlled, excavated safely and adequately shored, logged in detail, and properly diagnosed. Useful techniques of trench logging include thorough cleaning of the trench walls, teamwork between geologist and recorder, logging against a carefully surveyed baseline and vertical reference grid, and panoramic photography.Soils, including paleosols, and glacial and glaciofluvial deposits present some of the most difficult media to log. Trench logs must be thoroughly interpreted and correlated so that they document the geologic conditions governing suitability of the site. Age-determination techniques utilized in exploratory trenching include petrographic analyses, quartz inclusion studies, clay mineralogic analyses, and radiometric methods

  6. Proposal of a broadband, polarization-insensitive and high-efficiency hot-carrier schottky photodetector integrated with a plasmonic silicon ridge waveguide

    International Nuclear Information System (INIS)

    Yang, Liu; Kou, Pengfei; Shen, Jianqi; Lee, El Hang; He, Sailing

    2015-01-01

    We propose a broadband, polarization-insensitive and high-efficiency plasmonic Schottky diode for detection of sub-bandgap photons in the optical communication wavelength range through internal photoemission (IPE). The distinctive features of this design are that it has a gold film covering both the top and the sidewalls of a dielectric silicon ridge waveguide with the Schottky contact formed at the gold–silicon interface and the sidewall coverage of gold can be easily tuned by an insulating layer. An extensive physical model on IPE of hot carriers is presented in detail and is applied to calculate and examine the performance of this detector. In comparison with a diode having only the top gold contact, the polarization sensitivity of the responsivity is greatly minimized in our photodetector with gold film covering both the top and the sidewall. Much higher responsivities for both polarizations are also achieved over a broad wavelength range of 1.2–1.6 μm. Moreover, the Schottky contact is only 4 μm long, leading to a very small dark current. Our design is very promising for practical applications in high-density silicon photonic integration. (paper)

  7. GaN microrod sidewall epitaxial lateral overgrowth on a close-packed microrod template

    Science.gov (United States)

    Duan, Xiaoling; Zhang, Jincheng; Xiao, Ming; Zhang, Jinfeng; Hao, Yue

    2018-05-01

    We demonstrate a GaN growth method using microrod sidewall epitaxial lateral overgrowth (MSELO) on a close-packed microrod template by a nonlithographic technique. The density and distribution of threading dislocations were determined by the density and distribution of microrods and the nucleation model. MSELO exhibited two different nucleation models determined by the direction and degree of substrate misorientation and the sidewall curvature: one-sidewall and three-sidewall nucleation, predicting the dislocation density values. As a result, the threading dislocation density was markedly decreased from 2 × 109 to 5 × 107 cm‑2 with a small coalescence thickness of ∼2 µm for the close-packed 3000 nm microrod sample.

  8. Implementation of light extraction improvements of GaN-based light-emitting diodes with specific textured sidewalls

    Science.gov (United States)

    Chen, Chun-Yen; Chen, Wei-Cheng; Chang, Ching-Hong; Lee, Yu-Lin; Liu, Wen-Chau

    2018-05-01

    Textured-sidewall GaN-based light-emitting diodes (LEDs) with various sidewall angles (15-90°) and convex or concave sidewalls prepared using an inductively-coupled-plasma approach are comprehensively fabricated and studied. The device with 45° sidewalls (Device F) and that with convex sidewalls (Device B) show significant improvements in optical properties. Experiments show that, at an injection current of 350 mA, the light output power, external quantum efficiency, wall-plug efficiency, and luminous flux of Device F (Device B) are greatly improved by 18.3% (18.2%), 18.2% (18.2%), 17.3% (19.8%), and 16.6% (18.4%), respectively, compared to those of a conventional LED with flat sidewalls. In addition, negligible degradation in electrical properties is found. The enhanced optical performance is mainly attributed to increased light extraction in the horizontal direction due to a significant reduction in total internal reflection at the textured sidewalls. Therefore, the reported specific textured-sidewall structures (Devices B and F) are promising for high-power GaN-based LED applications.

  9. Trenching as a exploratory method

    International Nuclear Information System (INIS)

    Hatheway, A.W.; Leighton, F.B.

    1979-01-01

    The critical nature of siting nuclear power plants has led to increased emphasis on exploratory methods; it permits inspection of a continuous geologic section by both geologists and regulatory authorities and makes possible the preparation of a graphic log that delineates both obvious and subtle geologic features. About one of every two nuclear plant licensing efforts utilizes exploratory trenching. Many geologic hazards, such as ''capable'' faults, can be detected from trench exposures; they may otherwise remain undetected. Trenches must be judiciously located, survey-controlled, excavated safetly and adequately shored, logged in detail, and properly diagnosed. Useful techniques of trench logging include thorough cleaning of the trench walls, teamwork between geologist and recorder, logging against a carefully surveyed baseline and vertical reference grid, and panoramic photography. Soils, including paleosols, and glacial and glaciofluvial deposits present some of the most difficult media to log. Trench logs must be thoroughly interpreted and correlated so that they document the geologic conditions governing suitability of the site. Age-determination techniques utilized in exploratory trenching include petrographic analyses, quartz inclusion studies, clay mineralogic analyses, and radiometric methods

  10. Control of the sidewall angle of an absorber stack using the Faraday cage system for the change of pattern printability in EUVL

    Science.gov (United States)

    Jang, Il-Yong; Huh, Sung-Min; Moon, Seong-Yong; Woo, Sang-Gyun; Lee, Jin-Kwan; Moon, Sang Heup; Cho, HanKu

    2008-10-01

    A patterned TaN substrate, which is candidate for a mask absorber in extreme ultra-violet lithography (EUVL), was etched to have inclined sidewalls by using a Faraday cage system under the condition of a 2-step process that allowed the high etch selectivity of TaN over the resist. The sidewall angle (SWA) of the patterned substrate, which was in the shape of a parallelogram after etching, could be controlled by changing the slope of a substrate holder that was placed in the Faraday cage. The performance of an EUV mask, which contained the TaN absorber of an oblique pattern over the molybdenum/silicon multi-layer, was simulated for different cases of SWA. The results indicated that the optical properties, such as the critical dimension (CD), an offset in the CD bias between horizontal and vertical patterns (H-V bias), and a shift in the image position on the wafer, could be controlled by changing the SWA of the absorber stack. The simulation result showed that the effect of the SWA on the optical properties became more significant at larger thicknesses of the absorber and smaller sizes of the target CD. Nevertheless, the contrast of the aerial images was not significantly decreased because the shadow effect caused by either sidewall of the patterned substrate cancelled with each other.

  11. Silicon based nanogap device for investigating electronic transport through 12 nm long oligomers

    DEFF Research Database (Denmark)

    Strobel, S.; Albert, E.; Csaba, G.

    2009-01-01

    We have fabricated vertical nanogap electrode devices based on Silicon-on-Insulator (SOI) substrates for investigating the electronic transport properties of long, conjugated molecular wires. Our nanogap electrode devices comprise smooth metallic contact pairs situated at the sidewall of an SOI s...

  12. Selective growth of carbon nanotube on silicon substrates

    Institute of Scientific and Technical Information of China (English)

    ZOU Xiao-ping; H. ABE; T. SHIMIZU; A. ANDO; H. TOKUMOT; ZHU Shen-ming; ZHOU Hao-shen

    2006-01-01

    The carbon nanotube (CNT) growth of iron oxide-deposited trench-patterns and the locally-ordered CNT arrays on silicon substrate were achieved by simple thermal chemical vapor deposition(STCVD) of ethanol vapor. The CNTs were uniformly synthesized with good selectivity on trench-patterned silicon substrates. This fabrication process is compatible with currently used semiconductor-processing technologies,and the carbon-nanotube fabrication process can be widely applied for the development of electronic devices using carbon-nanotube field emitters as cold cathodes and can revolutionize the area of field-emitting electronic devices. The site-selective growth of CNT from an iron oxide nanoparticle catalyst patterned were also achieved by drying-mediated self-assembly technique. The present method offers a simple and cost-effective method to grow carbon nanotubes with self-assembled patterns.

  13. Benthic carbon mineralization in hadal trenches

    DEFF Research Database (Denmark)

    Wenzhöfer, F.; Oguri, K.; Middelboe, Mathias

    2016-01-01

    consumption rates and sediment characteristics from the trench axis of two contrasting trench systems in the Pacific Ocean; the Izu-Bonin Trench underlying mesotrophic waters and the Tonga Trench underlying oligotrophic waters. In situ oxygen consumption at the Izu-Bonin Trench axis site (9200 m; 746 +/- 103...... mu mol m(-2) d(-1); n=27) was 3-times higher than at the Tonga Trench axis site (10800 m; 225 +/- 50 pmol m(-2) d(-1); n=7) presumably reflecting the higher surface water productivity in the Northern Pacific. Comparing benthic O-2 consumption rates measured in the central hadal Tonga Trench...... to that of nearby (60 km distance) abyssal settings (6250 m; 92 +/- 44 mu mol m(-2) d(-1); n=16) revealed a 2.5 higher activity at the trench bottom. Onboard investigations on recovered sediment furthermore revealed that the prokaryotic abundance and concentrations of phytopigments followed this overall trend (i...

  14. Fabrication of silicon-embedded low resistance high-aspect ratio planar copper microcoils

    Science.gov (United States)

    Syed Mohammed, Zishan Ali; Puiu, Poenar Daniel; Aditya, Sheel

    2018-01-01

    Low resistance is an important requirement for microcoils which act as a signal receiver to ensure low thermal noise during signal detection. High-aspect ratio (HAR) planar microcoils entrenched in blind silicon trenches have features that make them more attractive than their traditional counterparts employing electroplating through a patterned thick polymer or achieved through silicon vias. However, challenges met in fabrication of such coils have not been discussed in detail until now. This paper reports the realization of such HAR microcoils embedded in Si blind trenches, fabricated with a single lithography step by first etching blind trenches in the silicon substrate with an aspect ratio of almost 3∶1 and then filling them up using copper electroplating. The electroplating was followed by chemical wet etching as a faster way of removing excess copper than traditional chemical mechanical polishing. Electrical resistance was further reduced by annealing the microcoils. The process steps and challenges faced in the realization of such structures are reported here followed by their electrical characterization. The obtained electrical resistances are then compared with those of other similar microcoils embedded in blind vias.

  15. Numerical investigation of a double-junction a:SiGe thin-film solar cell including the multi-trench region

    International Nuclear Information System (INIS)

    Kacha, K.; Djeffal, F.; Ferhati, H.; Arar, D.; Meguellati, M.

    2015-01-01

    We present a new approach based on the multi-trench technique to improve the electrical performances, which are the fill factor and the electrical efficiency. The key idea behind this approach is to introduce a new multi-trench region in the intrinsic layer, in order to modulate the total resistance of the solar cell. Based on 2-D numerical investigation and optimization of amorphous SiGe double-junction (a-Si:H/a-SiGe:H) thin film solar cells, in the present paper numerical models of electrical and optical parameters are developed to explain the impact of the multi-trench technique on the improvement of the double-junction solar cell electrical behavior for high performance photovoltaic applications. In this context, electrical characteristics of the proposed design are analyzed and compared with conventional amorphous silicon double-junction thin-film solar cells. (paper)

  16. Sacrificial structures for deep reactive ion etching of high-aspect ratio kinoform silicon x-ray lenses

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Michael-Lindhard, Jonas; Hübner, Jörg

    2015-01-01

    This article describes the realization of complex high-aspect ratio silicon structures with feature dimensions from 100 lm to 100nm by deep reactive ion etching using the Bosch process. As the exact shape of the sidewall profiles can be crucial for the proper functioning of a device, the authors...... of the sacrificial structures was accomplished by thermal oxidation and subsequent selective wet etching. The effects of the dimensions and relative placement of sacrificial walls and pillars on the etching result were determined through systematic experiments. The authors applied this process for exact sidewall...

  17. The SERS and TERS effects obtained by gold droplets on top of Si nanowires.

    Science.gov (United States)

    Becker, M; Sivakov, V; Andrä, G; Geiger, R; Schreiber, J; Hoffmann, S; Michler, J; Milenin, A P; Werner, P; Christiansen, S H

    2007-01-01

    We show that hemispherical gold droplets on top of silicon nanowires when grown by the vapor-liquid-solid (VLS) mechanism, can produce a significant enhancement of Raman scattered signals. Signal enhancement for a few or even just single gold droplets is demonstrated by analyzing the enhanced Raman signature of malachite green molecules. For this experiment, trenches (approximately 800 nm wide) were etched in a silicon-on-insulator (SOI) wafer along crystallographic directions that constitute sidewalls ({110} surfaces) suitable for the growth of silicon nanowires in directions with the intention that the gold droplets on the silicon nanowires can meet somewhere in the trench when growth time is carefully selected. Another way to realize gold nanostructures in close vicinity is to attach a silicon nanowire with a gold droplet onto an atomic force microscopy (AFM) tip and to bring this tip toward another gold-coated AFM tip where malachite green molecules were deposited prior to the measurements. In both experiments, signal enhancement of characteristic Raman bands of malachite green molecules was observed. This indicates that silicon nanowires with gold droplets atop can act as efficient probes for tip-enhanced Raman spectroscopy (TERS). In our article, we show that a nanowire TERS probe can be fabricated by welding nanowires with gold droplets to AFM tips in a scanning electron microscope (SEM). TERS tips made from nanowires could improve the spatial resolution of Raman spectroscopy so that measurements on the nanometer scale are possible.

  18. Reduce the start current of Smith-Purcell backward wave oscillator by sidewall grating

    International Nuclear Information System (INIS)

    Li, D.; Imasaki, K.; Gao, X.; Yang, Z.; Park, Gun-Sik

    2007-01-01

    A sidewall grating for the Smith-Purcell device is proposed to enhance the coupling of the optical mode with the electron beam and, consequently, relax the stringent requirements to the electron beam. With the help of three-dimensional particle-in-cell simulations, it has been shown that, comparing with the general grating, the usage of a sidewall grating improves the growth rate and dramatically shortens the time for the device to reach saturation. It is also found that the sidewall grating holds the potential to reduce the start current for the operation of a Smith-Purcell backward wave oscillator

  19. Micromachining of buried micro channels in silicon

    NARCIS (Netherlands)

    de Boer, Meint J.; Tjerkstra, R.W.; Berenschot, Johan W.; Jansen, Henricus V.; Burger, G.J.; Burger, G.J.; Gardeniers, Johannes G.E.; Elwenspoek, Michael Curt; van den Berg, Albert

    A new method for the fabrication of micro structures for fluidic applications, such as channels, cavities, and connector holes in the bulk of silicon wafers, called buried channel technology (BCT), is presented in this paper. The micro structures are constructed by trench etching, coating of the

  20. New insights into fully-depleted SOI transistor response during total-dose irradiation

    International Nuclear Information System (INIS)

    Schwank, J.R.; Shaneyfelt, M.R.; Dodd, P.E.; Burns, J.A.; Keast, C.L.; Wyatt, P.W.

    1999-01-01

    In this paper, we present irradiation results on 2-fully depleted processes (HYSOI6, RKSOI) that show SOI (silicon on insulator) device response can be more complicated than originally suggested by others. The major difference between the 2 process versions is that the RKSOI process incorporates special techniques to minimize pre-irradiation parasitic leakage current from trench sidewalls. Transistors were irradiated at room temperature using 10 keV X-ray source. Worst-case bias configuration for total-dose testing fully-depleted SOI transistors was found to be process dependent. It appears that the worst-case bias for HYPOI6 process is the bias that causes the largest increase in sidewall leakage. The RKSOI process shows a different response during irradiation, the transition response appears to be dominated by charge trapping in the buried oxide. These results have implications for hardness assurance testing. (A.C.)

  1. Functionalization of silicon-doped single walled carbon nanotubes at the doping site: An ab initio study

    International Nuclear Information System (INIS)

    Song Chen; Xia Yueyuan; Zhao Mingwen; Liu Xiangdong; Li Feng; Huang Boda; Zhang Hongyu; Zhang Bingyun

    2006-01-01

    We performed ab initio calculations on the cytosine-functionalized silicon-doped single walled carbon nanotubes (SWNT). The results show that silicon substitutional doping to SWNT can dramatically change the atomic and electronic structures of the SWNT. And more importantly, it may provide an efficient pathway for further sidewall functionalization to synthesize more complicated SWNT based complex materials, for example, our previously proposed base-functionalized SWNTs, because the doping silicon atom can improve the reaction activity of the tube at the doping site due to its preference to form sp3 hybridization bonding

  2. Benthic carbon mineralization in hadal trenches

    DEFF Research Database (Denmark)

    Wenzhofer, F.; Oguri, K.; Middelboe, M.

    2016-01-01

    Hadal trenches are considered to act as depo-centers for organic material at the trench axis and host unique and elevated biomasses of living organisms as compared to adjacent abyssal plains. To explore the diagenetic activity in hadal trench environments we quantified in situ benthic O-2 consump...

  3. Segregation of boron implanted into silicon on angular configurations of silicon/silicon dioxide oxidation interface

    CERN Document Server

    Tarnavskij, G A; Obrekht, M S

    2001-01-01

    One studies segregation of boron implanted into silicon when a wave (interface) of oxidation moves within it. There are four types of angular configurations of SiO sub 2 /Si oxidation interface, that is: direct and reverse shoulders, trench type cavities and a square. By means of computer-aided simulation one obtained and analyzed complex patterns of B concentration distribution within Si, SiO sub 2 domains and at SiO sub 2 /Si interface for all types of angular configurations of the oxidation interface

  4. 'Dodo-Goldilocks' Trench Elevation Map

    Science.gov (United States)

    2008-01-01

    This color-coded elevation map shows the 'Dodo-Goldilocks' trench dug by the Robotic Arm on NASA's Phoenix Mars Lander. The trench, originally two separate trenches nicknamed 'Dodo' (left) and 'Goldilocks' (right), became one after further digging on the 18th Martian day, or Sol 18, of the mission (June 12, 2008). The trench is 7 to 8 centimeters (2.7 to 3 inches) at its deepest (blue). Because the terrain itself is inclined at a 14-degree angle, the highest areas (pink) are about 20 centimeters (7.8 inches) above the lowest areas. The trench is 22 centimeters (8.7 inches) wide and 35 centimeters (13.8 inches) long. Its deepest portion is closest to the lander. This picture was taken by Phoenix's Surface Stereo Imager on Sol 19 (June 13, 2008). The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  5. 'Dodo' and 'Baby Bear' Trenches

    Science.gov (United States)

    2008-01-01

    NASA's Phoenix Mars Lander's Surface Stereo Imager took this image on Sol 11 (June 5, 2008), the eleventh day after landing. It shows the trenches dug by Phoenix's Robotic Arm. The trench on the left is informally called 'Dodo' and was dug as a test. The trench on the right is informally called 'Baby Bear.' The sample dug from Baby Bear will be delivered to the Phoenix's Thermal and Evolved-Gas Analyzer, or TEGA. The Baby Bear trench is 9 centimeters (3.1 inches) wide and 4 centimeters (1.6 inches) deep. The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  6. Backfilling of trenches exposed to waves

    DEFF Research Database (Denmark)

    Hjelmager Jensen, Jacob; Fredsøe, Jørgen

    1997-01-01

    This paper treats the numerical prediction of initial and long-term morphology of small pipeline trenches. For this purpose a refined flow and sediment transport description is applied such that the entire mathematical problem is formulated and solved on a curvilinear grid using a k - ε turbulence......-closure. The backfilling process of trenches exposed to either waves or a steady current is of importance in relation to the implementation of pipelines in the marine environment. With respect to the sedimentation of trenches, the non-dimensional Trench-Keulegan-Carpenter number, KC = a/L, where a is the excursion length...

  7. 3D, Flash, Induced Current Readout for Silicon Sensors

    Energy Technology Data Exchange (ETDEWEB)

    Parker, Sherwood I. [Univ. of Hawaii, Honolulu, HI (United States)

    2014-06-07

    A new method for silicon microstrip and pixel detector readout using (1) 65 nm-technology current amplifers which can, for the first time with silicon microstrop and pixel detectors, have response times far shorter than the charge collection time (2) 3D trench electrodes large enough to subtend a reasonable solid angle at most track locations and so have adequate sensitivity over a substantial volume of pixel, (3) induced signals in addition to, or in place of, collected charge

  8. Sidewall passivation for InGaN/GaN nanopillar light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Won Hyuck; Abraham, Michael; Yu, Shih-Ying [Department of Materials Science and Engineering, Pennsylvania State University, University Park, Pennsylvania 16802 (United States); You, Guanjun; Liu, Jie; Wang, Li; Xu, Jian, E-mail: jianxu@engr.psu.edu [Department of Engineering Science and Mechanics, Pennsylvania State University, University Park, Pennsylvania 16802 (United States); Mohney, Suzanne E., E-mail: mohney@ems.psu.edu [Department of Materials Science and Engineering, Pennsylvania State University, University Park, Pennsylvania 16802 (United States); Materials Research Institute, Pennsylvania State University, University Park, Pennsylvania 16802 (United States)

    2014-07-07

    We studied the effect of sidewall passivation on InGaN/GaN multiquantum well-based nanopillar light emitting diode (LED) performance. In this research, the effects of varying etch rate, KOH treatment, and sulfur passivation were studied for reducing nanopillar sidewall damage and improving device efficiency. Nanopillars prepared under optimal etching conditions showed higher photoluminescence intensity compared with starting planar epilayers. Furthermore, nanopillar LEDs with and without sulfur passivation were compared through electrical and optical characterization. Suppressed leakage current under reverse bias and four times higher electroluminescence (EL) intensity were observed for passivated nanopillar LEDs compared with unpassivated nanopillar LEDs. The suppressed leakage current and EL intensity enhancement reflect the reduction of non-radiative recombination at the nanopillar sidewalls. In addition, the effect of sulfur passivation was found to be very stable, and further insight into its mechanism was gained through transmission electron microscopy.

  9. Experimental and theoretical study of the operation of longitudinal sidewalls in reinforced concrete superstructures

    Science.gov (United States)

    Bokarev, Sergey; Efimov, Stefan

    2017-11-01

    In the present article, we report results of a laboratory experimental study of reinforced-concrete superstructure fragments with extended longitudinal boxing sidewalls. The study included laboratory tests and numerical experiments performed using a finite element model of fragments generated in specialized simulation software. The distribution of horizontal pressures over the height of longitudinal boxing sidewalls, the dependence of the bending moment in the root section of the sidewalls on the ballast-bed thickness under sleepers, and some other characteristics were examined. The results of the experimental and theoretical study have shown a good convergence of obtained data to each other and to the data obtained by an improved engineering calculation procedure proposed by the present authors.

  10. Phoenix Trenches

    Science.gov (United States)

    2008-01-01

    [figure removed for brevity, see original site] Annotated Version [figure removed for brevity, see original site] Left-eye view of a stereo pair [figure removed for brevity, see original site] Right-eye view of a stereo pair This image is a stereo, panoramic view of various trenches dug by NASA's Phoenix Mars Lander. The images that make up this panorama were taken by Phoenix's Surface Stereo Imager at about 4 p.m., local solar time at the landing site, on the 131st, Martian day, or sol, of the mission (Oct. 7, 2008). In figure 1, the trenches are labeled in orange and other features are labeled in blue. Figures 2 and 3 are the left- and right-eye members of a stereo pair. For scale, the 'Pet Donkey' trench just to the right of center is approximately 38 centimeters (15 inches) long and 31 to 34 centimeters (12 to 13 inches) wide. In addition, the rock in front of it, 'Headless,' is about 11.5 by 8.5 centimeters (4.5 by 3.3 inches), and about 5 centimeters (2 inches) tall. The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  11. Fabrication of NdFeB microstructures using a silicon molding technique for NdFeB/Ta multilayered films and NdFeB magnetic powder

    International Nuclear Information System (INIS)

    Jiang Yonggang; Fujita, Takayuki; Uehara, Minoru; Iga, Yuki; Hashimoto, Taichi; Hao, Xiuchun; Higuchi, Kohei; Maenaka, Kazusuke

    2011-01-01

    The silicon molding technique is described for patterning of NdFeB/Ta multilayered magnetic films and NdFeB magnetic powder at the micron scale. Silicon trenches are seamlessly filled by 12-μm-thick NdFeB/Ta multilayered magnetic films with a magnetic retentivity of 1.3 T. The topography image and magnetic field distribution image are measured using an atomic force microscope and a magnetic force microscope, respectively. Using a silicon molding technique complemented by a lift-off process, NdFeB magnetic powder is utilized to fabricate magnetic microstructures. Silicon trenches as narrow as 20 μm are filled by a mixture of magnetic powder and wax powder. The B-H hysteresis loop of the patterned magnetic powder is characterized using a vibrating sample magnetometer, which shows a magnetic retentivity of approximately 0.37 T. - Highlights: → We demonstrate the fabrication of micro-magnets using silicon molding processes. → NdFeB/Ta films are well filled in silicon trenches with a thickness of 12 μm. → The 12-μm-thick NdFeB/Ta magnetic film shows a retentivity of 1.3 T. → Magnetic structures as narrow as 20 μm are fabricated using NdFeB magnetic powder. → VSM measurement shows a retentivity of 0.37 T for patterned NdFeB magnetic powder.

  12. Fabrication of NdFeB microstructures using a silicon molding technique for NdFeB/Ta multilayered films and NdFeB magnetic powder

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Yonggang, E-mail: yonggangj@gmail.com [School of Mechanical Engineering and Automation, Beihang University, Xueyuan Road No. 37, Haidian District, Beijing 100191 (China); Maenaka Human-Sensing Fusion project, Japan Science and Technology Agency, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Fujita, Takayuki [Maenaka Human-Sensing Fusion project, Japan Science and Technology Agency, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Uehara, Minoru [NEOMAX Co. Ltd., 2-15-17, Egawa, Shimamoto-Cho, Mishima-gun, Osaka 618-0013 (Japan); Iga, Yuki [Maenaka Human-Sensing Fusion project, Japan Science and Technology Agency, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Hashimoto, Taichi [Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Hao, Xiuchun; Higuchi, Kohei [Maenaka Human-Sensing Fusion project, Japan Science and Technology Agency, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Maenaka, Kazusuke [Maenaka Human-Sensing Fusion project, Japan Science and Technology Agency, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan)

    2011-11-15

    The silicon molding technique is described for patterning of NdFeB/Ta multilayered magnetic films and NdFeB magnetic powder at the micron scale. Silicon trenches are seamlessly filled by 12-{mu}m-thick NdFeB/Ta multilayered magnetic films with a magnetic retentivity of 1.3 T. The topography image and magnetic field distribution image are measured using an atomic force microscope and a magnetic force microscope, respectively. Using a silicon molding technique complemented by a lift-off process, NdFeB magnetic powder is utilized to fabricate magnetic microstructures. Silicon trenches as narrow as 20 {mu}m are filled by a mixture of magnetic powder and wax powder. The B-H hysteresis loop of the patterned magnetic powder is characterized using a vibrating sample magnetometer, which shows a magnetic retentivity of approximately 0.37 T. - Highlights: > We demonstrate the fabrication of micro-magnets using silicon molding processes. > NdFeB/Ta films are well filled in silicon trenches with a thickness of 12 {mu}m. > The 12-{mu}m-thick NdFeB/Ta magnetic film shows a retentivity of 1.3 T. > Magnetic structures as narrow as 20 {mu}m are fabricated using NdFeB magnetic powder. > VSM measurement shows a retentivity of 0.37 T for patterned NdFeB magnetic powder.

  13. Process hazards review of the 904-A trench

    International Nuclear Information System (INIS)

    Snyder, D.E.

    1988-01-01

    The 904-A trench is an enclosed underground concrete containment for high level and low level radioactive waste lines between the main Laboratory Building 773-A and waste storage and shipping Building 776-A. The waste generated in laboratories and other facilities in 773-A flows by gravity into the high level and low level drain lines, which proceed from 773-A through the 904-A trench. The trench ends at 776-2A, where the underground was handling tanks for both high level and low level liquids are located. The trench serves to contain any leaks originating in the drain lines. The trench is sloped downward toward the Building 776-2A pipe gallery. Any liquid collected from the sump can be pumped automatically to a waste tank sampled. The review of the 904-A trench system included a study of the trench and piping itself, as well as a study of the high level and low level drain lines from the laboratories to the trench. The present review emphasized on examination of the hazards involved in chemical reactions in the drain lines, misuse of the drains, and criticality. The following items were examined: Process Hazards Review of the Liquid Waste Collection System, Nuclear Criticality Review of the High Level Drain System, Improvements in the 904-A Trench System, Operating Procedures, and Unusual Incidents

  14. III/V nano ridge structures for optical applications on patterned 300 mm silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Kunert, B.; Guo, W.; Mols, Y.; Pantouvaki, M.; Van Campenhout, J.; Langer, R.; Barla, K. [imec, Kapeldreef 75, 3001 Heverlee (Belgium); Tian, B.; Wang, Z.; Shi, Y.; Van Thourhout, D. [Photonics Research Group, Ghent University, Technologiepark-Zwijnaarde 15, 9052 Gent (Belgium)

    2016-08-29

    We report on an integration approach of III/V nano ridges on patterned silicon (Si) wafers by metal organic vapor phase epitaxy (MOVPE). Trenches of different widths (≤500 nm) were processed in a silicon oxide (SiO{sub 2}) layer on top of a 300 mm (001) Si substrate. The MOVPE growth conditions were chosen in a way to guarantee an efficient defect trapping within narrow trenches and to form a box shaped ridge with increased III/V volume when growing out of the trench. Compressively strained InGaAs/GaAs multi-quantum wells with 19% indium were deposited on top of the fully relaxed GaAs ridges as an active material for optical applications. Transmission electron microcopy investigation shows that very flat quantum well (QW) interfaces were realized. A clear defect trapping inside the trenches is observed whereas the ridge material is free of threading dislocations with only a very low density of planar defects. Pronounced QW photoluminescence (PL) is detected from different ridge sizes at room temperature. The potential of these III/V nano ridges for laser integration on Si substrates is emphasized by the achieved ridge volume which could enable wave guidance and by the high crystal quality in line with the distinct PL.

  15. 'Dodo-Goldilocks' Trench Dug by Phoenix

    Science.gov (United States)

    2008-01-01

    This color image was acquired by NASA's Phoenix Mars Lander's Surface Stereo Imager on the 19th day of the mission, or Sol 19 (June 13, 2008), after the May 25, 2008, landing. This image shows one trench informally called 'Dodo-Goldilocks' after two digs (dug on Sol 18, or June 12, 2008) by Phoenix's Robotic Arm. The trench is 22 centimeters (8.7 inches) wide and 35 centimeters (13.8 inches) long. At its deepest point, the trench is 7 to 8 centimeters (2.7 to 3 inches) deep. White material, possibly ice, is located only at the upper portion of the trench, indicating that it is not continuous throughout the excavated site. According to scientists, the trench might be exposing a ledge, or only a portion of a slab, of the white material. The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  16. The special trench design near the through silicon vias (TSVs) to reduce the keep-out zone for application in three-dimensional integral circuits

    International Nuclear Information System (INIS)

    Liao, M-H

    2013-01-01

    Trench structure is designed and used to release process induced stress, resulting from the different material thermal expansion coefficients, in three-dimensional integral circuits (3DICs). The stress in the designed trench structure is measured by atomic force microscope Raman technique experimentally, and simulated by the full process simulation model. With the help of this simulation model, the optimized trench structure near the copper-filled TSV is designed and reported. The experimental data demonstrate that the tensile stress near the TSV can be reduced from 600 MPa to 150 MPa and the corresponding keep-out zone (KOZ) can also be decreased ∼4 times with the designed trench structure having a depth of 10 µm and spacing distance of 8 µm to the TSV. This work provides one potential solution to release process induced stress for real application of 3DICs. (paper)

  17. Challenges in hardening technologies using shallow-trench isolation

    International Nuclear Information System (INIS)

    Shaneyfelt, M.R.; Dodd, P.E.; Draper, B.L.; Flores, R.S.

    1998-02-01

    Challenges related to radiation hardening CMOS technologies with shallow-trench isolation are explored. Results show that trench hardening can be more difficult than simply replacing the trench isolation oxide with a hardened field oxide

  18. A study of the mechanisms causing surface defects on sidewalls during Si etching for TSV (through Si via)

    International Nuclear Information System (INIS)

    Choi, Jae Woong; Loh, Woon Leng; Praveen, Sampath Kumar; Murphy, Ramana; Swee, Eugene Tan Kiat

    2013-01-01

    In this paper we report three mechanisms causing surface defects on Si sidewalls during Si etching for TSV. The first mechanism causing surface defects was a downward surface-defect formation due to the participation of the residual polymerizing gas in the transition periods between passivation steps and etch steps. The second mechanism was an upward surface-defect formation due to etchant attacking the interface between the Si and the sidewall polymer. Although the sidewall polymer was thick enough to protect the Si surface, it was not possible to avoid surface defects if the etch step was not switched to the following passivation step in time. The third mechanism was a sponge-like surface-defect formation caused by either poor polymer depositions or voids inside the sidewall polymer. The sponge-like surface defects were formed by Si isotropic etching through the weak points of the sidewall polymer. All three surface defects were considered as the major factors on TSV integration and packaging reliability issues. (paper)

  19. Sidewall-friction-driven ordering transition in granular channel flows: Implications for granular rheology.

    Science.gov (United States)

    Mandal, Sandip; Khakhar, D V

    2017-11-01

    We report a transition from a disordered state to an ordered state in the flow of nearly monodisperse granular matter flowing in an inclined channel with planar slide walls and a bumpy base, using discrete element method simulations. For low particle-sidewall friction coefficients, the flowing particles are disordered, however, for high sidewall friction, an ordered state is obtained, characterized by a layering of the particles and hexagonal packing of the particles in each layer. The extent of ordering, quantified by the local bond-orientational order parameter, varies in the cross section of the channel, with the highest ordering near the sidewalls. The flow transition significantly affects the local rheology-the effective friction coefficient is lower, and the packing fraction is higher, in the ordered state compared to the disordered state. A simple model, incorporating the extent of local ordering, is shown to describe the rheology of the system.

  20. Sidewall-friction-driven ordering transition in granular channel flows: Implications for granular rheology

    Science.gov (United States)

    Mandal, Sandip; Khakhar, D. V.

    2017-11-01

    We report a transition from a disordered state to an ordered state in the flow of nearly monodisperse granular matter flowing in an inclined channel with planar slide walls and a bumpy base, using discrete element method simulations. For low particle-sidewall friction coefficients, the flowing particles are disordered, however, for high sidewall friction, an ordered state is obtained, characterized by a layering of the particles and hexagonal packing of the particles in each layer. The extent of ordering, quantified by the local bond-orientational order parameter, varies in the cross section of the channel, with the highest ordering near the sidewalls. The flow transition significantly affects the local rheology—the effective friction coefficient is lower, and the packing fraction is higher, in the ordered state compared to the disordered state. A simple model, incorporating the extent of local ordering, is shown to describe the rheology of the system.

  1. Characterization and application of selective all-wet metallization of silicon

    Science.gov (United States)

    Uncuer, Muhammet; Koser, Hur

    2012-01-01

    We demonstrate selective, two-level metallization of silicon using electroless deposition of copper and gold. In this process, adhesion between the copper and silicon is improved with the formation of intermediary copper-silicide, and the gold layer protects copper from oxidation. The resistivity and residual stress of Au/Cu is 450 Ω nm (220 Ω nm annealed) and 56 MPa (tensile), respectively. These Au/Cu films allow a truly conformal and selective coating of high-aspect-ratio Si structures with good adhesion. We demonstrate the potential of these films in microswitches/relays, accelerometers and sensors by conformally coating the sidewalls of long (up to 1 mm in length), slender microbeams (5 µm × 5 µm) without inducing curvature.

  2. Trench process and structure for backside contact solar cells with polysilicon doped regions

    Science.gov (United States)

    De Ceuster, Denis; Cousins, Peter John; Smith, David D.

    2010-12-14

    A solar cell includes polysilicon P-type and N-type doped regions on a backside of a substrate, such as a silicon wafer. An interrupted trench structure separates the P-type doped region from the N-type doped region in some locations but allows the P-type doped region and the N-type doped region to touch in other locations. Each of the P-type and N-type doped regions may be formed over a thin dielectric layer. Among other advantages, the resulting solar cell structure allows for increased efficiency while having a relatively low reverse breakdown voltage.

  3. High-Temperature Performance of Stacked Silicon Nanowires for Thermoelectric Power Generation

    Science.gov (United States)

    Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2013-07-01

    Deep reactive-ion etching at cryogenic temperatures (cryo-DRIE) has been used to produce arrays of silicon nanowires (NWs) for thermoelectric (TE) power generation devices. Using cryo-DRIE, we were able to fabricate NWs of large aspect ratios (up to 32) using a photoresist mask. Roughening of the NW sidewalls occurred, which has been recognized as beneficial for low thermal conductivity. Generated NWs, which were 7 μm in length and 220 nm to 270 nm in diameter, were robust enough to be stacked with a bulk silicon chip as a common top contact to the NWs. Mechanical support of the NW array, which can be created by filling the free space between the NWs using silicon oxide or polyimide, was not required. The Seebeck voltage, measured across multiple stacks of up to 16 bulk silicon dies, revealed negligible thermal interface resistance. With stacked silicon NWs, we observed Seebeck voltages that were an order of magnitude higher than those observed for bulk silicon. Degradation of the TE performance of silicon NWs was not observed for temperatures up to 470°C and temperature gradients up to 170 K.

  4. Trench Safety–Using a Qualitative Approach to Understand Barriers and Develop Strategies to Improve Trenching Practices

    Science.gov (United States)

    FLYNN, MICHAEL A.; SAMPSON, JULIE M.

    2015-01-01

    Despite efforts to ensure workplace safety and health, injuries and fatalities related to trenching and excavation remain alarmingly high in the construction industry. Because properly installed trenching protective systems can potentially reduce the significant number of trenching fatalities, there is clearly a need to identify the barriers to the use of these systems and to develop strategies to ensure these systems are utilized consistently. The current study reports on the results of focus groups with construction workers and safety management personnel to better understand these barriers and to identify solutions. The results suggest several factors, from poor planning to pressures from experienced workers and supervisors, which present barriers to safe trenching practices. Based on the results, it is recommended that safety trainings incorporate unique messages for new workers, experienced workers and management in an effort to motivate each group to work safely as well as provide them with solutions to overcome the identified barriers. PMID:26550006

  5. Slurry walls and slurry trenches - construction quality control

    International Nuclear Information System (INIS)

    Poletto, R.J.; Good, D.R.

    1997-01-01

    Slurry (panel) walls and slurry trenches have become conventional methods for construction of deep underground structures, interceptor trenches and hydraulic (cutoff) barriers. More recently polymers mixed with water are used to stabilize the excavation instead of bentonite slurry. Slurry walls are typically excavated in short panel segments, 2 to 7 m (7 to 23 ft) long, and backfilled with structural materials; whereas slurry trenches are fairly continuous excavations with concurrent backfilling of blended soils, or cement-bentonite mixtures. Slurry trench techniques have also been used to construct interceptor trenches. Currently no national standards exist for the design and/or construction of slurry walls/trenches. Government agencies, private consultants, contractors and trade groups have published specifications for construction of slurry walls/trenches. These specifications vary in complexity and quality of standards. Some place excessive emphasis on the preparation and control of bentonite or polymer slurry used for excavation, with insufficient emphasis placed on quality control of bottom cleaning, tremie concrete, backfill placement or requirements for the finished product. This has led to numerous quality problems, particularly with regard to identification of key depths, bottom sediments and proper backfill placement. This paper will discuss the inspection of slurry wall/trench construction process, identifying those areas which require special scrutiny. New approaches to inspection of slurry stabilized excavations are discussed

  6. Trench capacitor and method for producing the same

    NARCIS (Netherlands)

    2009-01-01

    A method of fabricating a trench capacitor, and a trench capacitor fabricated thereby, are disclosed. The method involves the use of a vacuum impregnation process for a sol-gel film, to facilitate effective deposition of high- permittivity materials within a trench in a semiconductor substrate, to

  7. A dual model approach to ground water recovery trench design

    International Nuclear Information System (INIS)

    Clodfelter, C.L.; Crouch, M.S.

    1992-01-01

    The design of trenches for contaminated ground water recovery must consider several variables. This paper presents a dual-model approach for effectively recovering contaminated ground water migrating toward a trench by advection. The approach involves an analytical model to determine the vertical influence of the trench and a numerical flow model to determine the capture zone within the trench and the surrounding aquifer. The analytical model is utilized by varying trench dimensions and head values to design a trench which meets the remediation criteria. The numerical flow model is utilized to select the type of backfill and location of sumps within the trench. The dual-model approach can be used to design a recovery trench which effectively captures advective migration of contaminants in the vertical and horizontal planes

  8. 300 Area Process Trenches Verification Package

    International Nuclear Information System (INIS)

    Lerch, J.A.

    1998-03-01

    The purpose of this verification package is to document achievement of the remedial action objectives for the 300 Area Process Trenches (300 APT) located within the 300-FF-1 Operable Unit (OU). The 300 APT became active in 1975 as a replacement for the North and South Process Pond system that is also part of the 300-FF-1 OU. The trenches received 300 Area process effluent from the uranium fuel fabrication facilities. Waste from the 300 Area laboratories that was determined to be below discharge limits based on monitoring performed at the 307 retention basin was also released to the trenches. Effluent flowed through the headworks sluice gates, down a concrete apron, and into the trenches. From the beginning of operations in 1975 until 1993, a continuous, composite sampler was located at the headwork structure to analyze process effluent at the point of discharge to the environment

  9. Burial trench dynamic compaction demonstration at a humid site

    International Nuclear Information System (INIS)

    Spalding, B.P.

    1985-01-01

    This task has the objective of determining the degree of consolidation which can be achieved by dynamic compaction of a closed burial trench within a cohesive soil formation. A seven-year-old burial trench in Solid Waste Storage Area (SWSA) 6 of Oak Ridge National Laboratory (ORNL) was selected for this demonstration. This 251 m 3 trench contained about 80 Ci of mixed radionuclides, mostly 90 Sr, in 25 m 3 of waste consisting of contaminated equipment, dry solids, and demolition debris. Prior to compaction, a total trench void space of 79 m 3 was measured by pumping the trench full of water with corrections for seepage. Additional pre-compaction characterization included trench cap bulk density (1.68 kg/L), trench cap permeability (3 x 10 -7 m/s), and subsurface waste/backfill hydraulic conductivity (>0.01 m/s). Compaction was achieved by repeatedly dropping a 4-ton steel-reinforced concrete cylinder from heights of 4 to 8 m using the whipline of a 70-ton crane. The average trench ground surface was depressed 0.79 m, with some sections over 2 m, yielding a surveyed volumetric depression which totaled to 64% of the measured trench void space. Trench cap (0 to 60 cm) bulk density and permeability were not affected by compaction indicating that the consolidation was largely subsurface. Neither surface nor airborne radioactive contamination were observed during repeated monitoring during the demonstration. Dynamic compaction was shown to be an excellent and inexpensive (i.e., about $20/m 2 ) method to collapse trench void space, thereby hastening subsidence and stabilizing the land surface. 15 refs., 10 figs., 3 tabs

  10. Effect of fluorocarbon self-assembled monolayer films on sidewall adhesion and friction of surface micromachines with impacting and sliding contact interfaces

    International Nuclear Information System (INIS)

    Xiang, H.; Komvopoulos, K.

    2013-01-01

    A self-assembled monolayer film consisting of fluoro-octyltrichlorosilane (FOTS) was vapor-phase deposited on Si(100) substrates and polycrystalline silicon (polysilicon) surface micromachines. The hydrophobic behavior and structural composition of the FOTS film deposited on Si(100) were investigated by goniometry and X-ray photoelectron spectroscopy, respectively. The effects of contact pressure, relative humidity, temperature, and impact/sliding cycles on the adhesive and friction behavior of uncoated and FOTS-coated polysilicon micromachines (referred to as the Si and FOTS/Si micromachines, respectively) were investigated under controlled loading and environmental conditions. FOTS/Si micromachines demonstrated much lower and stable adhesion than Si micromachines due to the highly hydrophobic and conformal FOTS film. Contrary to Si micromachines, sidewall adhesion of FOTS/Si micromachines demonstrated a weak dependence on relative humidity, temperature, and impact cycles. In addition, FOTS/Si micromachines showed low and stable adhesion and low static friction for significantly more sliding cycles than Si micromachines. The adhesive and static friction characteristics of Si and FOTS/Si micromachines are interpreted in the context of physicochemical surface changes, resulting in the increase of the real area of contact and a hydrophobic-to-hydrophilic transition of the surface chemical characteristics caused by nanoscale surface smoothening and the removal of the organic residue (Si micromachines) or the FOTS film (FOTS/Si micromachines) during repetitive impact and oscillatory sliding of the sidewall surfaces.

  11. Characterization of deep nanoscale surface trenches with AFM using thin carbon nanotube probes in amplitude-modulation and frequency-force-modulation modes

    International Nuclear Information System (INIS)

    Solares, Santiago D

    2008-01-01

    The characterization of deep surface trenches with atomic force microscopy (AFM) presents significant challenges due to the sharp step edges that disturb the instrument and prevent it from faithfully reproducing the sample topography. Previous authors have developed AFM methodologies to successfully characterize semiconductor surface trenches with dimensions on the order of tens of nanometers. However, the study of imaging fidelity for features with dimensions smaller than 10 nm has not yet received sufficient attention. Such a study is necessary because small features in some cases lead to apparently high-quality images that are distorted due to tip and sample mechanical deformation. This paper presents multi-scale simulations, illustrating common artifacts affecting images of nanoscale trenches taken with fine carbon nanotube probes within amplitude-modulation and frequency-force-modulation AFM (AM-AFM and FFM-AFM, respectively). It also describes a methodology combining FFM-AFM with a step-in/step-out algorithm analogous to that developed by other groups for larger trenches, which can eliminate the observed artifacts. Finally, an overview of the AFM simulation methods is provided. These methods, based on atomistic and continuum simulation, have been previously used to study a variety of samples including silicon surfaces, carbon nanotubes and biomolecules

  12. Ultra-thin silicon/electro-optic polymer hybrid waveguide modulators

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, Feng; Spring, Andrew M. [Institute for Materials Chemistry and Engineering, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan); Sato, Hiromu [Department of Molecular and Material Sciences, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan); Maeda, Daisuke; Ozawa, Masa-aki; Odoi, Keisuke [Nissan Chemical Industries, Ltd., 2-10-1 Tuboi Nishi, Funabashi, Chiba 274-8507 (Japan); Aoki, Isao; Otomo, Akira [National Institute of Information and Communications Technology, 588-2 Iwaoka, Nishi-ku, Kobe 651-2492 (Japan); Yokoyama, Shiyoshi, E-mail: s-yokoyama@cm.kyushu-u.ac.jp [Institute for Materials Chemistry and Engineering, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan); Department of Molecular and Material Sciences, Kyushu University, 6-1 Kasuga-koen Kasuga, Fukuoka 816-8580 (Japan)

    2015-09-21

    Ultra-thin silicon and electro-optic (EO) polymer hybrid waveguide modulators have been designed and fabricated. The waveguide consists of a silicon core with a thickness of 30 nm and a width of 2 μm. The cladding is an EO polymer. Optical mode calculation reveals that 55% of the optical field around the silicon extends into the EO polymer in the TE mode. A Mach-Zehnder interferometer (MZI) modulator was prepared using common coplanar electrodes. The measured half-wave voltage of the MZI with 7 μm spacing and 1.3 cm long electrodes is 4.6 V at 1550 nm. The evaluated EO coefficient is 70 pm/V, which is comparable to that of the bulk EO polymer film. Using ultra-thin silicon is beneficial in order to reduce the side-wall scattering loss, yielding a propagation loss of 4.0 dB/cm. We also investigated a mode converter which couples light from the hybrid EO waveguide into a strip silicon waveguide. The calculation indicates that the coupling loss between these two devices is small enough to exploit the potential fusion of a hybrid EO polymer modulator together with a silicon micro-photonics device.

  13. Investigation of sidewall roughness of the microgrooves manufactured with laser-induced etching technique

    International Nuclear Information System (INIS)

    Oh, Kwang H.; Park, J.B.; Cho, S.I.; Im, H.D.; Jeong, S.H.

    2009-01-01

    A novel laser etching technique utilizing an optical fiber as the laser beam guide is introduced. Depending upon whether a pulsed or a continuous wave (CW) laser is employed as the irradiation source, it was found that the etch depth and surface morphology of the grooves varied significantly. It was then demonstrated that deep microgrooves with smooth sidewalls can be obtained using a hybrid pulse and CW scanning process. The results of laser heating and chemical analyses revealed that sidewall roughness of the microgroove is mainly attributed to surface melting.

  14. InP nanowire array solar cell with cleaned sidewalls

    NARCIS (Netherlands)

    Cui, Y.; Plissard, S.; Wang, J.; Vu, T.T.T.; Smalbrugge, E.; Geluk, E.J.; de Vries, T.; Bolk, J.; Trainor, M.J.; Verheijen, M.A.; Haverkort, J.E.M.; Bakkers, E.P.A.M.

    2013-01-01

    We have fabricated InP nanowire array solar cells with an axial p-n junction. Catalyst gold nanoparticles were first patterned into an array by nanoimprint lithography. The nanowire array was grown in 19 minutes by vapor-liquid-solid growth. The sidewalls were in-situ etched by HCl and ex-situ

  15. Aircraft interior noise models - Sidewall trim, stiffened structures, and cabin acoustics with floor partition

    Science.gov (United States)

    Pope, L. D.; Wilby, E. G.; Willis, C. M.; Mayes, W. H.

    1983-01-01

    As part of the continuing development of an aircraft interior noise prediction model, in which a discrete modal representation and power flow analysis are used, theoretical results are considered for inclusion of sidewall trim, stiffened structures, and cabin acoustics with floor partition. For validation purposes, predictions of the noise reductions for three test articles (a bare ring-stringer stiffened cylinder, an unstiffened cylinder with floor and insulation, and a ring-stringer stiffened cylinder with floor and sidewall trim) are compared with measurements.

  16. Does the underground sidewall station survey method meet MHSA ...

    African Journals Online (AJOL)

    The question is asked whether or not this method of sur-veying will meet the MHSA standards of accuracy that was developed for typical hangingwall traverse type networks. Results obtained from a survey closure using a network of clusters of four sidewall stations demonstrates that under the described circumstances it will ...

  17. Influence of the transition region between p- and n-type polycrystalline silicon passivating contacts on the performance of interdigitated back contact silicon solar cells

    Science.gov (United States)

    Reichel, Christian; Müller, Ralph; Feldmann, Frank; Richter, Armin; Hermle, Martin; Glunz, Stefan W.

    2017-11-01

    Passivating contacts based on thin tunneling oxides (SiOx) and n- and p-type semi-crystalline or polycrystalline silicon (poly-Si) enable high passivation quality and low contact resistivity, but the integration of these p+/n emitter and n+/n back surface field junctions into interdigitated back contact silicon solar cells poses a challenge due to high recombination at the transition region from p-type to n-type poly-Si. Here, the transition region was created in different configurations—(a) p+ and n+ poly-Si regions are in direct contact with each other ("pn-junction"), using a local overcompensation (counterdoping) as a self-aligning process, (b) undoped (intrinsic) poly-Si remains between the p+ and n+ poly-Si regions ("pin-junction"), and (c) etched trenches separate the p+ and n+ poly-Si regions ("trench")—in order to investigate the recombination characteristics and the reverse breakdown behavior of these solar cells. Illumination- and injection-dependent quasi-steady state photoluminescence (suns-PL) and open-circuit voltage (suns-Voc) measurements revealed that non-ideal recombination in the space charge regions with high local ideality factors as well as recombination in shunted regions strongly limited the performance of solar cells without a trench. In contrast, solar cells with a trench allowed for open-circuit voltage (Voc) of 720 mV, fill factor of 79.6%, short-circuit current (Jsc) of 41.3 mA/cm2, and a conversion efficiencies (η) of 23.7%, showing that a lowly conducting and highly passivating intermediate layer between the p+ and n+ poly-Si regions is mandatory. Independent of the configuration, no hysteresis was observed upon multiple stresses in reverse direction, indicating a controlled and homogeneously distributed breakdown, but with different breakdown characteristics.

  18. Surfing Silicon Nanofacets for Cold Cathode Electron Emission Sites.

    Science.gov (United States)

    Basu, Tanmoy; Kumar, Mohit; Saini, Mahesh; Ghatak, Jay; Satpati, Biswarup; Som, Tapobrata

    2017-11-08

    Point sources exhibit low threshold electron emission due to local field enhancement at the tip. In the case of silicon, however, the realization of tip emitters has been hampered by unwanted oxidation, limiting the number of emission sites and the overall current. In contrast to this, here, we report the fascinating low threshold (∼0.67 V μm -1 ) cold cathode electron emission from silicon nanofacets (Si-NFs). The ensembles of nanofacets fabricated at different time scales, under low energy ion impacts, yield tunable field emission with a Fowler-Nordheim tunneling field in the range of 0.67-4.75 V μm -1 . The local probe surface microscopy-based tunneling current mapping in conjunction with Kelvin probe force microscopy measurements revealed that the valleys and a part of the sidewalls of the nanofacets contribute more to the field emission process. The observed lowest turn-on field is attributed to the absence of native oxide on the sidewalls of the smallest facets as well as their lowest work function. In addition, first-principle density functional theory-based simulation revealed a crystal orientation-dependent work function of Si, which corroborates well with our experimental observations. The present study demonstrates a novel way to address the origin of the cold cathode electron emission sites from Si-NFs fabricated at room temperature. In principle, the present methodology can be extended to probe the cold cathode electron emission sites from any nanostructured material.

  19. Eco-trench: a novel trench solution based on reusing excavated material and a finishing layer of expansive concrete

    International Nuclear Information System (INIS)

    Blanco, A.; Pujadas, R.; Fernández, C.; Cavalaro, S.H.P.; Aguado, A.

    2017-01-01

    Installing utility pipelines generates a significant amount of trench arisings, which are usually transported to landfills instead of being reused as backfill material. This practice generates CO2 emissions and wastes raw materials. This paper presents a more sustainable solution, an eco-trench, which is based on re-using trench arisings as backfill and adding a top layer of expansive concrete to improve the eco-trench’s structural performance. The technical feasibility of the eco-trench was evaluated through a finite element model, which identified the degree of expansion in concrete required to avoid failure or subside the stresses caused by traffic. The potential expansion of concrete was measured under confined conditions in the laboratory by means of a novel test developed for this purpose. The results showed that adding calcium oxide generates the required internal stress. The results were then confirmed in a pilot experience. [es

  20. Eco-trench: a novel trench solution based on reusing excavated material and a finishing layer of expansive concrete

    Directory of Open Access Journals (Sweden)

    A. Blanco

    2017-09-01

    Full Text Available Installing utility pipelines generates a significant amount of trench arisings, which are usually transported to landfills instead of being reused as backfill material. This practice generates CO2 emissions and wastes raw materials. This paper presents a more sustainable solution, an eco-trench, which is based on re-using trench arisings as backfill and adding a top layer of expansive concrete to improve the eco-trench’s structural performance. The technical feasibility of the eco-trench was evaluated through a finite element model, which identified the degree of expansion in concrete required to avoid failure or subside the stresses caused by traffic. The potential expansion of concrete was measured under confined conditions in the laboratory by means of a novel test developed for this purpose. The results showed that adding calcium oxide generates the required internal stress. The results were then confirmed in a pilot experience.

  1. Trial coring in LLRW trenches at Chalk River

    International Nuclear Information System (INIS)

    Donders, R.E.; Killey, R.W.D.; Franklin, K.J.; Strobel, G.S.

    1996-11-01

    As part of a program to better characterize the low-hazard radioactive waste managed by AECL at Chalk River Laboratories, coring techniques in waste trenches are being assessed. Trial coring has demonstrated that sampling in waste regions is possible, and that boreholes can be placed through the waste trenches. Such coring provides a valuable information-gathering technique. Information available from trench coring includes: trench cover depth, waste region depth, waste compaction level, and detailed stratigraphic data; soil moisture content and facility drainage performance; borehole gamma logs that indicate radiation levels in the region of the borehole; biochemical conditions in the waste regions, vadose zone, and groundwater; site specific information relevant to contaminant migration modelling or remedial actions; information on contaminant releases and inventories. Boreholes through the trenches can also provide a means for early detection of potential contaminant releases. (author). 4 refs., 4 tabs., 4 figs

  2. Resonator-Based Silicon Electro-Optic Modulator with Low Power Consumption

    Science.gov (United States)

    Xin, Maoqing; Danner, Aaron J.; Eng Png, Ching; Thor Lim, Soon

    2009-04-01

    This paper demonstrates, via simulation, an electro-optic modulator based on a subwavelength Fabry-Perot resonator cavity with low power consumption of 86 µW/µm. This is, to the best of our knowledge, the lowest power reported for silicon photonic bandgap modulators. The device is modulated at a doped p-i-n junction overlapping the cavity in a silicon waveguide perforated with etched holes, with the doping area optimized for minimum power consumption. The surface area of the entire device is only 2.1 µm2, which compares favorably to other silicon-based modulators. A modulation speed of at least 300 MHz is detected from the electrical simulator after sidewall doping is introduced which is suitable for sensing or fiber to the home (FTTH) technologies, where speed can be traded for low cost and power consumption. The device does not rely on ultra-high Q, and could serve as a sensor, modulator, or passive filter with built-in calibration.

  3. Fabrication Method for LOBSTER-Eye Optics in Silicon

    Science.gov (United States)

    Chervenak, James; Collier, Michael; Mateo, Jennette

    2013-01-01

    Soft x-ray optics can use narrow slots to direct x-rays into a desirable pattern on a focal plane. While square-pack, square-pore, slumped optics exist for this purpose, they are costly. Silicon (Si) is being examined as a possible low-cost replacement. A fabrication method was developed for narrow slots in Si demonstrating the feasibility of stacked slot optics to replace micropores. Current micropore optics exist that have 20-micron-square pores on 26-micron pitch in glass with a depth of 1 mm and an extent of several square centimeters. Among several proposals to emulate the square pore optics are stacked slot chips with etched vertical slots. When the slots in the stack are positioned orthogonally to each other, the component will approach the soft x-ray focusing observed in the micropore optics. A specific improvement Si provides is that it can have narrower sidewalls between slots to permit greater throughput of x-rays through the optics. In general, Si can have more variation in slot geometry (width, length). Further, the sidewalls can be coated with high-Z materials to enhance reflection and potentially reduce the surface roughness of the reflecting surface. Narrow, close-packed deep slots in Si have been produced using potassium hydroxide (KOH) etching and a patterned silicon nitride (SiN) mask. The achieved slot geometries have sufficient wall smoothness, as observed through scanning electron microscope (SEM) imaging, to enable evaluation of these slot plates as an optical element for soft x-rays. Etches of different angles to the crystal plane of Si were evaluated to identify a specific range of etch angles that will enable low undercut slots in the Si material. These slots with the narrow sidewalls are demonstrated to several hundred microns in depth, and a technical path to 500-micron deep slots in a precision geometry of narrow, closepacked slots is feasible. Although intrinsic stress in ultrathin wall Si is observed, slots with walls approaching 1

  4. Gas-surface dynamics and charging effects during plasma processing of semiconductors

    Science.gov (United States)

    Hwang, Gyeong Soon

    energy ions and lowering the electron temperature helps decrease the impact of charging. In this numerical work, we found that charging damage is a strong function of the shape of feature profiles evolving during etching as well as the extent of microloading. Charging damage may occur by means of surging currents and steady-state currents. Although the lack of experimental evidence still leaves room for other mechanisms, the experimental observations of the notching behavior suggest strongly that the notching effect is closely connected with pattern-dependent charging. Our charging simulations have demonstrated that the potential difference between the trench bottom and the poly-Si gate is mainly responsible for notching by deflecting incoming ions. The study of the mask charging effect on feature profile evolution during chlorine plasma etching of silicon suggests that differential charging at mask sidewalls could significantly influence the evolution of etch profiles under typical plasma conditions when the mask thickness is large. At moderate mask aspect ratios, the local electric fields created by mask charging cause to the deflection of ions to the trench sidewalls, increasing the flux of energetic particles scattered into the base of the trench sidewalls and thus aggravating microtrenching. On the other hand, at high mask aspect ratios, mask charging results in focusing incoming ions onto the center of the trench bottom, causing a more rounded trench bottom without microtrenching. (Abstract shortened by UMI.)

  5. High aspect ratio channels in glass and porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Liang, H.D. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore, Singapore 117542 (Singapore); Nanoscience and Nanotechnology Initiative (NNI), National University of Singapore, Singapore 117411 (Singapore); Dang, Z.Y. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore, Singapore 117542 (Singapore); Wu, J.F. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore, Singapore 117542 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583 (Singapore); Kan, J.A. van; Qureshi, S. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore, Singapore 117542 (Singapore); Ynsa, M.D.; Torres-Costa, V. [Department of Applied Physics, Universidad Autónoma de Madrid, Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Centro de Micro-Análisis de Materiales (CMAM), Universidad Autónoma de Madrid, Campus de Cantoblanco Edif. 22, Faraday 3, E-28049 Madrid (Spain); Maira, A. [Department of Applied Physics, Universidad Autónoma de Madrid, Madrid, Campus de Cantoblanco, 28049 Madrid (Spain); Venkatesan, T.V. [Nanoscience and Nanotechnology Initiative (NNI), National University of Singapore, Singapore 117411 (Singapore); Breese, M.B.H., E-mail: phymbhb@nus.edu.sg [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore, Singapore 117542 (Singapore)

    2017-03-01

    We have developed a micromachining process to produce high-aspect-ratio channels and holes in glass and porous silicon. Our process utilizes MeV proton beam irradiation of silicon using direct writing with a focused beam, followed by electrochemical etching. To increase throughput we have also developed another process for large area ion irradiation based on a radiation-resistant gold surface mask, allowing many square inches to be patterned. We present a study of the achievable channel width, depth and period and sidewall verticality for a range of channels which can be over 100 μm deep or 100 nm wide with aspect ratios up to 80. This process overcomes the difficulty of machining glass on a micro- and nanometer scale which has limited many areas of applications in different fields such as microelectronics and microfluidics.

  6. Neglecting safety precautions may lead to trenching fatalities.

    Science.gov (United States)

    Deatherage, J Harold; Furches, Lisa K; Radcliffe, Mike; Schriver, William R; Wagner, John P

    2004-06-01

    Trench collapses ranked as the seventh leading cause of the possible twenty-nine causes of OSHA-inspected fatal construction events during the period 1991-2001. This study aims to examine why these fatalities occurred. Forty-four case files from OSHA inspections of fatal trench collapses were reviewed. Improper protection of the excavation site where work was taking place was the leading fatality cause. Several organizational or physical conditions were present at many fatal sites; the most frequent was that no training had been provided for trenching. Presence of a competent, diligent person at the site would have prohibited most fatalities. The top cited violation was lack of protection, that is, benching, shoring, sloping, trench boxes, etc. (29 CFR 1926.652 (a) (1)). Copyright 2004 Wiley-Liss, Inc.

  7. Vertically distinct microbial communities in the Mariana and Kermadec trenches

    Science.gov (United States)

    Donaldson, Sierra; Osuntokun, Oladayo; Xia, Qing; Nelson, Alex; Blanton, Jessica; Allen, Eric E.; Church, Matthew J.; Bartlett, Douglas H.

    2018-01-01

    Hadal trenches, oceanic locations deeper than 6,000 m, are thought to have distinct microbial communities compared to those at shallower depths due to high hydrostatic pressures, topographical funneling of organic matter, and biogeographical isolation. Here we evaluate the hypothesis that hadal trenches contain unique microbial biodiversity through analyses of the communities present in the bottom waters of the Kermadec and Mariana trenches. Estimates of microbial protein production indicate active populations under in situ hydrostatic pressures and increasing adaptation to pressure with depth. Depth, trench of collection, and size fraction are important drivers of microbial community structure. Many putative hadal bathytypes, such as members related to the Marinimicrobia, Rhodobacteraceae, Rhodospirilliceae, and Aquibacter, are similar to members identified in other trenches. Most of the differences between the two trench microbiomes consists of taxa belonging to the Gammaproteobacteria whose distributions extend throughout the water column. Growth and survival estimates of representative isolates of these taxa under deep-sea conditions suggest that some members may descend from shallower depths and exist as a potentially inactive fraction of the hadal zone. We conclude that the distinct pelagic communities residing in these two trenches, and perhaps by extension other trenches, reflect both cosmopolitan hadal bathytypes and ubiquitous genera found throughout the water column. PMID:29621268

  8. An electrostatic 3-phase linear stepper motor fabricated by vertical trench isolation technology

    International Nuclear Information System (INIS)

    Sarajlic, Edin; Yamahata, Christophe; Cordero, Mauricio; Fujita, Hiroyuki

    2009-01-01

    We present the design, microfabrication and characterization of an electrostatic 3-phase linear stepper micromotor constructed with vertical trench isolation technology. This suitable technology was used to create a monolithic stepper motor with high-aspect-ratio poles and an integrated 3-phase electrical network in the bulk of a standard single-crystal silicon wafer. The shuttle of the stepper motor is suspended by a flexure to avoid any mechanical contact during operation, enhancing the precision, repeatability and reliability of the stepping motion. The prototype is capable of a maximum travel of +/−26 µm (52 µm) at an actuation voltage of 30 V and a step size of 1.4 µm during a half-stepping sequence

  9. Subthreshold currents in CMOS transistors made on oxygen-implanted silicon

    International Nuclear Information System (INIS)

    Foster, D.J.

    1983-01-01

    Kinks have been observed in subthreshold current plots of mesa-shaped n-channel transistors made on oxygen-implanted silicon substrates. The kinks represent additional current flow and are due to overlapping fields from the gate electrode causing early corner inversion and to a Qsub(ss) side-wall effect. Subthreshold currents in n-channel transistors are dominated by the two effects which, as a consequence, reduce threshold voltages especially in narrow n-channel transistors. The subthreshold characteristics of p-channel transistors were not affected in the same way. (author)

  10. Nematode communities in sediments of the Kermadec Trench, Southwest Pacific Ocean

    Science.gov (United States)

    Leduc, Daniel; Rowden, Ashley A.

    2018-04-01

    Hadal trenches are characterized by environmental conditions not found in any other deep-sea environment, such as steep topography and periodic disturbance by turbidity flows, which are likely responsible for the distinct nature of benthic communities of hadal trenches relative to those of the abyssal plain. Nematodes are the most abundant metazoans in the deep-sea benthos, but it is not yet clear if different trenches host distinct nematode communities, and no data are yet available on the communities of most trenches, including the Kermadec Trench in the Southwest Pacific. Quantitative core samples from the seafloor of the Kermadec Trench were recently obtained from four sites at 6000-9000 m depth which allowed for analyses of meiofauna, and nematodes in particular, for the first time. Nematode community and trophic structure was also compared with other trenches using published data. There was a bathymetric gradient in meiofauna abundance, biomass, and community structure within the Kermadec Trench, but patterns for species richness were ambiguous depending on which metric was used. There was a change in community structure from shallow to deep sites, as well as a consistent change in community structure from the upper sediment layers to the deeper sediment layers across the four sites. These patterns are most likely explained by variation in food availability within the trench, and related to trench topography. Together, deposit and microbial feeders represented 48-92% of total nematode abundance in the samples, which suggests that fine organic detritus and bacteria are major food sources. The relatively high abundance of epigrowth feeders at the 6000 and 9000 m sites (38% and 31%, respectively) indicates that relatively freshly settled microalgal cells represent another important food source at these sites. We found a significant difference in species community structure between the Kermadec and Tonga trenches, which was due to both the presence/absence of

  11. Habitat heterogeneity of hadal trenches: Considerations and implications for future studies

    Science.gov (United States)

    Stewart, Heather A.; Jamieson, Alan J.

    2018-02-01

    The hadal zone largely comprises a series of subduction trenches that do not form part of the continental shelf-slope rise to abyssal plain continuum. Instead they form geographically isolated clusters of deep-sea (6000-11,000 m water depth) environments. There is a growing realization in hadal science that ecological patterns and processes are not driven solely by responses to hydrostatic pressure, with comparable levels of habitat heterogeneity as observed in other marine biozones. Furthermore, this heterogeneity can be expressed at multiple scales from inter-trench levels (degrees of geographical isolation, and biochemical province), to intra-trench levels (variation between trench flanks and axis), topographical features within the trench interior (sedimentary basins, ridges, escarpments, 'deeps', seamounts) to the substrate of the trench floor (seabed-sediment composition, mass movement deposits, bedrock outcrop). Using best available bathymetry data combined with the largest lander-derived imaging dataset that spans the full depth range of three hadal trenches (including adjacent slopes); the Mariana, Kermadec and New Hebrides trenches, the topographic variability, fine-scale habitat heterogeneity and distribution of seabed sediments of these three trenches have been assessed for the first time. As well as serving as the first descriptive study of habitat heterogeneity at hadal depths, this study also provides guidance for future hadal sampling campaigns taking into account geographic isolation, total trench particulate organic matter flux, maximum water depth and area.

  12. Variably-saturated groundwater modeling for optimizing managed aquifer recharge using trench infiltration

    Science.gov (United States)

    Heilweil, Victor M.; Benoit, Jerome; Healy, Richard W.

    2015-01-01

    Spreading-basin methods have resulted in more than 130 million cubic meters of recharge to the unconfined Navajo Sandstone of southern Utah in the past decade, but infiltration rates have slowed in recent years because of reduced hydraulic gradients and clogging. Trench infiltration is a promising alternative technique for increasing recharge and minimizing evaporation. This paper uses a variably saturated flow model to further investigate the relative importance of the following variables on rates of trench infiltration to unconfined aquifers: saturated hydraulic conductivity, trench spacing and dimensions, initial water-table depth, alternate wet/dry periods, and number of parallel trenches. Modeling results showed (1) increased infiltration with higher hydraulic conductivity, deeper initial water tables, and larger spacing between parallel trenches, (2) deeper or wider trenches do not substantially increase infiltration, (3) alternating wet/dry periods result in less overall infiltration than keeping the trenches continuously full, and (4) larger numbers of parallel trenches within a fixed area increases infiltration but with a diminishing effect as trench spacing becomes tighter. An empirical equation for estimating expected trench infiltration rates as a function of hydraulic conductivity and initial water-table depth was derived and can be used for evaluating feasibility of trench infiltration in other hydrogeologic settings

  13. Positron annihilation lifetime spectroscopy (PALS) application in metal barrier layer integrity for porous low- k materials

    CERN Document Server

    Simon, Lin; Gidley, D W; Wetzel, J T; Monnig, K A; Ryan, E T; Simon, Jang; Douglas, Yu; Liang, M S; En, W G; Jones, E C; Sturm, J C; Chan, M J; Tiwari, S C; Hirose, M

    2002-01-01

    Positron Annihilation Lifetime Spectroscopy (PALS) is a useful tool to pre-screen metal barrier integrity for Si-based porous low-k dielectrics. Pore size of low-k, thickness of metal barrier Ta, positronium (Ps) leakage from PALS, trench sidewall morphology, electrical test from one level metal (1LM) pattern wafer and Cu diffusion analysis were all correlated. Macro-porous low-k (pore size >=200 AA) and large scale meso-porous low-k (>50~200 AA) encounter both Ps leakage and Cu diffusion into low-k dielectric in the 0.25 mu mL/0.3 mu mS structures when using SEMATECH in-house PVD Ta 250 AA as barrier layer. For small scale meso-porous (>20~50 AA) and micro- porous (<=20 AA) low-k, no Ps leakage and no Cu diffusion into low-k were observed even with PVD Ta 50 AA, which is proved also owing to sidewall densification to seal all sidewall pores due to plasma etch and ash. For future technology, smaller pore size of porous Si-based low-k (=<50 AA) will be preferential for dense low-k like trench sidewall to...

  14. Ultrahigh capacitance density for multiple ALD-grown MIM capacitor stacks in 3-D silicon

    NARCIS (Netherlands)

    Klootwijk, J.H.; Jinesh, K.B.; Dekkers, W.; Verhoeven, J.F.C.; Heuvel, van den F.C.; Kim, H.-D.; Blin, D.; Verheijen, M.A.; Weemaes, R.G.R.; Kaiser, M.; Ruigrok, J.J.M.; Roozeboom, F.

    2008-01-01

    "Trench" capacitors containing multiple metal-insulator-metal (MIM) layer stacks are realized by atomic-layer deposition (ALD), yielding an ultrahigh capacitance density of 440 nF/mm2 at a breakdown voltage VBD > 6 V. This capacitance density on silicon is at least 10 times higher than the values

  15. Geology of the Integrated Disposal Facility Trench

    International Nuclear Information System (INIS)

    Reidel, Steve P.; Fecht, Karl R.

    2005-01-01

    This report describes the geology of the integrated Disposal Facility (IDF) Trench. The stratigraphy consists of some of the youngest sediments of the Missoula floods (younger than 770 ka). The lithology is dominated sands with minor silts and gravels that are largely unconsolidated. The stratigraphy can be subdivided into five geologic units that can be mapped throughout the trench. Four of the units were deposited by the Missoula floods and the youngest consists of windblown sand and silt. The sediment has little moisture and is consistent with that observed in the characterization boreholes. The sedimentary layers are flat lying and there are no faults or folds present. Two clastic dikes were encountered, one along the west wall and one that can be traced from the north to the southwall. The north-south clastic dike nearly bifurcates the trench but the west wall clastic dike can not be traced very far east into the trench. The classic dikes consist mainly of sand with clay-lined walls. The sediment in the dikes is compacted to partly cemented and are more resistant than the layered sediments

  16. Color View 'Dodo' and 'Baby Bear' Trenches

    Science.gov (United States)

    2008-01-01

    NASA's Phoenix Mars Lander's Surface Stereo Imager took this image on Sol 14 (June 8, 2008), the 14th Martian day after landing. It shows two trenches dug by Phoenix's Robotic Arm. Soil from the right trench, informally called 'Baby Bear,' was delivered to Phoenix's Thermal and Evolved-Gas Analyzer, or TEGA, on Sol 12 (June 6). The following several sols included repeated attempts to shake the screen over TEGA's oven number 4 to get fine soil particles through the screen and into the oven for analysis. The trench on the left is informally called 'Dodo' and was dug as a test. Each of the trenches is about 9 centimeters (3 inches) wide. This view is presented in approximately true color by combining separate exposures taken through different filters of the Surface Stereo Imager. The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  17. Carrier gas effects on aluminum-catalyzed nanowire growth

    International Nuclear Information System (INIS)

    Ke, Yue; Hainey, Mel Jr; Won, Dongjin; Weng, Xiaojun; Eichfeld, Sarah M; Redwing, Joan M

    2016-01-01

    Aluminum-catalyzed silicon nanowire growth under low-pressure chemical vapor deposition conditions requires higher reactor pressures than gold-catalyzed growth, but the reasons for this difference are not well understood. In this study, the effects of reactor pressure and hydrogen partial pressure on silicon nanowire growth using an aluminum catalyst were studied by growing nanowires in hydrogen and hydrogen/nitrogen carrier gas mixtures at different total reactor pressures. Nanowires grown in the nitrogen/hydrogen mixture have faceted catalyst droplet tips, minimal evidence of aluminum diffusion from the tip down the nanowire sidewalls, and significant vapor–solid deposition of silicon on the sidewalls. In comparison, wires grown in pure hydrogen show less well-defined tips, evidence of aluminum diffusion down the nanowire sidewalls at increasing reactor pressures and reduced vapor–solid deposition of silicon on the sidewalls. The results are explained in terms of a model wherein the hydrogen partial pressure plays a critical role in aluminum-catalyzed nanowire growth by controlling hydrogen termination of the silicon nanowire sidewalls. For a given reactor pressure, increased hydrogen partial pressures increase the extent of hydrogen termination of the sidewalls which suppresses SiH_4 adsorption thereby reducing vapor–solid deposition of silicon but increases the surface diffusion length of aluminum. Conversely, lower hydrogen partial pressures reduce the hydrogen termination and also increase the extent of SiH_4 gas phase decomposition, shifting the nanowire growth window to lower growth temperatures and silane partial pressures. (paper)

  18. Trench 'bathtubbing' and surface plutonium contamination at a legacy radioactive waste site.

    Science.gov (United States)

    Payne, Timothy E; Harrison, Jennifer J; Hughes, Catherine E; Johansen, Mathew P; Thiruvoth, Sangeeth; Wilsher, Kerry L; Cendón, Dioni I; Hankin, Stuart I; Rowling, Brett; Zawadzki, Atun

    2013-01-01

    Radioactive waste containing a few grams of plutonium (Pu) was disposed between 1960 and 1968 in trenches at the Little Forest Burial Ground (LFBG), near Sydney, Australia. A water sampling point installed in a former trench has enabled the radionuclide content of trench water and the response of the water level to rainfall to be studied. The trench water contains readily measurable Pu activity (~12 Bq/L of (239+240)Pu in 0.45 μm-filtered water), and there is an associated contamination of Pu in surface soils. The highest (239+240)Pu soil activity was 829 Bq/kg in a shallow sample (0-1 cm depth) near the trench sampling point. Away from the trenches, the elevated concentrations of Pu in surface soils extend for tens of meters down-slope. The broader contamination may be partly attributable to dispersion events in the first decade after disposal, after which a layer of soil was added above the trenched area. Since this time, further Pu contamination has occurred near the trench-sampler within this added layer. The water level in the trench-sampler responds quickly to rainfall and intermittently reaches the surface, hence the Pu dispersion is attributed to saturation and overflow of the trenches during extreme rainfall events, referred to as the 'bathtub' effect.

  19. Morning Frost in Trench Dug by Phoenix, Sol 113

    Science.gov (United States)

    2008-01-01

    This image from the Surface Stereo Imager on NASA's Phoenix Mars Lander shows morning frost inside the 'Snow White' trench dug by the lander, in addition to subsurface ice exposed by use of a rasp on the floor of the trench. The camera took this image at about 9 a.m. local solar time during the 113th Martian day of the mission (Sept. 18, 2008). Bright material near and below the four-by-four set of rasp holes in the upper half of the image is water-ice exposed by rasping and scraping in the trench earlier the same morning. Other bright material especially around the edges of the trench, is frost. Earlier in the mission, when the sun stayed above the horizon all night, morning frost was not evident in the trench. This image is presented in approximately true color. The trench is 4 to 5 centimeters (about 2 inches) deep, about 23 centimeters (9 inches) wide. Phoenix landed on a Martian arctic plain on May 25, 2008. The mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is led by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development was by Lockheed Martin Space Systems, Denver.

  20. 300 Area Process Trenches Closure Plan

    International Nuclear Information System (INIS)

    Luke, S.N.

    1994-01-01

    Since 1987, Westinghouse Hanford Company has been a major contractor to the US Department of Energy, Richland Operations Office and has served as co-operator of the 300 Area Process Trenches, the waste management unit addressed in this closure plan. For the purposes of the Resource Conservation and Recovery Act, Westinghouse Hanford Company is identified as ''co-operator.'' The 300 Area Process Trenches Closure Plan (Revision 0) consists of a Resource Conservation and Recovery Act Part A Dangerous Waste Permit Application, Form 3 and a Resource Conservation and Recovery Act Closure Plan. An explanation of the Part A Permit Application, Form 3 submitted with this document is provided at the beginning of the Part A Section. The closure plan consists of nine chapters and six appendices. The 300 Area Process Trenches received dangerous waste discharges from research and development laboratories in the 300 Area and from fuels fabrication processes. This waste consisted of state-only toxic (WT02), corrosive (D002), chromium (D007), spent halogenated solvents (F001, F002, and F003), and spent nonhalogented solvent (F005). Accurate records are unavailable concerning the amount of dangerous waste discharged to the trenches. The estimated annual quantity of waste (item IV.B) reflects the total quantity of both regulated and nonregulated waste water that was discharged to the unit

  1. In situ grouting of low-level burial trenches with a cement-based grout

    International Nuclear Information System (INIS)

    Francis, C.W.; Spalding, B.P.

    1991-01-01

    A restoration technology being evaluated for use in the closure of one of the low-level radwaste burial grounds at Oak Ridge National Laboratory (ORNL) is trench stabilization using a cement-based grout. To demonstrate the applicability and effectiveness of this technology, two interconnecting trenches in Solid Waste Storage Area 6 (SWSA 6) were selected as candidates for in situ grouting with a particulate grout. The primary objective was to demonstrate the increased trench stability and decreased potential for leachate migration following in situ injection of a particulate grout into the waste trenches. Stability against trench subsidence is a critical issue. After grouting, soil-penetration tests disclosed that stability had been improved greatly. For example, refusal (defined as > 100 blows to penetrate 1 ft) was encountered in 17 of the 22 tests conducted within the trench area. Mean refusal depths for the two trenches were 3.5 and 2.6 m. Stability of the trench was significantly better than pregrout conditions, and at depths > 2.4 m, the stability was very near that observed in the native soil formation outside the trench. Tests within the trench showed lower stability within this range probably because of the presence of intermediate-sized soil voids (formed during backfilling) that were too small to be penetrated and filled by the conventional cement grout formulation. Hydraulic conductivity within the trench remained very high (>0.1 cm/s) and significantly greater than outside the trench. Postgrout air pressurization tests also revealed a large degree of intervoid linkage within and between the two trenches. To effectively reduce hydraulic conductivity and to develop stability within the upper level of the trench, injection of a clay/microfine cement grout into the upper level of the grouted trench is planned

  2. Scattering and extinction from high-aspect-ratio trenches

    DEFF Research Database (Denmark)

    Roberts, Alexander Sylvester; Søndergaard, Thomas; Chirumamilla, Manohar

    2015-01-01

    We construct a semi-analytical model describing the scattering, extinction and absorption properties of a high aspect-ratio trench in a metallic film. We find that these trenches act as highly efficient scatterers of free waves. In the perfect conductor limit, which for many metals is approached...

  3. In situ nanoscale refinement by highly controllable etching of the (111) silicon crystal plane and its influence on the enhanced electrical property of a silicon nanowire

    International Nuclear Information System (INIS)

    Gong Yibin; Dai Pengfei; Gao Anran; Li Tie; Zhou Ping; Wang Yuelin

    2011-01-01

    Nanoscale refinement on a (100) oriented silicon-on-insulator (SOI) wafer was introduced by using tetra-methyl-ammonium hydroxide (TMAH, 25 wt%) anisotropic silicon etchant, with temperature kept at 50 °C to achieve precise etching of the (111) crystal plane. Specifically for a silicon nanowire (SiNW) with oxide sidewall protection, the in situ TMAH process enabled effective size reduction in both lateral (2.3 nm/min) and vertical (1.7 nm/min) dimensions. A sub-50 nm SiNW with a length of microns with uniform triangular cross-section was achieved accordingly, yielding enhanced field effect transistor (FET) characteristics in comparison with its 100 nm-wide pre-refining counterpart, which demonstrated the feasibility of this highly controllable refinement process. Detailed examination revealed that the high surface quality of the (111) plane, as well as the bulk depletion property should be the causes of this electrical enhancement, which implies the great potential of the as-made cost-effective SiNW FET device in many fields. (semiconductor materials)

  4. Complete resection of locally advanced ovarian carcinoma fixed to the pelvic sidewall and involving external and internal iliac vessels.

    Science.gov (United States)

    Nishikimi, Kyoko; Tate, Shinichi; Matsuoka, Ayumu; Shozu, Makio

    2017-08-01

    Locally advanced ovarian carcinomas may be fixed to the pelvic sidewall, and although these often involve the internal iliac vessels, they rarely involve the external iliac vessels. Such tumors are mostly considered inoperable. We present a surgical technique for complete resection of locally advanced ovarian carcinoma fixed to the pelvic sidewall and involving external and internal iliac vessels. A 69-year-old woman presented with ovarian carcinoma fixed to the right pelvic sidewall, which involved the right external and internal iliac arteries and veins and the right lower ureter, rectum, and vagina. We cut the external iliac artery and vein at the bifurcation and at the inguinal ligament to resect the external artery and vein. Then, we reconstructed the arterial and venous supplies of the right external artery and vein with grafts. After creating a wide space immediately inside of the sacral plexus to allow the tumor fixed to pelvic sidewall with the internal iliac vessels to move medially, we performed total internal iliac vessel resection. We achieved complete en bloc tumor resection with the right external and internal artery and vein, right ureter, vagina, and rectum adhering to the tumor. There were no intra- or postoperative complications, such as bleeding, graft occlusion, infection, or limb edema. Exfoliation from the sacral plexus and total resection with external and internal iliac vessels enables complete resection of the tumor fixed to the pelvic sidewall. Copyright © 2017 Elsevier Inc. All rights reserved.

  5. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  6. A radiochemical study of sedimentation onto the Japan Trench floor

    International Nuclear Information System (INIS)

    Yamada, M.; Kitaoka, H.; Tsunogai, S.

    1983-01-01

    Box cores were taken from the Japan Trench (water depth, 8260 m) and two neighboring shallower stations (water depth, 2970 and 4310 m) and analyzed for uranium isotopes, thorium isotopes, 210 Pb, CaCO 3 , Fe, Mn, Al, and Cu. The 230 Th: 232 Th activity ratios in the top layer (0 to 1 cm) were 12 to 15 at the three stations, indicating no appreciable addition of 230 Th during descent through the water column below 4 km depth. There are no significant differences in the concentrations of 238 U, 232 Th, and 230 Th on a carbonate-free basis at the three stations. The 230 Th: 232 Th activity ratios of the Japan Trench sediments in the upper 30 cm are nearly constant with depth, indicating rapid sedimentation or active vertical mixing of the sediments. The mixing depth of the Japan Trench sediments is estimated to be 7 cm from the vertical distribution of excess 210 Pb. The maximum concentration of 210 Pb in the Japan Trench sediment is at 2- to 3-cm depth. The results suggest that sediment deposited on the shallower slope of the trench slides down and accumulates on the trench floor. (author)

  7. STRENGTHENING OF TRENCH COVER PLATES FOR SWITCHGEAR BUILDING

    International Nuclear Information System (INIS)

    M.S. RUBEN

    2000-01-01

    The objective of this calculation is to strengthen the existing trench cover plates of the Electrical Switchgear Building (BLDG 5010) of the Exploratory Studies Facility. A remodeling effort will change the portion of the facility that has the trenches for electrical cables to a craft/shop area. The users of the building will be using a forklift in this area (Clark CGP 30 forklift with a capacity of 3 tons). The trench covers require strengthening to support the wheel loads from the forklift. The output of this calculation will be sketches revising the floor plate details of DWG YMP-025-1-7007-ST103,2. (Details 4 and 5)

  8. Trench reactor: an overview

    International Nuclear Information System (INIS)

    Spinrad, B.I.; Rohach, A.F.; Razzaque, M.M.; Sankoorikal, J.T.; Schmidt, R.S.; Lofshult, J.; Ramin, T.; Sokmen, N.; Lin, L.C.

    1988-01-01

    Recent fast, sodium-cooled reactor designs reflect new conditions. In nuclear energy these conditions are (a) emphasis on maintainability and operability, (b) design for more transparent safety, and (c) a surplus of uranium and enrichment availability that eases concerns about light water reactor fueling costs. In utility practice the demand is for less capital exposure, short construction time, smaller new unit sizes, and low capital cost. The PRISM, SAFR, and integral fast reactor (IFR) concepts are responses to these conditions. Fast reactors will not soon be deployed commercially, so more radical designs can be considered. The trench reactor is the product of such thinking. Its concepts are intended as contributions to the literature, which may be picked up by one of the existing programs or used in a new experimental project. The trench reactor is a thin-slab, pool-type reactor operated at very low power density and- for sodium-modest temperature. The thin slab is repeated in the sodium tank and the reactor core. The low power density permits a longer than conventional core height and a large-diameter fuel pin. Control is by borated steel slabs that can be lowered between the core and lateral sodium reflector. Shutdown is by semaphore slabs that can be swung into place just outside the control slabs. The paper presents major characteristics of the trench reactor that have been changed since the last report

  9. Determining the extent of groundwater interference on the performance of infiltration trenches

    DEFF Research Database (Denmark)

    Locatelli, Luca; Mark, Ole; Mikkelsen, Peter Steen

    2015-01-01

    Infiltration trenches are widely used in stormwater management, but their capacity decreases when installed in areas with shallow groundwater where infiltration is limited by groundwater drainage. Here the hydrological performance of single infiltration trenches in areas with shallow water tables...... is quantified in terms of their capability to reduce peak flow, peak volume and annual stormwater runoff volume. To simulate the long term hydrological performance of infiltration trenches two different models are employed. The models continuously simulate infiltration rates from infiltration trenches using...... to quantify the impact of parameter variability for each scenario. Statistical analysis of the continuous long term model simulations was used to quantify the hydrological performance of infiltration trenches. Results show that infiltration trenches are affected by groundwater when there is an unsaturated...

  10. Unveiling the Formation Pathway of Single Crystalline Porous Silicon Nanowires

    Science.gov (United States)

    Zhong, Xing; Qu, Yongquan; Lin, Yung-Chen; Liao, Lei; Duan, Xiangfeng

    2011-01-01

    Porous silicon nanowire is emerging as an interesting material system due to its unique combination of structural, chemical, electronic, and optical properties. To fully understand their formation mechanism is of great importance for controlling the fundamental physical properties and enabling potential applications. Here we present a systematic study to elucidate the mechanism responsible for the formation of porous silicon nanowires in a two-step silver-assisted electroless chemical etching method. It is shown that silicon nanowire arrays with various porosities can be prepared by varying multiple experimental parameters such as the resistivity of the starting silicon wafer, the concentration of oxidant (H2O2) and the amount of silver catalyst. Our study shows a consistent trend that the porosity increases with the increasing wafer conductivity (dopant concentration) and oxidant (H2O2) concentration. We further demonstrate that silver ions, formed by the oxidation of silver, can diffuse upwards and re-nucleate on the sidewalls of nanowires to initiate new etching pathways to produce porous structure. The elucidation of this fundamental formation mechanism opens a rational pathway to the production of wafer-scale single crystalline porous silicon nanowires with tunable surface areas ranging from 370 m2·g−1 to 30 m2·g−1, and can enable exciting opportunities in catalysis, energy harvesting, conversion, storage, as well as biomedical imaging and therapy. PMID:21244020

  11. Diode having trenches in a semiconductor region

    Energy Technology Data Exchange (ETDEWEB)

    Palacios, Tomas Apostol; Lu, Bin; Matioli, Elison de Nazareth

    2016-03-22

    An electrode structure is described in which conductive regions are recessed into a semiconductor region. Trenches may be formed in a semiconductor region, such that conductive regions can be formed in the trenches. The electrode structure may be used in semiconductor devices such as field effect transistors or diodes. Nitride-based power semiconductor devices are described including such an electrode structure, which can reduce leakage current and otherwise improve performance.

  12. Accelerated cleanup of the 316-5 process trenches at the Hanford Site

    International Nuclear Information System (INIS)

    Henckel, G.C.; Johnson, W.L.

    1991-01-01

    In October, 1990, the US Department of Energy, the US Environmental Protection Agency, and the Washington State Department of Ecology signed an Agreement in Principle to accelerate remedial actions on the Hanford Site. Removal of contaminated sediments from the 300 Area (316-5) Process Trenches was one of the three initial candidate locations identified for the accelerated remediation. The trenches have received small quantities of radioactive and hazardous wastes in large volumes of process water (up to 11,360,000 L/day). The trenches are approximately 300 m west of the Columbia River and 7 m above the water table. The trenches are an active interim permitted disposal facility that may remain active for the next few years. In order to reduce the potential for migration of contaminants from the trench sediments into the groundwater, an expedited response action to remove approximately 2,500 m 2 of soil from the active portion of the trenches is being performed. Field activities were initiated in July 1991 with site preparation. The first trench to be excavated was completed by August 15, 1991. Approximately 2 weeks were needed to begin removal activities in the second trench. The second trench should be completed by October 1, 1991, with the subsequent construction of an interim cover over the consolidated materials completed by December 1991

  13. Accelerated cleanup of the 316-5 Process Trenches at the Hanford Site

    International Nuclear Information System (INIS)

    Henckel, G.C.; Johnson, W.L.

    1991-09-01

    In October, 1990, the US Department of Energy, the US Environmental Protection Agency, and the Washington State Department of Ecology signed an Agreement in Principle to accelerate remedial actions on the Hanford Site. Removal of contaminated sediments from the 300 Area (316-5) Process Trenches was on of the three initial candidate locations identified for the accelerated remediation. The trenches have received small quantities of radioactive and hazardous wastes in large volumes of process water (up to 11,360,000 L/day). The trenches are approximately 300 m west of the Columbia River and 7 m above the water table. The trenches are an active interim permitted disposal facility that may remain active for the next few years. In order to reduce the potential for migration of contaminants from the trench sediments into the groundwater, an expedited response action to remove approximately 2,500 m 2 of soil from the active portion of the trenches is being performed. Field activities were initiated in July 1991 with site preparation. The first trench to be excavated was completed by August 15, 1991. Approximately 2 weeks were needed to begin removal activities in the second trench. The second trench should be completed by October 1, 1991, with the subsequent construction of an interim cover over the consolidated materials completed by December 1991

  14. Bovine serum albumin adsorption on passivated porous silicon layers

    Science.gov (United States)

    Lockwood, David; Boukherroub, Rabah

    2005-03-01

    Hydrogen-terminated porous silicon (pSi) films were fabricated through electrochemical anodization of crystalline Si in HF-based solutions. The pSi-H surface was chemically functionalized by thermal reaction with undecylenic acid to produce an organic monolayer covalently attached to the silicon surface through Si-C bonds and bearing an acid terminal group. Bovine serum albumin (BSA) was then adsorbed onto the modified surface. SEM showed that the porous films were damaged and partially lifted off the Si substrate after a prolonged BSA adsorption. Ellipsometry revealed that the BSA had penetrated ˜ 1.3 micrometers into the porous structure. The film damage results from BSA anchoring itself tightly through strong electrostatic interactions to the acid-covered Si sidewalls. A change in surface tension during BSA film formation then causes the pSi layer to buckle and lift-off the underlying Si substrate. FTIR results from the modified pSi surfaces showed the presence of strong characteristic Amide I, II and III vibrational bands after BSA adsorption.

  15. Silicon Photomultipliers: Dark Current and its Statistical Spread

    Directory of Open Access Journals (Sweden)

    Roberto PAGANO

    2012-03-01

    Full Text Available Aim of this paper is to investigate on a statistical basis at the wafer level the relationship existing among the dark currents of the single pixel compared to the whole Silicon Photomultiplier array. This is the first time to our knowledge that such a comparison is made, crucial to pass this new technology to the semiconductor manufacturing standards. In particular, emission microscopy measurements and current measurements allowed us to conclude that optical trenches strongly improve the device performances.

  16. Design and construction of a deep slurry trench barrier

    International Nuclear Information System (INIS)

    Deming, P.W.

    1997-01-01

    A 24 m (80 ft) deep slurry trench surrounding a former chromium manufacturing facility on the Patapsco River in Baltimore, Maryland was constructed in 1995 to contain groundwater and site Soils, and to reduce the volume of groundwater extracted to maintain an inward gradient. In 1992, an embankment made of crushed stone was constructed in the Patapsco River to make land for barrier construction outboard of the bulkheads, and to protect the barrier. Stability of the slurry-supported trench excavation in the embankment required construction from an elevated work platform. An extended reach backhoe was used to excavate the deep slurry trench and to clean the trench bottom. Soil-Bentonite backfill was prepared at a central mixing area and transported by truck to the perimeter barrier. A synthetic membrane was inserted partially into the backfill for connection to a multimedia cap, and for redundancy and erosion control in the tidal zone. Hydraulic testing of the aquitard contained by the barrier demonstrated excellent performance of the barrier and bottom closure. Detailed definition of subsurface conditions and the closure stratum was necessary for the design and successful construction of the barrier, and is recommended for comparable slurry trench construction projects

  17. Expedited response action proposal for 316-5 process trenches

    International Nuclear Information System (INIS)

    1991-07-01

    A summary of the evaluation of remedial alternatives for the 300 Area Process Trench sediment removal at Hanford is presented. Based on the preliminary technology screening, screening factors, and selection criteria the preferred alternative for the 300 Area Process Trench is to remove and interim stabilize the sediments within the fenced area of the process trenches. This alternative involves proven technologies that are applied easily at this mixed waste site. This alternative removes and isolates contaminated sediments from the active portion of the trenches allowing continued used of the trenches until an inspection and treatment facility is constructed. The alternative does not incorporate any materials or actions that preclude consideration of a technology for final remediation of the operable unit. The estimated initial and annual costs would enable this alternative to be implemented under the guidelines for an EPA- funded ERA ($2 million). Implementation of the alternative can be accomplished with trained personnel using familiar procedures to provide a safe operation that accomplishes the objective for removing a potential source of contamination, thereby reducing potential environmental threat to groundwater. 18 refs., 5 figs., 9 tabs

  18. The effect of metallic coatings and crystallinity on the volume expansion of silicon during electrochemical lithiation/delithiation

    KAUST Repository

    McDowell, Matthew T.

    2012-05-01

    Applying surface coatings to alloying anodes for Li-ion batteries can improve rate capability and cycle life, but it is unclear how this second phase affects mechanical deformation during electrochemical reaction. Here, in-situ transmission electron microscopy is employed to investigate the electrochemical lithiation and delithiation of silicon nanowires (NWs) with copper coatings. When copper is coated on only one sidewall, the NW bilayer structure bends during delithiation due to length changes in the silicon. Tensile hoop stress causes conformal copper coatings to fracture during lithiation without undergoing bending deformation. In addition, in-situ and ex-situ observations indicate that a copper coating plays a role in suppressing volume expansion during lithiation. Finally, the deformation characteristics and dimensional changes of amorphous, polycrystalline, and single-crystalline silicon are compared and related to observed electrochemical behavior. This study reveals important aspects of the deformation process of silicon anodes, and the results suggest that metallic coatings can be used to improve rate behavior and to manage or direct volume expansion in optimized silicon anode frameworks. © 2012 Elsevier Ltd.

  19. 300 Area Process Trenches Modified Closure/Postclosure Plan

    International Nuclear Information System (INIS)

    1997-09-01

    This chapter provides a brief summary of the contents of each chapter of this plan for the closure of the 300 Area Process Trenches (300 APT) treatment, storage, and/or disposal unit. It also provides background information for this unit and discusses how its closure will be integrated with the remedial action for the Comprehensive Environmental Response, Compensation, and Liability Act of 1980 300- FF-1 Operable Unit. The 300 APT is located within the 300 Area of the Hanford Site. This area contained reactor fuel fabrication facilities and research and development laboratories. The 300 APT was constructed and began operations in 1975 as the 316-5 Process Trenches. Effluent was discharged to the trenches by way of the 300 Area process sewer system, which has been the sole source of effluent for the 300 APT. The 316-5 Process Trenches gained Resource Conservation and Recovery Act of 1976 (RCRA) interim status as the 300 APT TSD unit on November 11, 1985. The unit has been administratively closed to discharges of dangerous waste since 1985

  20. The structural and electronic properties of monovalent sidewall functionalized double-walled carbon nanotubes

    International Nuclear Information System (INIS)

    Jalili, Seifollah; Jamali, Maryam

    2012-01-01

    Highlights: ► (6,0)-(13,0) DWCNT, built from (6,0) and (13,0) SWCNTs, is a metallic nanotubes. ► NH 2 /(6,0)-(13,0) and COOH/(6,0)-(13,0) is semimetal and semiconductor, respectively. ► In NH 2 /(6,0)-(13,0) electrons transferred mainly from inner tube to NH 2 group. - Abstract: The structural and electronic properties of (6,0)-(13,0) double-walled carbon nanotubes (DWCNTs) and monovalent sidewall functionalized DWCNTs with –NH 2 and –COOH groups were studied using density functional theory. The results show that pure (6,0)-(13,0) DWCNTs are metallic. However, by functionalizing a DWCNT, local distortions are induced in the outer tube sidewall along the radial direction. The resulting structures, NH 2 /(6,0)-(13,0) and COOH/(6,0)-(13,0) DWCNTs, exhibit significant structural changes, and are semimetal with no energy gap and semiconducting with a small energy gap, respectively. In NH 2 /(6,0)-(13,0) DWCNTs, new electronic states are created and distributed on the outer wall and NH 2 group by electron transfer from the inner tube to the NH 2 group. In COOH/(6,0)-(13,0) DWCNTs, new states are created and distributed on the inner wall, but there is insignificant charge transfer between the inner tube and the COOH group. These results confirm that local atomic structural distortion on DWCNTs caused by sidewall functionalization can modify the electronic structures of DWCNTs.

  1. Trench ‘Bathtubbing’ and Surface Plutonium Contamination at a Legacy Radioactive Waste Site

    Science.gov (United States)

    2013-01-01

    Radioactive waste containing a few grams of plutonium (Pu) was disposed between 1960 and 1968 in trenches at the Little Forest Burial Ground (LFBG), near Sydney, Australia. A water sampling point installed in a former trench has enabled the radionuclide content of trench water and the response of the water level to rainfall to be studied. The trench water contains readily measurable Pu activity (∼12 Bq/L of 239+240Pu in 0.45 μm-filtered water), and there is an associated contamination of Pu in surface soils. The highest 239+240Pu soil activity was 829 Bq/kg in a shallow sample (0–1 cm depth) near the trench sampling point. Away from the trenches, the elevated concentrations of Pu in surface soils extend for tens of meters down-slope. The broader contamination may be partly attributable to dispersion events in the first decade after disposal, after which a layer of soil was added above the trenched area. Since this time, further Pu contamination has occurred near the trench-sampler within this added layer. The water level in the trench-sampler responds quickly to rainfall and intermittently reaches the surface, hence the Pu dispersion is attributed to saturation and overflow of the trenches during extreme rainfall events, referred to as the ‘bathtub’ effect. PMID:24256473

  2. Approximate transient and long time limit solutions for the band broadening induced by the thin sidewall-layer in liquid chromatography columns.

    Science.gov (United States)

    Broeckhoven, Ken; Desmet, Gert

    2007-11-16

    Using a combination of both analytical and numerical techniques, approximate analytical expressions have been established for the transient and long time limit band broadening, originating from the presence of a thin disturbed sidewall layer in liquid chromatography columns, including packed, monolithic as well as microfabricated columns. The established expressions can be used to compare the importance of a thin disturbed sidewall layer with that of other radial heterogeneity effects (such as transcolumn packing density variations due to the relief of packing stresses). The expressions are independent of the actual velocity profile inside the layer as long as the disturbed sidewall layer occupies less than 2.5% of the column width.

  3. Subsidence evaluation in 218-E-E12B, trench 38

    International Nuclear Information System (INIS)

    Streit, J.J.

    1995-01-01

    An area in Trench 38 of the 218-E-12B Burial Ground has been gradually sinking over the past few years. The area spans the width of the trench and extends approximately 80 feet down the trench. The depth of the depression is approximately 3 feet in the center and gradually rises to existing grade at the trench edge. It has been determined that the most likely cause of the subsidence is decomposition of buried waste material. Fifty-six percent of the waste buried in the subject area is decomposable and has been in the ground for nine years. Waste packaging is largely plastic lined dump trucks and fiberboard boxes. It is recommended that this area be treated with dynamic compaction to stabilize the waste and minimize the reoccurrence of subsidence in this area

  4. Organic geochemistry of heavy/extra heavy oils from sidewall cores, Lower Lagunillas Member, Tia Juana Field, Maracaibo Basin, Venenzuela

    Energy Technology Data Exchange (ETDEWEB)

    Tocco, R.; Alberdi, M. [PDVSA-Inteveo S.A., Caracas (Venezuela)

    2002-10-01

    The study of 22 oils from sidewall cores taken at different depths in the Lower Lagunillas Member, well LSJ-AB, Tia Juana Field, Maracaibo Lake is presented, with the purpose of predicting the intervals that present the best crude oil quality. Differences were detected in the biodegradation levels of the studied samples, which are correlated with the depth at which the sidewall core was taken. The API gravity was considered for the oils from each sidewall core and it was found that toward the top of the sequence, the oils have an API gravity of 10.6-11.2{sup o}C, while toward the base part of the sequence, the well produces extra heavy oils with an API gravity that varies between 8.2 and 8.7{sup o}. 12 refs., 5 figs., 1 tab.

  5. Pt thermal atomic layer deposition for silicon x-ray micropore optics.

    Science.gov (United States)

    Takeuchi, Kazuma; Ezoe, Yuichiro; Ishikawa, Kumi; Numazawa, Masaki; Terada, Masaru; Ishi, Daiki; Fujitani, Maiko; Sowa, Mark J; Ohashi, Takaya; Mitsuda, Kazuhisa

    2018-04-20

    We fabricated a silicon micropore optic using deep reactive ion etching and coated by Pt with atomic layer deposition (ALD). We confirmed that a metal/metal oxide bilayer of Al 2 O 3 ∼10  nm and Pt ∼20  nm was successfully deposited on the micropores whose width and depth are 20 μm and 300 μm, respectively. An increase of surface roughness of sidewalls of the micropores was observed with a transmission electron microscope and an atomic force microscope. X-ray reflectivity with an Al Kα line at 1.49 keV before and after the deposition was measured and compared to ray-tracing simulations. The surface roughness of the sidewalls was estimated to increase from 1.6±0.2  nm rms to 2.2±0.2  nm rms. This result is consistent with the microscope measurements. Post annealing of the Pt-coated optic at 1000°C for 2 h showed a sign of reduced surface roughness and better angular resolution. To reduce the surface roughness, possible methods such as the annealing after deposition and a plasma-enhanced ALD are discussed.

  6. In situ grouting of a low-level radioactive waste trench

    International Nuclear Information System (INIS)

    Spence, R.D.; Godsey, T.T.; McDaniel, E.W.

    1987-11-01

    A shallow land burial trench containing low level radioactive waste was injected with a particulate grout to help control subsidence and radionuclide migration. The trench's accessible voids have been estimated at 20 vol %, and most of these voids appear to have been filled with grout. This injection was accomplished with a simple, labor intensive technique, and an inexperienced crew at an estimated cost of about $55,000. The grout costs $0.21/gal and 8081 gal was injected into the trench. 5 refs., 10 figs., 4 tabs

  7. Deposition of a-C:H films on a nanotrench pattern by bipolar PBII and D

    International Nuclear Information System (INIS)

    Hirata, Yuki; Nakahara, Yuya; Nagato, Keisuke; Choi, Junho

    2016-01-01

    In this study, hydrogenated amorphous carbon (a-C:H) films were deposited on a nanotrench pattern (300 nm pitch, aspect ratio: 2.0) by bipolar-type plasma based ion implantation and deposition technique (bipolar PBII and D), and the effects of bipolar pulse on the film properties were investigated. Moreover, the behaviour of ions and radicals surrounding the nanotrench was analyzed to clarify the coating mechanism and properties of the a-C:H films on the nanotrench. Further, thermal nanoimprint lithography was carried out using the nanotrench pattern coated with a-C:H films as the mold, and the mold release properties were evaluated. All nanotrench surfaces were successfully coated with the a-C:H films, but the film thickness on the top, sidewall, and bottom surfaces of the trench were not uniform. The surface roughness of the a-C:H films was found to decrease at a higher positive voltage; this happens due to the higher electron temperature around the nanotrench because of the surface migration of plasma particles arrived on the trench. The effects of the negative voltage on the behaviour of ions and radicals near the sidewall of the nanotrench are quite similar to those near the microtrench reported previously (Park et al 2014 J. Phys. D: Appl. Phys . 47 335306). However, the positive pulse voltage was also found to affect the behaviour of ions and radicals near the sidewall surface. The incident angles of ions on the sidewall surface increased with the positive pulse voltage because the energy of incoming ions on the trench decreases with increasing positive voltage. Moreover, the incident ion flux on the sidewall is affected by the positive voltage history. Further, the radical flux decreases with increasing positive voltage. It can be concluded that a higher positive voltage at a lower negative voltage condition is good to obtain better film properties and higher film thickness on the sidewall surface. Pattern transfer properties for the nanoimprint formed by

  8. Trench Advance By the Subduction of Buoyant Features - Application to the Izu-Bonin-Marianas Arc

    Science.gov (United States)

    Goes, S. D. B.; Fourel, L.; Morra, G.

    2014-12-01

    Most subduction trenches retreat, not only today but throughout the Cenozoic. However, a few trenches clearly advance during part of the evolution, including Izu-Bonin Marianas (IBM) and Kermadec. Trench retreat is well understood as a basic consequence of slab pull, but it is debated what causes trench advance. The IBM trench underwent a complex evolution: right after its initiation, it rotated clockwise, leading to very fast retreat in the north and slow retreat in the south. But since 10-15 Ma, IBM trench motions have switched to advance at the southern end, and since 5 Ma also the northern end is advancing. Based on 2-D subduction models, it has been proposed proposed that the change in age of the subducting plate at the IBM trench (from 40-70 m.y. at the initiation of the trench 45 m.y. ago to 100-140 m.y. lithosphere subducting at the trench today) and its effect on plate strength could explain the transition from trench retreat to trench advance, and that the age gradient (younger in the north and older in the south) could explain the rotation of the trench. However, with new 3-D coupled fluid-solid subduction model where we can include such lateral age gradients, we find that this does not yield the observed behaviour. Instead, we propose an alternative mechanism, involving the subduction of the buoyant Caroline Island Ridge at the southern edge of the Mariana trench and show that it can explain both trench motion history and the current morphology of the IBM slab as imaged by seismic tomography.

  9. An electrostatic 3-phase linear stepper motor fabricated by vertical trench isolation technology

    Science.gov (United States)

    Sarajlic, Edin; Yamahata, Christophe; Cordero, Mauricio; Fujita, Hiroyuki

    2009-07-01

    We present the design, microfabrication and characterization of an electrostatic 3-phase linear stepper micromotor constructed with vertical trench isolation technology. This suitable technology was used to create a monolithic stepper motor with high-aspect-ratio poles and an integrated 3-phase electrical network in the bulk of a standard single-crystal silicon wafer. The shuttle of the stepper motor is suspended by a flexure to avoid any mechanical contact during operation, enhancing the precision, repeatability and reliability of the stepping motion. The prototype is capable of a maximum travel of +/-26 µm (52 µm) at an actuation voltage of 30 V and a step size of 1.4 µm during a half-stepping sequence. This work was presented in part at the 19th MicroMechanics Europe Workshop (MME), 28-30 September 2008, Aachen, Germany.

  10. Corrective action investigation plan: Cactus Spring Waste Trenches. Revision 2

    International Nuclear Information System (INIS)

    1997-02-01

    This Correction Action Investigation Plan (CAIP) contains environmental sample collection objectives and logic for the CAU No. 426, which includes the Cactus Spring Waste Trenches, CAS No. RG-08-001-RG-CS. The Cactus Spring Waste Trenches are located at the Tonopah Test Range (TTR) which is part of the Nellis Air Force Range, approximately 255 kilometers (km) (140 miles [mi]) northwest of Las Vegas, Nevada, by air. The purpose of this investigation is to generate sufficient data to establish the types of waste buried in the trenches, identify the presence and nature of contamination, determine the vertical extent of contaminant migration below the Cactus Spring Waste Trenches, and determine the appropriate course of action for the site. The potential courses of action for the site are clean closure, closure in place (with or without remediation), or no further action

  11. An oxide filled extended trench gate super junction MOSFET structure

    International Nuclear Information System (INIS)

    Cai-Lin, Wang; Jun, Sun

    2009-01-01

    This paper proposes an oxide filled extended trench gate super junction (SJ) MOSFET structure to meet the need of higher frequency power switches application. Compared with the conventional trench gate SJ MOSFET, new structure has the smaller input and output capacitances, and the remarkable improvements in the breakdown voltage, on-resistance and switching speed. Furthermore, the SJ in the new structure can be realized by the existing trench etching and shallow angle implantation, which offers more freedom to SJ MOSFET device design and fabrication. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  12. In situ grouting of low-level burial trenches with a cement-based grout

    International Nuclear Information System (INIS)

    Francis, C.W.; Spalding, B.P.

    1991-01-01

    A restoration technology being evaluated for use in the closure of one of the low-level radwaste burial grounds at Oak Ridge National Laboratory (ORNL) is trench stabilization using a cement-based grout. To demonstrate the applicability and effectiveness of this technology, two interconnecting trenches in Solid Waste Storage Area 6 (SWSA 6) were selected as candidates for in situ grouting with a particulate grout. The primary objective was to demonstrate the increased trench stability and decreased potential for leachate migration following in situ injection of a particulate grout into the waste trenches. Stability against trench subsidence is a critical issue. 7 refs., 3 figs., 5 tabs

  13. Morning Frost in Trench Dug by Phoenix, Sol 113 (False Color)

    Science.gov (United States)

    2008-01-01

    This image from the Surface Stereo Imager on NASA's Phoenix Mars Lander shows morning frost inside the 'Snow White' trench dug by the lander, in addition to subsurface ice exposed by use of a rasp on the floor of the trench. The camera took this image at about 9 a.m. local solar time during the 113th Martian day of the mission (Sept. 18, 2008). Bright material near and below the four-by-four set of rasp holes in the upper half of the image is water-ice exposed by rasping and scraping in the trench earlier the same morning. Other bright material especially around the edges of the trench, is frost. Earlier in the mission, when the sun stayed above the horizon all night, morning frost was not evident in the trench. This image is presented in false color that enhances the visibility of the frost. The trench is 4 to 5 centimeters (about 2 inches) deep, about 23 centimeters (9 inches) wide. Phoenix landed on a Martian arctic plain on May 25, 2008. The mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is led by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development was by Lockheed Martin Space Systems, Denver.

  14. Crucial role of sidewalls in velocity distributions in quasi-two-dimensional granular gases

    NARCIS (Netherlands)

    van Zon, J.S.; Kreft, J.; Goldman, D.L.; Miracle, D.; Swift, J. B.; Swinney, H. L.

    2004-01-01

    The significance of sidewalls which yield velocity distributions with non-Gaussian tails and a peak near zero velocity in quasi-two-dimensional granular gases, was investigated. It was observed that the particles gained energy only through collisions with the bottom of the container, which was not

  15. A decision tool for selecting trench cap designs

    Energy Technology Data Exchange (ETDEWEB)

    Paige, G.B.; Stone, J.J.; Lane, L.J. [USDA-ARS, Tucson, AZ (United States)] [and others

    1995-12-31

    A computer based prototype decision support system (PDSS) is being developed to assist the risk manager in selecting an appropriate trench cap design for waste disposal sites. The selection of the {open_quote}best{close_quote} design among feasible alternatives requires consideration of multiple and often conflicting objectives. The methodology used in the selection process consists of: selecting and parameterizing decision variables using data, simulation models, or expert opinion; selecting feasible trench cap design alternatives; ordering the decision variables and ranking the design alternatives. The decision model is based on multi-objective decision theory and uses a unique approach to order the decision variables and rank the design alternatives. Trench cap designs are evaluated based on federal regulations, hydrologic performance, cover stability and cost. Four trench cap designs, which were monitored for a four year period at Hill Air Force Base in Utah, are used to demonstrate the application of the PDSS and evaluate the results of the decision model. The results of the PDSS, using both data and simulations, illustrate the relative advantages of each of the cap designs and which cap is the {open_quotes}best{close_quotes} alternative for a given set of criteria and a particular importance order of those decision criteria.

  16. Design of a piezoresistive triaxial force sensor probe using the sidewall doping method

    International Nuclear Information System (INIS)

    Kan, Tetsuo; Aoyama, Yuichiro; Takei, Yusuke; Noda, Kentaro; Shimoyama, Isao; Takahashi, Hidetoshi; Binh-Khiem, Nguyen; Matsumoto, Kiyoshi

    2013-01-01

    In this study, we propose a triaxial force measurement sensor probe with piezoresistors fabricated via sidewall doping using rapid thermal diffusion. The device was developed as a tool for measuring micronewton-level forces as vector quantities. The device consists of a 15 µm thick cantilever, two sensing beams and four wiring beams. The length and width of the cantilever are 1240 µm and 140 µm, respectively, with a beam span of 1200 µm and a width of 10–15 µm. The piezoresistors are formed at the root of the cantilever and the sidewalls of the two sensing beams. The sensor spring constants for each axis were measured at k x = 1.5 N m −1 , k y = 3.5 N m −1 and k z = 0.64 N m −1 . We confirmed that our device was capable of measuring triaxial forces with a minimum detectable force at the submicronewton level. (paper)

  17. Polarization and sidewall effects in a coal fired MHD channel - three-dimensional calculation

    International Nuclear Information System (INIS)

    Ishikawa, M.; Scott, M.H.; Wu, Y.C.L.

    1981-01-01

    The effects of slag polarization of electrodes and the sidewall configuration on generator performance are studied experimentally and analytically. An analysis of the voltage-current characteristics between two generator frames measured during the operation of the TP40-07 experiment is given, along with an examination of nonuniformities of interframe voltage. Experimental data show that the polarization effect reduces about 3% of the overall electrical performance of the 60 deg diagonal conducting channel used in the study. Analytically, the effect of polarization on the local current and potential distributions is examined by solving the three-dimensional electrical potential using a finite element method. A moderate increase in conductivity in the vicinity of the cathode-side frame is found to give a calculated leakage resistance which approximates the value derived experimentally. The polarization effect results in a large change in the potential and current distributions near the frame but has a small effect on the overall electrical performance. Alternate sidewall/electrode configurations are treated analytically

  18. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  19. Scaling Rule for Very Shallow Trench IGBT toward CMOS Process Compatibility

    OpenAIRE

    Tanaka, Masahiro; Omura, Ichiro

    2012-01-01

    Deep trench gate is used for latest IGBT to improve device performance. By large difference from deep submicron CMOS structure, there is no process compatibility among CMOS device and trench gate IGBT. We propose IGBT scaling rule for shrinking IGBT cell structure both horizontally and vertically. The scaling rule is theoretically delivered by structure based equations. Device performance improvement was also predicted by TCAD simulations even with very shallow trench gate. The rule enables t...

  20. Microstructure of a-C:H films prepared on a microtrench and analysis of ions and radicals behavior

    Energy Technology Data Exchange (ETDEWEB)

    Hirata, Yuki; Choi, Junho, E-mail: choi@mech.t.u-tokyo.ac.jp [Department of Mechanical Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2015-08-28

    Amorphous carbon films (a-C:H) were prepared on a microtrench (4-μm pitch and 4-μm depth), and the uniformity of film thickness and microstructure of the films on the top, sidewall, and bottom surfaces of the microtrench were evaluated by scanning electron microscopy and Raman spectroscopy. The a-C:H films were prepared by bipolar-type plasma based ion implantation and deposition (bipolar PBII&D), and the negative pulse voltage, which is the main parameter dominating the film structure, was changed from −1.0 to −15 kV. Moreover, the behavior of ions and radicals was analyzed simultaneously by combining the calculation methods of Particle-In-Cell/Monte Carlo Collision (PIC-MCC) and Direct Simulation Monte Carlo (DSMC) to investigate the coating mechanism for the microtrench. The results reveal that the thickness uniformity of a-C:H films improves with decreasing negative pulse voltage due to the decreasing inertia of incoming ions from the trench mouth, although the film thickness on the sidewall tends to be much smaller than that on the top and bottom surfaces of the trench. The normalized flux and the film thickness show similar behavior, i.e., the normalized flux or thickness at the bottom surface increases at low negative pulse voltages and then saturates at a certain value, whereas at the sidewall it monotonically decreases with increasing negative voltage. The microstructure of a-C:H films on the sidewall surface is very different from that on the top and bottom surfaces. The film structure at a low negative pulse voltage shifts to more of a polymer-like carbon (PLC) structure due to the lower incident energy of ions. Although the radical flux on the sidewall increases slightly, the overall film structure is not significantly changed because this film formation at a low negative voltage is originally dominated by radicals. On the other hand, the flux of radicals is dominant on the sidewall in the case of high negative pulse voltage, resulting in a

  1. Microstructure of a-C:H films prepared on a microtrench and analysis of ions and radicals behavior

    Science.gov (United States)

    Hirata, Yuki; Choi, Junho

    2015-08-01

    Amorphous carbon films (a-C:H) were prepared on a microtrench (4-μm pitch and 4-μm depth), and the uniformity of film thickness and microstructure of the films on the top, sidewall, and bottom surfaces of the microtrench were evaluated by scanning electron microscopy and Raman spectroscopy. The a-C:H films were prepared by bipolar-type plasma based ion implantation and deposition (bipolar PBII&D), and the negative pulse voltage, which is the main parameter dominating the film structure, was changed from -1.0 to -15 kV. Moreover, the behavior of ions and radicals was analyzed simultaneously by combining the calculation methods of Particle-In-Cell/Monte Carlo Collision (PIC-MCC) and Direct Simulation Monte Carlo (DSMC) to investigate the coating mechanism for the microtrench. The results reveal that the thickness uniformity of a-C:H films improves with decreasing negative pulse voltage due to the decreasing inertia of incoming ions from the trench mouth, although the film thickness on the sidewall tends to be much smaller than that on the top and bottom surfaces of the trench. The normalized flux and the film thickness show similar behavior, i.e., the normalized flux or thickness at the bottom surface increases at low negative pulse voltages and then saturates at a certain value, whereas at the sidewall it monotonically decreases with increasing negative voltage. The microstructure of a-C:H films on the sidewall surface is very different from that on the top and bottom surfaces. The film structure at a low negative pulse voltage shifts to more of a polymer-like carbon (PLC) structure due to the lower incident energy of ions. Although the radical flux on the sidewall increases slightly, the overall film structure is not significantly changed because this film formation at a low negative voltage is originally dominated by radicals. On the other hand, the flux of radicals is dominant on the sidewall in the case of high negative pulse voltage, resulting in a deviation

  2. Field and laboratory investigations on pavement backfilling material for micro-trenching in cold regions

    Directory of Open Access Journals (Sweden)

    Leila Hashemian

    2017-07-01

    Full Text Available Micro-trenching is an innovative utility installation method that involves creating a narrow trench to place cable or conduit in the road pavement. Compared to other installation methods, micro-trenching provides minimal disturbance to the community and surrounding environment. Despite the advantages of micro-trenching, it is not widely accepted by municipalities because of its potential to damage the existing pavement. Quality of backfilling is an important factor in long-term sustainability of the micro-trench, particularly in cold regions. This paper investigates the performance of two typical micro-trench backfilling methods in cold climates by studying a pilot project in a parking lot in Edmonton, Alberta, followed by a laboratory evaluation of the material used. For this purpose, the installations were monitored through ground-penetrating radar, optical time-domain reflectometer, and visual observations for three years. The monitoring results revealed that conduit had significant vertical movement inside the trench; several premature failures were also observed in the backfilling material. Laboratory investigation showed that the backfilling material did not meet the criteria for use in cold climates, and micro-trench performance could be enhanced using alternative materials. Keywords: Micro-trench, Pavement backfilling material, Fiber optic installation, Ground-penetrating radar

  3. Performance monitoring of an improved disposal trench in a humid environment in a fractured geology

    International Nuclear Information System (INIS)

    Mills, D.; Razor, J.

    1988-01-01

    An engineering evaluation of an improved disposal trench at the Maxey Flats Waste Disposal Site is being conducted in order to demonstrate the feasibility of a burial trench suitable for use at a site in a humid environment and underlain by complex and fractured geologic media. This demonstration is one of several proposed final site stabilization alternatives which will have to be evaluated prior to final site closure. Due to requirements in the Central Midwest Compact Commission, no waste generated as a result of the site closure may be disposed in the Commission's disposal site. Hence, the waste will be disposed on-site. The demonstration trench was constructed and filled with waste during the fall of 1985 with final trench capping being completed in July 1986. Since that time the trench has been evaluated utilizing trench settlement monument elevations, leachate production measurements, leachate radionuclide analysis, chemical tracer analysis and trench water balance. Measurements performed to date indicated that the trench lower infiltration barrier has a permeability of about 1E-7 cm/sec. Water balance measurements indicated that less than one percent of the total rainfall crossed the trench capillary barrier. No settlement of the trench cap has been observed. No liquid has appeared in the leachate collection and monitoring sumps

  4. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  5. A capillary pumping device utilizing super-hydrophobic silicon grass

    International Nuclear Information System (INIS)

    Kung, Chun-Fei; Chang, Chien-Cheng; Chu, Chin-Chou

    2011-01-01

    In this study, we show that a compact silicon grass surface can be generated by utilizing the induced coupled plasma method with suitably chosen fabrication parameters. This super-hydrophobic structure suspends deionized water on top of the grass and keeps the contact angle at around 153°. The silicon grass is used to improve the driving efficiency of a capillary pumping micro-duct (without sidewalls), which is completely defined by a bottom hydrophilic stripe (adjacent to a Teflon substrate) and a fully top-covered hydrophobic Teflon surface which is coated on a glass substrate. The channel has a height of 3 µm and a width of 100 µm. In this work, the Teflon substrate is replaced with the silicon grass surface. When the fluid is flowing through the micro-duct on the stripe, the interface between the silicon grass and the hydrophilic stripe forms a stable air cushion barrier to the fluid, thus effectively reducing the frictional force. By changing only the interface with this replacement, we demonstrate that the average measured velocities of the new design show improvements of 21% and 17% in the driving efficiency over the original design for transporting deionized water and human blood, respectively. It is also shown that the measured data of the present design are closer to the values predicted by a theoretical analysis which relates the flow velocity to the contact angles, surface tension and fluid viscosity

  6. Field demonstration of in situ grouting of radioactive solid waste burial trenches with polyacrylamide

    International Nuclear Information System (INIS)

    Spalding, B.P.; Fontaine, T.A.

    1990-01-01

    Demonstrations of in situ grouting with polyacrylamide were carried out on two undisturbed burial trenches and one dynamically compacted burial trench in Solid Waste Storage Area (SWSA) 6 at Oak Ridge National Laboratory (ORNL). The injection of polyacrylamide was achieved quite facilely for the two undisturbed burial trenches which were filled with grout, at typical pumping rates of 95 L/min, in several batches injected over several days. The compacted burial trench, however, failed to accept grout at more than 1.9 L/min even when pressure was applied. Thus, it appears that burial trenches, stabilized by dynamic compaction, have a permeability too low to be considered groutable. The water table beneath the burial trenches did not respond to grout injections indicating a lack of hydrologic connection between fluid grout and the water table which would have been observed if the grout failed to set. Because grout set times were adjusted to less than 60 min, the lack of hydrologic connection was not surprising. Postgrouting penetration testing revealed that the stability of the burial trenches was increased from 26% to 79% that measured in the undisturbed soil surrounding the trenches. In situ permeation tests on the grouted trenches indicated a significant reduction in hydraulic conductivity of the trench contents from a mean of 2.1 x 10 -3 to 1.85 x 10 -5 cm/s. Preliminary observations indicated that grouting with polyacrylamide is an excellent method for both improved stability and hydrologic isolation of radioactive waste and its incidental hazardous constituents

  7. Chemical Characteristics of Seawater and Sediment in the Yap Trench

    Science.gov (United States)

    Ding, H.; Sun, C.; Yang, G.

    2017-12-01

    In June 2016, seawater samples at sediment-seawater interface and sediment samples were collected by the he Jiaolong, China's manned submersible, at four sampling sites located in the Yap Trench. Seawater samples from different depths of the trench were also collected by CTD. Chemical parameters, including pH, alkanility, concentrations of dissolved inorganic carbon, dissolved and total organic carbon, methane, dimethylsulfoniopropionate, nutrients, carbohydrates, and amino acids were analyzed in the seawater samples. Concentrations of total organic carbon, six constant elements and nine trace elements were determined in the sediment samples. All the vertical profiles of the chemical parameters in the seawater have unique characteristics. Our resluts also showed that the carbonate compensation depth (CCD) was between 4500 m and 5000 m in the trench. The hadal sediment at 6500 m depth under the CCD line was siliceous ooze favored for the burial of orgaic carbon, attributed to accumulation of surface sediment by gravity flow. The abyssal sediment at the 4500 m depth was calcareous ooze. Various microfossils, such as discoasters and diatoms, were identified in different sediment layers of the sediment samples.Based on the ratios of Fe/Al and Ti/Al, and the correlation between different elements, the sediment in the Yap Trench were derived from biogenic, terrestrial, volcanic and autogenic sources. The ratios of Ni/Co and V/Cr showed that the deposition environment of the trench should be oxidative, arributed to inflow of the Antractic bottom oxygen-rich seawater.The high concentraiont of Ca in the sediment from the station 371-Yap-S02 below 4 cm depth indicated that there was no large-scale volcanic eruption in the research area and the volcanic materials in the sediment might orginated from the Mariana Volcanic Arc, and the Carolyn Ridge has been slowly sinking on the east side of the trench due to plate subduction. This study is the first systematic study of

  8. Interim-status groundwater monitoring plan for the 216-B-63 trench. Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    Sweeney, M.D.

    1995-06-13

    This document outlines the groundwater monitoring plan for interim-status detection-level monitoring of the 216-B-63 Trench. This is a revision of the initial groundwater monitoring plan prepared for Westinghouse Hanford Company (WHC) by Bjornstad and Dudziak (1989). The 216-B-63 Trench, located at the Hanford Site in south-central Washington State, is an open, unlined, earthern trench approximately 1.2 m (4 ft) wide at the bottom, 427 m (1400 ft) long, and 3 m (10 ft) deep that received wastewater containing hazardous waste and radioactive materials from B Plant, located in the 200 East Area. Liquid effluent discharge to the 216-B-63 Trench began in March 1970 and ceased in February 1992. The trench is now managed by Waste Tank Operations.

  9. Interim-status groundwater monitoring plan for the 216-B-63 trench. Revision 1

    International Nuclear Information System (INIS)

    Sweeney, M.D.

    1995-01-01

    This document outlines the groundwater monitoring plan for interim-status detection-level monitoring of the 216-B-63 Trench. This is a revision of the initial groundwater monitoring plan prepared for Westinghouse Hanford Company (WHC) by Bjornstad and Dudziak (1989). The 216-B-63 Trench, located at the Hanford Site in south-central Washington State, is an open, unlined, earthern trench approximately 1.2 m (4 ft) wide at the bottom, 427 m (1400 ft) long, and 3 m (10 ft) deep that received wastewater containing hazardous waste and radioactive materials from B Plant, located in the 200 East Area. Liquid effluent discharge to the 216-B-63 Trench began in March 1970 and ceased in February 1992. The trench is now managed by Waste Tank Operations

  10. Onset of convection in a porous medium with sidewall heat transfer

    International Nuclear Information System (INIS)

    Kassoy, D.R.; Wang, M.; Weidman, P.D.

    1985-01-01

    A linear stability analysis is developed for convection in a vertically oriented finite slab of saturated porous material. The large vertical sidewall surfaces admit heat transfer while the small endwalls are insulated. A vertical temperature difference is imposed between the upper and lower horizontal surfaces. Results are obtained from an asymptotic evaluation of the exact stability criteria for a rectangular parallelpiped in the limit of small gap width element of → 0, where element of = narrow horizontal dimension/height. The critical Rayleigh number R/sub c/ = 0(element of/sup -2/) when the heat transfer boundary condition is applied directly to the sidewall. The convection mode consists of tightly packed three-dimensional cells with a wave number α = 0(element of/sup -1/2/). Only when the effective Biot number is sufficiently small, 0(element of/sup 2/), are the classical results approached; R/sub c/ → 4π/sup 2/, α/sub c/ = 0(1). If the slab is sandwiched between two impermeable conducting blocks with horizontal extent similar to the slab height, then the small scale modes disappear and R/sub c/ = 0(element of/sup -1/). However, sufficiently thin conducting blocks are compatible with R/sub c/ = 0(element of/sup -2/) and α = 0(element of/sup -1/2/

  11. A CMOS-compatible silicon substrate optimization technique and its application in radio frequency crosstalk isolation

    International Nuclear Information System (INIS)

    Li Chen; Liao Huailin; Huang Ru; Wang Yangyuan

    2008-01-01

    In this paper, a complementary metal-oxide semiconductor (CMOS)-compatible silicon substrate optimization technique is proposed to achieve effective isolation. The selective growth of porous silicon is used to effectively suppress the substrate crosstalk. The isolation structures are fabricated in standard CMOS process and then this post-CMOS substrate optimization technique is carried out to greatly improve the performances of crosstalk isolation. Three-dimensional electro-magnetic simulation is implemented to verify the obvious effect of our substrate optimization technique. The morphologies and growth condition of porous silicon fabricated have been investigated in detail. Furthermore, a thick selectively grown porous silicon (SGPS) trench for crosstalk isolation has been formed and about 20dB improvement in substrate isolation is achieved. These results demonstrate that our post-CMOS SGPS technique is very promising for RF IC applications. (cross-disciplinary physics and related areas of science and technology)

  12. Electrostatic potential fluctuation induced by charge discreteness in a nanoscale trench

    International Nuclear Information System (INIS)

    Lee, Taesang; Kim, S. S.; Jho, Y. S.; Park, Gunyoung; Chang, C. S.

    2007-01-01

    A simplified two-dimensional Monte Carlo simulation is performed to estimate the charging potential fluctuations caused by strong binary Coulomb interactions between discrete charged particles in nanometer scale trenches. It is found that the discrete charge effect can be an important part of the nanoscale trench research, inducing scattering of ion trajectories in a nanoscale trench by a fluctuating electric field. The effect can enhance the ion deposition on the side walls and disperse the material contact energy of the incident ions, among others

  13. Wavelength-dependent Crosstalk in Trench-Assisted Multi-Core Fibers

    DEFF Research Database (Denmark)

    Ye, Feihong; Tu, Jiajing; Saitoh, Kunimasa

    2014-01-01

    Analytical expressions for wavelength-dependent crosstalk in homogeneous trench-assisted multi-core fibers are derived. The calculated results from the expressions agree well with the numerical simulation results based on finite element method.......Analytical expressions for wavelength-dependent crosstalk in homogeneous trench-assisted multi-core fibers are derived. The calculated results from the expressions agree well with the numerical simulation results based on finite element method....

  14. Near-trench slip potential of megaquakes evaluated from fault properties and conditions

    Science.gov (United States)

    Hirono, Tetsuro; Tsuda, Kenichi; Tanikawa, Wataru; Ampuero, Jean-Paul; Shibazaki, Bunichiro; Kinoshita, Masataka; Mori, James J.

    2016-01-01

    Near-trench slip during large megathrust earthquakes (megaquakes) is an important factor in the generation of destructive tsunamis. We proposed a new approach to assessing the near-trench slip potential quantitatively by integrating laboratory-derived properties of fault materials and simulations of fault weakening and rupture propagation. Although the permeability of the sandy Nankai Trough materials are higher than that of the clayey materials from the Japan Trench, dynamic weakening by thermally pressurized fluid is greater at the Nankai Trough owing to higher friction, although initially overpressured fluid at the Nankai Trough restrains the fault weakening. Dynamic rupture simulations reproduced the large slip near the trench observed in the 2011 Tohoku-oki earthquake and predicted the possibility of a large slip of over 30 m for the impending megaquake at the Nankai Trough. Our integrative approach is applicable globally to subduction zones as a novel tool for the prediction of extreme tsunami-producing near-trench slip. PMID:27321861

  15. Freestanding membrane composed of micro-ring array with ultrahigh sidewall aspect ratio for application in lightweight cathode arrays

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Lanlan [State Key Laboratory for Manufacturing Systems Engineering, Xi’an Jiaotong University, Xi’an 710049 (China); Liu, Hongzhong, E-mail: hzliu@mail.xjtu.edu.cn [State Key Laboratory for Manufacturing Systems Engineering, Xi’an Jiaotong University, Xi’an 710049 (China); Jiang, Weitao, E-mail: wtjiang@mail.xjtu.edu.cn [State Key Laboratory for Manufacturing Systems Engineering, Xi’an Jiaotong University, Xi’an 710049 (China); Gao, Wei [Key Laboratory of Mechanics on Western Disasters and Environment, Lanzhou University, Lanzhou 730000 (China); Chen, Bangdao [State Key Laboratory for Manufacturing Systems Engineering, Xi’an Jiaotong University, Xi’an 710049 (China); Li, Xin [Department of Microelectronics, Xi’an Jiaotong University, Xi’an 710049 (China); Ding, Yucheng [State Key Laboratory for Manufacturing Systems Engineering, Xi’an Jiaotong University, Xi’an 710049 (China); An, Ningli [Department of Packaging Engineering, Xi’an University of Technology, Xi’an 710048 (China)

    2014-12-15

    Graphical abstract: A freestanding multilayer ultrathin nano-membrane (FUN-membrane) with a micro-ring array (MRA), in which the dimension of each micro-ring is 3 μm in diameter, 2 μm in height and sub-100 nm in sidewall thickness is successfully fabricated, as shown in the SEM image of figure (a). Due to the MRA with ultrahigh aspect ratio of dielectric-metal sidewall, the FUN-membrane can be transferred to either rigid or flexible substrate to be used as the cathode for lightweight display panel, as shown in the schematic of figure (b). - Highlights: • Exploring a new fabrication method for the freestanding ultrathin nano-membrane (FUN-membrane). • FUN-membrane is composed of micro-ring array with ultrahigh aspect ratio of the insulator-metal sidewall. • The sharp metal edge of each micro-ring is preferred to be served as the micro-emitter. - Abstract: A freestanding multilayer ultrathin nano-membrane (FUN-membrane) with a micro-ring array (MRA) is successfully fabricated through the controllable film deposition. Each micro-ring of FUN-membrane is 3 μm in diameter, 2 μm in height and sub-100 nm in sidewall thickness, demonstrating an ultrahigh sidewall aspect ratio of 20:1. In our strategy, a silica layer (200 nm in thickness), a chromium transition layer (5 nm-thick) and a gold layer (40 nm-thick), were in sequence deposited on patterned photoresist. After removal of the photoresist by lift-off process, a FUN-membrane with MRA was peeled off from the substrate, where the gold layer acted as a protecting layer to prevent the MRA from fracture. The FUN-membrane was then transferred to a flexible polycarbonate (PC) sheet coated with indium tin oxide (ITO) layer, which was then used as a flexible and lightweight cathode. Remarkably, the field emission effect of the fabricated FUN-membrane cathode performs a high field-enhancement factor of 1.2 × 10{sup 4} and a low turn-on voltage of 2 V/μm, indicating the advantages of the sharp metal edge of MRA. Due

  16. Freestanding membrane composed of micro-ring array with ultrahigh sidewall aspect ratio for application in lightweight cathode arrays

    International Nuclear Information System (INIS)

    Wang, Lanlan; Liu, Hongzhong; Jiang, Weitao; Gao, Wei; Chen, Bangdao; Li, Xin; Ding, Yucheng; An, Ningli

    2014-01-01

    Graphical abstract: A freestanding multilayer ultrathin nano-membrane (FUN-membrane) with a micro-ring array (MRA), in which the dimension of each micro-ring is 3 μm in diameter, 2 μm in height and sub-100 nm in sidewall thickness is successfully fabricated, as shown in the SEM image of figure (a). Due to the MRA with ultrahigh aspect ratio of dielectric-metal sidewall, the FUN-membrane can be transferred to either rigid or flexible substrate to be used as the cathode for lightweight display panel, as shown in the schematic of figure (b). - Highlights: • Exploring a new fabrication method for the freestanding ultrathin nano-membrane (FUN-membrane). • FUN-membrane is composed of micro-ring array with ultrahigh aspect ratio of the insulator-metal sidewall. • The sharp metal edge of each micro-ring is preferred to be served as the micro-emitter. - Abstract: A freestanding multilayer ultrathin nano-membrane (FUN-membrane) with a micro-ring array (MRA) is successfully fabricated through the controllable film deposition. Each micro-ring of FUN-membrane is 3 μm in diameter, 2 μm in height and sub-100 nm in sidewall thickness, demonstrating an ultrahigh sidewall aspect ratio of 20:1. In our strategy, a silica layer (200 nm in thickness), a chromium transition layer (5 nm-thick) and a gold layer (40 nm-thick), were in sequence deposited on patterned photoresist. After removal of the photoresist by lift-off process, a FUN-membrane with MRA was peeled off from the substrate, where the gold layer acted as a protecting layer to prevent the MRA from fracture. The FUN-membrane was then transferred to a flexible polycarbonate (PC) sheet coated with indium tin oxide (ITO) layer, which was then used as a flexible and lightweight cathode. Remarkably, the field emission effect of the fabricated FUN-membrane cathode performs a high field-enhancement factor of 1.2 × 10 4 and a low turn-on voltage of 2 V/μm, indicating the advantages of the sharp metal edge of MRA. Due to the

  17. Inversion kinematics at deep-seated gravity slope deformations revealed by trenching techniques

    OpenAIRE

    Pasquaré Mariotto, Federico; Tibaldi, Alessandro

    2016-01-01

    We compare data from three deep-seated gravitational slope deformations (DSGSDs) where palaeoseismological techniques were applied in artificial trenches. At all trenches, located in metamorphic rocks of the Italian Alps, there is evidence of extensional deformation given by normal movements along slip planes dipping downhill or uphill, and/or fissures, as expected in gravitational failure. However, we document and illustrate – with the aid of trenching – evidenc...

  18. Determining the extent of groundwater interference on the performance of infiltration trenches

    OpenAIRE

    Locatelli, Luca; Mark, Ole; Mikkelsen, Peter Steen; Arnbjerg-Nielsen, Karsten; Wong, Tony; Binning, Philip John

    2015-01-01

    Infiltration trenches are widely used in stormwater management, but their capacity decreases when installed in areas with shallow groundwater where infiltration is limited by groundwater drainage. Here the hydrological performance of single infiltration trenches in areas with shallow water tables is quantified in terms of their capability to reduce peak flow, peak volume and annual stormwater runoff volume. To simulate the long term hydrological performance of infiltration trenches two differ...

  19. The integration of InGaP LEDs with CMOS on 200 mm silicon wafers

    Science.gov (United States)

    Wang, Bing; Lee, Kwang Hong; Wang, Cong; Wang, Yue; Made, Riko I.; Sasangka, Wardhana Aji; Nguyen, Viet Cuong; Lee, Kenneth Eng Kian; Tan, Chuan Seng; Yoon, Soon Fatt; Fitzgerald, Eugene A.; Michel, Jurgen

    2017-02-01

    The integration of photonics and electronics on a converged silicon CMOS platform is a long pursuit goal for both academe and industry. We have been developing technologies that can integrate III-V compound semiconductors and CMOS circuits on 200 mm silicon wafers. As an example we present our work on the integration of InGaP light-emitting diodes (LEDs) with CMOS. The InGaP LEDs were epitaxially grown on high-quality GaAs and Ge buffers on 200 mm (100) silicon wafers in a MOCVD reactor. Strain engineering was applied to control the wafer bow that is induced by the mismatch of coefficients of thermal expansion between III-V films and silicon substrate. Wafer bonding was used to transfer the foundry-made silicon CMOS wafers to the InGaP LED wafers. Process trenches were opened on the CMOS layer to expose the underneath III-V device layers for LED processing. We show the issues encountered in the 200 mm processing and the methods we have been developing to overcome the problems.

  20. Field and laboratory investigations on pavement backfilling material for micro-trenching in cold regions

    OpenAIRE

    Leila Hashemian; Mohammad Rezaei; Alireza Bayat

    2017-01-01

    Micro-trenching is an innovative utility installation method that involves creating a narrow trench to place cable or conduit in the road pavement. Compared to other installation methods, micro-trenching provides minimal disturbance to the community and surrounding environment. Despite the advantages of micro-trenching, it is not widely accepted by municipalities because of its potential to damage the existing pavement. Quality of backfilling is an important factor in long-term sustainability...

  1. Mariana Trench Bathymetric Digital Elevation Model

    Data.gov (United States)

    National Oceanic and Atmospheric Administration, Department of Commerce — NOAA's National Geophysical Data Center (NGDC) created a bathymetric digital elevation model (DEM) for the Mariana Trench and adjacent seafloor in the Western...

  2. Particle imaging velocimetry evaluation of intracranial stents in sidewall aneurysm: hemodynamic transition related to the stent design.

    Science.gov (United States)

    Bouillot, Pierre; Brina, Olivier; Ouared, Rafik; Lovblad, Karl-Olof; Farhat, Mohamed; Pereira, Vitor Mendes

    2014-01-01

    We investigated the flow modifications induced by a large panel of commercial-off-the-shelf (COTS) intracranial stents in an idealized sidewall intracranial aneurysm (IA). Flow velocities in IA silicone model were assessed with and without stent implantation using particle imaging velocimetry (PIV). The use of the recently developed multi-time-lag method has allowed for uniform and precise measurements of both high and low velocities at IA neck and dome, respectively. Flow modification analysis of both regular (RSs) and flow diverter stents (FDSs) was subsequently correlated with relevant geometrical stent parameters. Flow reduction was found to be highly sensitive to stent porosity variations for regular stents RSs and moderately sensitive for FDSs. Consequently, two distinct IA flow change trends, with velocity reductions up to 50% and 90%, were identified for high-porosity RS and low-porosity FDS, respectively. The intermediate porosity (88%) regular braided stent provided the limit at which the transition in flow change trend occurred with a flow reduction of 84%. This transition occurred with decreasing stent porosity, as the driving force in IA neck changed from shear stress to differential pressure. Therefore, these results suggest that stents with intermediate porosities could possibly provide similar flow change patterns to FDS, favourable to curative thrombogenesis in IAs.

  3. Geophysical investigation of the 116-H-1 liquid waste disposal trench, 100-HR-1 operable unit

    International Nuclear Information System (INIS)

    Bergstrom, K.A.; Mitchell, T.H.

    1996-04-01

    A geophysical investigation and data integration were conducted for the 116-H-1 Liquid Waste Disposal Trench, which is located in the 100-HR-1 Operable Unit. The 116-H-1 Liquid Waste Disposal Trench is also known as the 107-H Liquid Waste Disposal Trench, the 107-H Rupture Effluent Trench, and the 107-H Trench (Deford and Einan 1995). The trench was primarily used to hold effluent from the 107-H Retention Basin that had become radioactive from contact with ruptured fuel elements. The effluent may include debris from the ruptured fuel elements (Koop 1964). The 116-H-1 Liquid Waste Disposal Trench was also used to hold water and sludge from the 107-H Retention Basin during the basin's deactivation in 1965

  4. The fabrication of silicon nanostructures by focused-ion-beam implantation and TMAH wet etching

    International Nuclear Information System (INIS)

    Sievilae, Paeivi; Chekurov, Nikolai; Tittonen, Ilkka

    2010-01-01

    Local gallium implantation of silicon by a focused ion beam (FIB) has been used to create a mask for anisotropic tetramethylammonium hydroxide (TMAH) wet etching. The dependence of the etch stop properties of gallium-doped silicon on the implanted dose has been investigated and a dose of 4 x 10 13 ions cm -2 has been determined to be the threshold value for achieving observable etching resistance. Only a thin, approx. 50 nm, surface layer is found to be durable enough to serve as a mask with a high selectivity of at least 2000:1 between implanted and non-implanted areas. The combined FIB-TMAH process has been used to generate various types of 3D nanostructures including nanochannels separated by thin vertical sidewalls with aspect ratios up to 1:30, ultra-narrow (approx. 25 nm) freestanding bridges and cantilevers, and gratings with a resolution of 20 lines μm -1 .

  5. EARTHSAWtm IN-SITU CONTAINMENT OF PITS AND TRENCHES

    International Nuclear Information System (INIS)

    Ernest E. Carter, P.E.

    2002-01-01

    EarthSaw(trademark) is a proposed technology for construction of uniform high quality barriers under and around pits and trenches containing buried radioactive waste without excavating or disturbing the waste. The method works by digging a deep vertical trench around the perimeter of a site, filling that trench with high specific gravity grout sealant, and then cutting a horizontal bottom pathway at the base of the trench with a simple cable saw mechanism. The severed block of earth becomes buoyant in the grout and floats on a thick layer of grout, which then cures into an impermeable barrier. The ''Interim Report on task 1 and 2'' which is incorporated into this report as appendix A, provided theoretical derivations, field validation of formulas, a detailed quantitative engineering description of the technique, engineering drawings of the hardware, and a computer model of how the process would perform in a wide variety of soil conditions common to DOE waste burial sites. The accomplishments of task 1 and 2 are also summarized herein Task 3 work product provides a comprehensive field test plan in Appendix B and a health and safety plan in Appendix C and proposal for a field-scale demonstration of the EarthSaw barrier technology. The final report on the subcontracted stress analysis is provided in Appendix D. A copy of the unified computer model is provided as individual non-functional images of each sheet of the spreadsheet and separately as a Microsoft Excel 2000 file

  6. First Dodo Trench with White Layer Visible in Dig Area

    Science.gov (United States)

    2008-01-01

    These color images were taken by NASA's Phoenix Mars Lander's Stereo Surface Imager on the ninth Martian day of the mission, or Sol 9 (June 3, 2008). The images of the trench shows a white layer that has been uncovered by the Robotic Arm (RA) scoop and is now visible in the wall of the trench. This trench was the first one dug by the RA to understand the Martian soil and plan the digging strategy. The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  7. Trench design and construction techniques for low-level radioactive waste disposal

    International Nuclear Information System (INIS)

    Tucker, P.G.

    1983-02-01

    This document provides information on trench design and construction techniques which can be used in the disposal of LLW by shallow land burial. It covers practices currently in use not only in the LLW disposal field, but also methods and materials being used in areas of hazardous and municipal waste disposal which are compatible with the performance objectives of 10 CFR Part 61. The complexity of a disposal site and its potential problems dictate the use of site-specific characteristics when designing a LLW disposal trench. This report presents the LLW disposal trench as consisting of various elements or unit processes. The term unit processes is used as it more fully relays the impact of the designer's choice of methods and materials. When choosing a material to fulfill the function of a certain trench element, the designer is also stipulating a portion of his operational procedure which must be compatible with the disposal operation as a whole. Information is provided on the properties, selection, and installation of various materials such as bentonite, soil-cement, polymeric materials, asphaltic materials, and geotechnical fabrics. This is not intended to outline step-by-step procedures. Basically, three time frames are addressed with respect to construction techniques; preoperational, operational, and postoperational. Within each of these time frames there are certain construction techniques which can be employed by the designer to enhance the overall ease of construction and ultimate success of the disposal facility. Among the techniques presented are precontouring the disposal area, alignment of the trench axis, sloping the trench bottom, incremental excavation, and surface water (runoff) management

  8. The medical response to trench nephritis in World War One.

    Science.gov (United States)

    Atenstaedt, R L

    2006-08-01

    Around the 90-year anniversary of the Battle of the Somme, it is important to remember the international effort that went into responding to the new diseases, which appeared during the First World War, such as trench nephritis. This condition arose among soldiers in spring 1915, characterized by breathlessness, swelling of the face or legs, headache, sore throat, and the presence of albumin and renal casts in urine. It was speedily investigated by the military-medical authorities. There was debate over whether it was new condition or streptococcal nephritis, and the experts agreed that it was a new condition. The major etiologies proposed were infection, exposure, and diet (including poisons). Research pointed to the origin of the disease as being infective rather than toxic, but no definite cause was discovered. A number of labels were given to the disease, including war nephritis. However, trench nephritis was the one used most widely. Trench nephritis was a serious problem for the Allies, leading to 35 000 casualties in the British and 2000 in the American forces. There were also hundreds of deaths. The condition was treated in line with pre-war regimens designed for acute nephritis. No significant preventative methods were implemented for trench nephritis, as there was no consensus regarding causation. The medical response to trench nephritis was largely ineffective, with medical commentators recognizing that there had been a lack of medical progress.

  9. Large-aperture focusing of x rays with micropore optics using dry etching of silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Moriyama, Teppei; Ogawa, Tomohiro; Kakiuchi, Takuya; Mitsuishi, Ikuyuki; Mitsuda, Kazuhisa; Aoki, Tatsuhiko; Morishita, Kohei; Nakajima, Kazuo

    2012-03-01

    Large-aperture focusing of Al K(α) 1.49 keV x-ray photons using micropore optics made from a dry-etched 4 in. (100 mm) silicon wafer is demonstrated. Sidewalls of the micropores are smoothed with high-temperature annealing to work as x-ray mirrors. The wafer is bent to a spherical shape to collect parallel x rays into a focus. Our result supports that this new type of optics allows for the manufacturing of ultralight-weight and high-performance x-ray imaging optics with large apertures at low cost. © 2012 Optical Society of America

  10. Deep and tapered silicon photonic crystals for achieving anti-reflection and enhanced absorption.

    Science.gov (United States)

    Hung, Yung-Jr; Lee, San-Liang; Coldren, Larry A

    2010-03-29

    Tapered silicon photonic crystals (PhCs) with smooth sidewalls are realized using a novel single-step deep reactive ion etching. The PhCs can significantly reduce the surface reflection over the wavelength range between the ultra-violet and near-infrared regions. From the measurements using a spectrophotometer and an angle-variable spectroscopic ellipsometer, the sub-wavelength periodic structure can provide a broad and angular-independent antireflective window in the visible region for the TE-polarized light. The PhCs with tapered rods can further reduce the reflection due to a gradually changed effective index. On the other hand, strong optical resonances for TM-mode can be found in this structure, which is mainly due to the existence of full photonic bandgaps inside the material. Such resonance can enhance the optical absorption inside the silicon PhCs due to its increased optical paths. With the help of both antireflective and absorption-enhanced characteristics in this structure, the PhCs can be used for various applications.

  11. Geophysical investigation of trench 4, Burial Ground 218-W-4C, 200 west area

    International Nuclear Information System (INIS)

    Kiesler, J.P.

    1994-01-01

    This report contains the results of a geophysical investigation conducted to characterize Trench 4, located in Burial Ground 218-W-4C, 200 West Area. Trench 4 is where transuranic (TRU) waste is stored. The primary objective of these geophysical investigations was to determine the outer edges of the trench/modules and select locations for plate-bearing tests. The test locations are to be 5 to 8 ft. beyond the edges of the trench. Secondary objectives include differentiating between the different types of waste containers within a given trench, determining the amount of soil cover over the waste containers, and to locate the module boundaries. Ground-penetrating radar (GPR) and electromagnetic induction (EMI) were the methods selected for this investigation

  12. Initial SVE Well Testing for the A-Area Miscellaneous Rubble Pile (ARP) Trenches Area

    International Nuclear Information System (INIS)

    RIHA, BRIAN

    2004-01-01

    The A-Area Miscellaneous Rubble Pile (ARP) is a 5.9 acre unit located at the southern end of A/M Area at the Savannah River Site (SRS). Disposal activities at ARP began in the early 1950s. The exact dates of operation and material disposed in the unit remain unknown. Within the ARP exists a smaller, approximately 2 acre, sub unit identified as the Trenches Area. The Trenches Area is dominated by a T-shaped trench (approximately 50 feet wide) containing 8 to 12 feet of ash material. This T-shaped trench will be referred to as the ARP Trench. Vegetation has been removed from the Trenches Area and a lower permeability earthen cover now covers the ARP Trench. The ARP active soil vapor extraction (ASVE) remediation system consists of seven extraction wells and twelve monitoring wells that were pushed into the vadose zone of the ARP Trench. The remediation system was designed based on the pre-design study conducted in 2002. The purpose of the initial soil vapor extraction (SVE) well testing was to verify the integrity and functionality of the nineteen wells installed in the ARP Trench. The well integrity was evaluated based on the flow rate, vacuum, and indication that soil gas and not surface air was pulled from the well. Soil gas was defined as gas with levels of carbon dioxide (CO2) above ambient concentrations (400-700 ppmv). Volatile organic compound (VOC) concentrations were measured at each well to determine the initial distribution of the contamination. In addition, the subsurface vacuum distribution was measured around each extraction well as a relative measure of the influence of each well

  13. Deep-Sea Trench Microbiology Down to 10.9 Kilometers Below the Surface

    Science.gov (United States)

    Bartlett, D. H.

    2012-12-01

    Deep-sea trenches, extending to more than 10.9 km below the sea surface, are among the most remote and infrequently sampled habitats. As a result a global perspective of microbial diversity and adaptation is lacking in these extreme settings. I will present the results of studies of deep-sea trench microbes collected in the Puerto Rico Trench (PRT), Tonga Trench, New Britain Trench and Mariana Trench. The samples collected include sediment, seawater and animals in baited traps. The analyses to be described include microbial community activity and viability measurements as a function of hydrostatic pressure, microbial culturing at high pressure under various physiological conditions, phylogenetics and metagenome and single-cell genome characterizations. Most of the results to date stem from samples recovered from the PRT. The deep-sea PRT Trench microbes have more in common at the species level with other deep-sea microbial communities previously characterized in the Pacific Ocean and the Mediterranean Sea than with the microbial populations above them in shallow waters. They also harbor larger genomes with more genes assigned to signal transduction, transcription, replication, recombination and repair and inorganic ion transport. The overrepresented transporters in the PRT metagenome include di- and tri-carboxylate transporters that correspond to the prevailing catabolic processes such as butanoate, glyoxylate and dicarboxylate metabolism. A surprisingly high abundance of sulfatases for the degradation of sulfated polysaccharides were also present in the PRT. But, perhaps the most dramatic adaptational feature of the PRT microbes is heavy metal resistance, as reflected in the high numbers of metal efflux systems present. Single-cell genomics approaches have proven particularly useful for placing PRT metagenomic data into context.

  14. Trench water chemistry at commercially operated low-level radioactive waste disposal sites

    International Nuclear Information System (INIS)

    Pietrzak, R.F.; Dayal, R.; Kinsley, M.T.; Clinton, J.; Czyscinski, K.S.; Weiss, A.J.

    1982-01-01

    Water samples from the disposal trenches of two low-level radioactive-waste-disposal sites were analyzed for their inorganic, organic, and radionuclide contents. Since oxidation of the trench waters can occur during their movement along the groundwater flow path, experiments were performed to measure the chemical and physical changes that occur in these waters upon oxidation. Low concentrations of chelating agents, shown to exist in trench waters, may be responsible for keeping radionuclides, particularly 60 Co, in solution. 4 figures, 5 tables

  15. Performance of Edgeless Silicon Pixel Sensors on p-type substrate for the ATLAS High-Luminosity Upgrade

    CERN Document Server

    INSPIRE-00052711; Boscardin, Maurizio; Bosisio, Luciano; Calderini, Giovanni; Chauveau, Jacques; Ducourthial, Audrey; Giacomini, Gabriele; Marchiori, Giovanni; Zorzi, Nicola

    2016-01-01

    In view of the LHC upgrade phases towards the High Luminosity LHC (HL-LHC), the ATLAS experiment plans to upgrade the Inner Detector with an all-silicon system. The n-on-p silicon technology is a promising candidate to achieve a large area instrumented with pixel sensors, since it is radiation hard and cost effective. The paper reports on the performance of novel n-on-p edgeless planar pixel sensors produced by FBK-CMM, making use of the active trench for the reduction of the dead area at the periphery of the device. After discussing the sensor technology an overview of the first beam test results will be given.

  16. Development of Edgeless Silicon Pixel Sensors on p-type substrate for the ATLAS High-Luminosity Upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Calderini, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Dipartimento di Fisica E. Fermi, Universitá di Pisa, Pisa (Italy); Bagolini, A. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Beccherle, R. [Istituto Nazionale di Fisica Nucleare, Sez. di Pisa (Italy); Bomben, M. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Boscardin, M. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Bosisio, L. [Università degli studi di Trieste (Italy); INFN-Trieste (Italy); Chauveau, J. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Giacomini, G. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); La Rosa, A. [Section de Physique (DPNC), Universitè de Geneve, Geneve (Switzerland); Marchiori, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Zorzi, N. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy)

    2016-09-21

    In view of the LHC upgrade phases towards the High Luminosity LHC (HL-LHC), the ATLAS experiment plans to upgrade the Inner Detector with an all-silicon system. The n-on-p silicon technology is a promising candidate to achieve a large area instrumented with pixel sensors, since it is radiation hard and cost effective. The presentation describes the performance of novel n-in-p edgeless planar pixel sensors produced by FBK-CMM, making use of the active trench for the reduction of the dead area at the periphery of the device. After discussing the sensor technology, some feedback from preliminary results of the first beam test will be discussed.

  17. Characterization of sediment in a leaching trench RCRA (Resource Conservation and Recovery Act) site

    International Nuclear Information System (INIS)

    Zimmerman, M.G.; Kossik, C.D.

    1988-01-01

    Hazardous materials potentially were disposed of into a pair of leaching trenches from 1975 until Resource Conservation and Recovery Act (RCRA) regulations were imposed in 1985. These leaching trenches now are used for disposal of nonhazardous process water. The typical effluent (approximately 3 million gal/d) consisted of water with trace quantities of laboratory, maintenance, and fuel fabrication process chemicals. The largest constituent in the waste stream was uranium in low concentrations. This paper describes the project used to analyze and characterize the sediments in and below the leaching trenches. Two phases of sediment sampling were performed. The first phase consisted of taking samples between the bottom of the trenches and groundwater to locate contamination in the deep sediments under the trenches. To accomplish this sampling, a series of wells were drilled, and samples were obtained for every five feet in depth. The second phase consisted of samples taken at three depths in a series of positions along each trench. Sampling was completed to determine contamination levels in the shallow sediments and loose material washed into the trenches from the process sewer system. The project results were that no measurable contamination was found in the deep sediments. Measurable contamination from metals, such as chromium and nickel, was found in the shallow sediments. The primary contaminant in the shallow sediments was uranium. The concentration of contaminants decreased rapidly to near-background levels at shallow depths below the bottoms of the trenches

  18. Color Image of Snow White Trenches and Scraping

    Science.gov (United States)

    2008-01-01

    This image was acquired by NASA's Phoenix Mars Lander's Surface Stereo Imager on the 31st Martian day of the mission, or Sol 31 (June 26, 2008), after the May 25, 2008 landing. This image shows the trenches informally called 'Snow White 1' (left), 'Snow White 2' (right), and within the Snow White 2 trench, the smaller scraping area called 'Snow White 3.' The Snow White 3 scraped area is about 5 centimeters (2 inches) deep. The dug and scraped areas are within the diggiing site called 'Wonderland.' The Snow White trenches and scraping prove that scientists can take surface soil samples, subsurface soil samples, and icy samples all from one unit. Scientists want to test samples to determine if some ice in the soil may have been liquid in the past during warmer climate cycles. The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is led by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver

  19. High Density Faraday Cup Array or Other Open Trench Structures and Method of Manufacture Thereof

    Science.gov (United States)

    Gilchrist, Kristin Hedgepath (Inventor); Bower, Christopher A. (Inventor); Stoner, Brian R. (Inventor)

    2014-01-01

    A detector array and method for making the detector array. The detector array includes a substrate including a plurality of trenches formed therein, and a plurality of collectors electrically isolated from each other, formed on the walls of the trenches, and configured to collect charged particles incident on respective ones of the collectors and to output from the collectors signals indicative of charged particle collection. In the detector array, adjacent ones of the plurality of trenches are disposed in a staggered configuration relative to one another. The method forms in a substrate a plurality of trenches across a surface of the substrate such that adjacent ones of the trenches are in a staggered sequence relative to one another, forms in the plurality of trenches a plurality of collectors, and connects a plurality of electrodes respectively to the collectors.

  20. Resisting and pinning of a nanodrop by trenches on a hysteresis-free surface

    Science.gov (United States)

    Chang, Cheng-Chung; Wu, Cyuan-Jhang; Sheng, Yu-Jane; Tsao, Heng-Kwong

    2016-10-01

    The encounter of a nanodrop with a trench on a hysteresis-free surface is explored by many-body dissipative particle dynamics to show the effect of surface roughness on droplet wetting. A free nanodrop exhibits Brownian motion and the diffusivity decays exponentially with the liquid-solid contact area. In contrast, as the nanodrop sits on a trench, its random motion is constrained. Work must be done to overcome the energy barriers for the transition between free and trapped states. The potential energy landscape is thus constructed based on the force-displacement plot. It is shown that the trench acts as a hydrophobic blemish for capture but like a hydrophilic blemish for escape. A drop always breaks up after detachment from a hydrophilic trench. Therefore, the drop tends to bypass a small trench when it meets one. The macroscopic experiments are performed by fabricating liquid-infused surfaces with extremely low contact angle hysteresis. The experimental observations agree qualitatively with simulation outcomes.

  1. EARTHSAWtm IN-SITU CONTAINMENT OF PITS AND TRENCHES

    Energy Technology Data Exchange (ETDEWEB)

    Ernest E. Carter, P.E.

    2002-09-20

    EarthSaw{trademark} is a proposed technology for construction of uniform high quality barriers under and around pits and trenches containing buried radioactive waste without excavating or disturbing the waste. The method works by digging a deep vertical trench around the perimeter of a site, filling that trench with high specific gravity grout sealant, and then cutting a horizontal bottom pathway at the base of the trench with a simple cable saw mechanism. The severed block of earth becomes buoyant in the grout and floats on a thick layer of grout, which then cures into an impermeable barrier. The ''Interim Report on task 1 and 2'' which is incorporated into this report as appendix A, provided theoretical derivations, field validation of formulas, a detailed quantitative engineering description of the technique, engineering drawings of the hardware, and a computer model of how the process would perform in a wide variety of soil conditions common to DOE waste burial sites. The accomplishments of task 1 and 2 are also summarized herein Task 3 work product provides a comprehensive field test plan in Appendix B and a health and safety plan in Appendix C and proposal for a field-scale demonstration of the EarthSaw barrier technology. The final report on the subcontracted stress analysis is provided in Appendix D. A copy of the unified computer model is provided as individual non-functional images of each sheet of the spreadsheet and separately as a Microsoft Excel 2000 file.

  2. A Generic Water Balance Model for a Trench Repository

    International Nuclear Information System (INIS)

    Lee, Youn Myoung; Choi, Hee Joo

    2016-01-01

    To quantify the exposure dose rates from the nuclide release and transport through the various pathways possible in the near- and far-fields of the LILW repository system, various scenarios are to be conveniently simulated in a straightforward manner and extensively with this GoldSim model, as similarly developed for other various types of repositories in previous studies. Through this study, a result from four scenario cases, each of which is or is not associated with water balance, are compared to each other to see what happens in different cases in which an overflow over a trench rooftop, stochastic rainfall on the trench cover, and an unsaturated flow scheme under the trench bottom are combined. The other two latter elements vary periodically owing to stochastic behavior of the time series data for the past rain-fall records. This program is ready for a total system performance assessment and is able to deterministically and probabilistically evaluate the nuclide release from a repository and farther transport into the geosphere and biosphere under various scenarios that can occur after a failure of waste packages with associated uncertainty. An illustration conducted through a study with a new water balance scheme shows the possibility of a stochastic evaluation associated with the stochastic behavior and various pathways that happen around the trench repository.

  3. A Generic Water Balance Model for a Trench Repository

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Youn Myoung; Choi, Hee Joo [KAERI, Daejeon (Korea, Republic of)

    2016-05-15

    To quantify the exposure dose rates from the nuclide release and transport through the various pathways possible in the near- and far-fields of the LILW repository system, various scenarios are to be conveniently simulated in a straightforward manner and extensively with this GoldSim model, as similarly developed for other various types of repositories in previous studies. Through this study, a result from four scenario cases, each of which is or is not associated with water balance, are compared to each other to see what happens in different cases in which an overflow over a trench rooftop, stochastic rainfall on the trench cover, and an unsaturated flow scheme under the trench bottom are combined. The other two latter elements vary periodically owing to stochastic behavior of the time series data for the past rain-fall records. This program is ready for a total system performance assessment and is able to deterministically and probabilistically evaluate the nuclide release from a repository and farther transport into the geosphere and biosphere under various scenarios that can occur after a failure of waste packages with associated uncertainty. An illustration conducted through a study with a new water balance scheme shows the possibility of a stochastic evaluation associated with the stochastic behavior and various pathways that happen around the trench repository.

  4. 300 Area Process Trenches Supplemental Information to the Hanford Contingency Plan (DOE/RL-93-75)

    International Nuclear Information System (INIS)

    R.A. Carlson

    1997-01-01

    The 300 Area Process Trenches are surface impoundments which were used to receive routine discharges of nonregulated process cooling water from operations in the 300 Area and dangerous waste from several research and development laboratories and the 300 Area Fuels Fabrication process. Discharges to the trenches ceased in 1994, and they were physically isolated in 1995. Remediation of the trenches is scheduled to begin during July 1997. Currently, there are no waste management activities required at the 300 Area Process Trenches and the unit does not present any significant hazards to adjacent units, personnel, or the environment. It is unlikely that any incidents presenting hazards to public health or the environment would occur at the 300 Area Process Trenches, however, during remediation, exposure, spill, fire, and industrial hazards will exist. This contingency plan addresses the emergency organization, equipment and evacuation routes pertinent to the process trenches during remediation

  5. ORNL Solid Waste Storage Area 6 trench photos and geologic descriptions, July 1984-September 1985

    International Nuclear Information System (INIS)

    Davis, E.C.; Marshall, D.S.; Stansfield, R.G.; Dreier, R.B.

    1986-03-01

    The Environmental Sciences Division of the Oak Ridge National Laboratory has initiated a photographic and descriptive geologic study of low-level waste trenches opened in Solid Waste Storage Area 6 (SWSA-6). From July 1984 through September 1985, trenches were excavated, geologically described, and photographed before being filled and closed. Only three trenches (Nos. 438, 448, and 465) were excavated and closed before photography could be scheduled. It is recommended that the systematic trench characterization procedure outlined in this report be continued under the direction of ORNL's Operations Division with support from both Environmental Sciences and the Engineering divisions. Publication of such a compilation of trench photos on a yearly basis will serve not only as a part of Department of Energy trench documentation requirements but also as a component of a SWSA-6 geologic data base being developed for current research and development activities. 2 refs., 38 figs

  6. In situ grouting of low-level burial trenches with a cement-based grout at Oak Ridge National Laboratory

    International Nuclear Information System (INIS)

    Francis, C.W.; Spence, R.D.; Tamura, T.; Spalding, B.P.

    1993-01-01

    A technology being evaluated for use in the closure of one of the low-level radwaste burial grounds at ORNL is trench stabilization using a cement-based grout. To demonstrate the applicability and effectiveness of this technology, two interconnecting trenches in SWSA 6 were selected as candidates for in situ grouting with a particulate grout. The primary objective was to demonstrate the increased trench stability (characterized by trench penetration tests) and the decreased potential for leachate migration (characterized by hydraulic conductivity tests) following in situ injection of a particulate grout into the waste trenches. Stability against trench subsidence is a critical issue. For example, construction of impermeable covers to seal the trenches will be ineffectual unless subsequent trench subsidence is permanently suspended. A grout composed of 39% Type 1 Portland cement, 55.5% Class F fly ash, and 5.5% bentonite mixed at 12.5 lb/gal of water was selected. Before the trenches were grouted, the primary characteristics relating to physical stability, hydraulic conductivity, and void volume of the trenches were determined. Their physical stability was evaluated using soil-penetration tests

  7. Characterization and modeling of crosstalk and afterpulsing in Hamamatsu silicon photomultipliers

    International Nuclear Information System (INIS)

    Rosado, J.; Hidalgo, S.

    2015-01-01

    The crosstalk and afterpulsing in Hamamatsu silicon photomultipliers, called Multi-Pixel Photon Counters (MPPCs), have been studied in depth. Several components of the correlated noise have been identified according to their different possible causes and their effects on the signal. In particular, we have distinguished between prompt and delayed crosstalk as well as between trap-assisted and hole-induced afterpulsing. The prompt crosstalk has been characterized through the pulse amplitude spectrum measured at dark conditions. The newest MPPC series, which incorporate isolating trenches between pixels, exhibit a very low prompt crosstalk, but a small component remains likely due to secondary photons reflected on the top surface of the device and photon-generated minority carriers diffusing in the silicon substrate.We present a meticulous procedure to characterize the afterpulsing and delayed crosstalk through the amplitude and delay time distributions of secondary pulses. Our results indicate that both noise components are due to minority carriers diffusing in the substrate and that this effect is drastically reduced in the new MPPC series as a consequence of an increase of one order of magnitude in the doping density of the substrate.Finally, we have developed a Monte Carlo simulation to study the different components of the afterpulsing and crosstalk. The simulation results support our interpretation of the experimental data. They also demonstrate that trenches longer than those employed in the Hamamatsu MPPCs would reduce the crosstalk to a much greater extent

  8. Modeling the flow of water in and around shallow burial trenches

    International Nuclear Information System (INIS)

    Suen, C.J.

    1988-01-01

    Water flow through a generic low-level waste burial trench has been modeled for a vertical cross-section perpendicular to the longitudinal axis of an elongated trenched, using the finite element code, FEMWATER, in two-dimensional vertical mode. The grid consists of 513 nodes and 468 variable-size quadrilateral elements, and the simulation domain is about 56 m (H) /times/ 34 m (V). The traench, which is situated in the unsaturated zone, measures approximately 28 m wide and 10 m deep in cross-section, and is composed of three types of soil - a high-conductivity gravel cap on top, a low-conductivity clay layer beneath it, and backfill soil in the waste burial region. The rest of the domain is made up of undisturbed soil. Different cases have been simulated by varying boundary conditions, geometry and hydraulic properties. These results are used in radionuclide transport calculations to determine the ''source term'' (4). In addition, numerical experiments provide valuable information in trench design, such as, the geometry of the moisture barrier. Results from these experiments indicates that a moderate extension (8 m) of the clay layer beyond the sides of the trench can significantly reduce the net water flow (by 42%). They also show that sparsely distributed waste package have minimal effect on the net flow through the trench. 10 refs., 7 figs., 3 tabs

  9. Chemical mechanical polishing of BTO thin film for vertical sidewall patterning of high-density memory capacitor

    International Nuclear Information System (INIS)

    Kim, Nam-Hoon; Ko, Pil-Ju; Seo, Yong-Jin; Lee, Woo-Sun

    2006-01-01

    Most high-k materials cannot to be etched easily. Problems such as low etch rate, poor sidewall angle, plasma damage, and process complexity have emerged in high-density DRAM fabrication. Chemical mechanical polishing (CMP) by the damascene process has been used to pattern high-k materials for high-density capacitor. Barium titanate (BTO) thin film, a typical high-k material, was polished with three types of silica slurry having different pH values. Sufficient removal rate with adequate selectivity to realize the pattern mask of tetra-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle was obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. Planarization was also achieved for the subsequent multilevel processes. Our new CMP approach will provide a guideline for effective patterning of high-k materials by CMP

  10. A numerical model for bird strike on sidewall structure of an aircraft nose

    Directory of Open Access Journals (Sweden)

    Liu Jun

    2014-06-01

    Full Text Available In order to examine the potential of using the coupled smooth particles hydrodynamic (SPH and finite element (FE method to predict the dynamic responses of aircraft structures in bird strike events, bird-strike tests on the sidewall structure of an aircraft nose are carried out and numerically simulated. The bird is modeled with SPH and described by the Murnaghan equation of state, while the structure is modeled with finite elements. A coupled SPH–FE method is developed to simulate the bird-strike tests and a numerical model is established using a commercial software PAM-CRASH. The bird model shows no signs of instability and correctly modeled the break-up of the bird into particles. Finally the dynamic response such as strains in the skin is simulated and compared with test results, and the simulated deformation and fracture process of the sidewall structure is compared with images recorded by a high speed camera. Good agreement between the simulation results and test data indicates that the coupled SPH–FE method can provide a very powerful tool in predicting the dynamic responses of aircraft structures in events of bird strike.

  11. Direct fabrication of integrated 3D Au nanobox arrays by sidewall deposition with controllable heights and thicknesses

    Energy Technology Data Exchange (ETDEWEB)

    Cha, Nam-Goo; Lee, Bong Kuk; Kanki, Teruo; Lee, Hea Yeon; Kawai, Tomoji; Tanaka, Hidekazu, E-mail: h-tanaka@sanken.osaka-u.ac.j [Institute of Scientific and Industrial Research, Osaka University, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan)

    2009-09-30

    This paper provides a unique strategy for controlling integrated hollow nanostructure arrays such as boxes or pillars at the nanometer scale. The key merit of this technique is that it can overcome resolution limits by sidewall deposition and deposit various materials using a sputtering method. The sputtering method can be replaced by other dry deposition techniques such as pulsed laser deposition (PLD) for complex functional materials. Furthermore, it can produce low-cost large-area fabrication and high reproducibility using the NIL (nanoimprint lithograph) process. The fabrication method consists of a sequence of bilayer spin-coating, UV-NIL, RIE (reactive ion etching), sputtering, ion milling and piranha cleaning processes. By changing the deposition time and molds, various thicknesses and shapes can be fabricated, respectively. Furthermore, the fabricated Au box nanostructure has a bending zone of the top layer and a {approx}17 nm undercut of the bottom layer as observed by SEM (scanning electron microscope). The sidewall thickness was changed from 12 to 61 nm by controlling the deposition time, and was investigated to understand the relationship with blanket thicknesses and geometric effects. The calculated sidewall thickness matched well with experimental results. Using smaller hole-patterned molds, integrated nanobox arrays, with inner squares measuring {approx}160 nm, and nanopillar arrays, with inside pores measuring {approx}65 nm, were fabricated under the same conditions.

  12. The design and performance of a low-cost, soil cement cap for LLNW disposal trenches

    International Nuclear Information System (INIS)

    Nowatzki, E.A.

    1986-01-01

    Over the past three years, the University of Arizona has conducted research for the U.S. Nuclear Regulatory Commission (USNRC) to assess various trench cap designs from the viewpoint of structural stability, water infiltration, and economy. As part of that project, four experimental trenches were built and monitored at each of two semi-arid sites in the vicinity of Tucson, Arizona. In this paper, the design and construction of one of those trenches are described in detail. That trench included a cap-crown system that incorporates compacted soil backfill and a steel-reinforced, soil-cement cap with an overlying 'wick' drain. The results of structural monitoring over a period of approximately 2 years are presented and compared to those of a more conventionally designed trench. The results are evaluated with respect to surface subsidence and movements of the cap-crown components as they affect moisture infiltration. Recommendations are made regarding standard design criteria for LLNW disposal trenches based on the results of this research

  13. Retrieval of buried depleted uranium from the T-1 trench

    International Nuclear Information System (INIS)

    Burmeister, M.; Castaneda, N.; Hull, C.; Barbour, D.; Quapp, W.J.

    1998-01-01

    The Trench 1 remediation project will be conducted this year to retrieve depleted uranium and other associated materials from a trench at Rocky Flats Environmental Technology Site. The excavated materials will be segregated and stabilized for shipment. The depleted uranium will be treated at an offsite facility which utilizes a novel approach for waste minimization and disposal through utilization of a combination of uranium recycling and volume efficient uranium stabilization

  14. Trench foot: the medical response in the first World War 1914-18.

    Science.gov (United States)

    Atenstaedt, Robert L

    2006-01-01

    The approaching 90-year anniversary of United States entry into the Great War is an apt time to examine the response to trench foot (now called nonfreezing cold injury [NFCI]) in this conflict. Trench foot appeared in the winter of 1914, characterized by pedal swelling, numbness, and pain. It was quickly recognized by military-medical authorities. There was little debate over whether it was frostbite or new condition, and it was quickly accepted as a specific disease. The major etiologies proposed were exposure, diet, and infection. The opinion emerged that it was caused by circulatory changes in the foot caused by cold, wet, and pressure. Predisposing factors included dietary inadequacy and fatigue. A number of labels were first given to the disease. However, the name "trench foot" was eventually officially sanctioned. Trench foot became a serious problem for the Allies, leading to 75 000 casualties in the British and 2000 in the American forces. Therapy for trench foot involved a number of conventional, tried-and-tested, and conservative methods. Some more innovative techniques were used. Amputation was only used as a last resort. Prevention involved general measures to improve the trench environment; modification of the footwear worn by the men; and the provision of greases to protect them from moisture. The medical reaction to this condition seems to have been relatively effective. The causation was identified, and prophylactic measures were introduced to fit this model; these seem to have been successful in reducing the prevalence of the condition by 1917-18.

  15. 40 CFR 265 interim status indicator-evaluation ground-water monitoring plan for the 216-B-63 trench

    International Nuclear Information System (INIS)

    Bjornstad, B.N.; Dudziak, S.

    1989-03-01

    This document outlines a ground-water monitoring plan for the 216-B-63 trench located in the northeast corner of the 200-East Area on the Hanford Site in southeastern Washington State. It has been determined that hazardous materials (corrosives) were disposed of to the trench during past operations. Installation of an interim-status ground-water monitoring system is required to determine whether hazardous chemicals are leaching to the ground water from beneath the trench. This document summarizes the existing data that are available from near the 216-B-63 trench and presents a plan to determine the extent of ground-water contamination, if any, derived from the trench. The plan calls for the installation of four new monitoring wells located near the west end of the trench. These wells will be used to monitor ground-water levels and water quality immediately adjacent to the trench. Two existing RCRA monitoring wells, which are located near the trench and hydraulically upgradient of it, will be used as background wells. 46 refs., 15 figs., 12 tabs

  16. Trench infiltration for managed aquifer recharge to permeable bedrock

    Science.gov (United States)

    Heilweil, V.M.; Watt, D.E.

    2011-01-01

    Managed aquifer recharge to permeable bedrock is increasingly being utilized to enhance resources and maintain sustainable groundwater development practices. One such target is the Navajo Sandstone, an extensive regional aquifer located throughout the Colorado Plateau of the western United States. Spreading-basin and bank-filtration projects along the sandstone outcrop's western edge in southwestern Utah have recently been implemented to meet growth-related water demands. This paper reports on a new cost-effective surface-infiltration technique utilizing trenches for enhancing managed aquifer recharge to permeable bedrock. A 48-day infiltration trench experiment on outcropping Navajo Sandstone was conducted to evaluate this alternative surface-spreading artificial recharge method. Final infiltration rates through the bottom of the trench were about 0.5 m/day. These infiltration rates were an order of magnitude higher than rates from a previous surface-spreading experiment at the same site. The higher rates were likely caused by a combination of factors including the removal of lower permeability soil and surficial caliche deposits, access to open vertical sandstone fractures, a reduction in physical clogging associated with silt and biofilm layers, minimizing viscosity effects by maintaining isothermal conditions, minimizing chemical clogging caused by carbonate mineral precipitation associated with algal photosynthesis, and diminished gas clogging associated with trapped air and biogenic gases. This pilot study illustrates the viability of trench infiltration for enhancing surface spreading of managed aquifer recharge to permeable bedrock. ?? 2010.

  17. A silicon integrated micro nano-positioning XY-stage for nano-manipulation

    International Nuclear Information System (INIS)

    Sun Lining; Wang Jiachou; Rong Weibin; Li Xinxin; Bao Haifei

    2008-01-01

    An integrated micro XY-stage with a 2 × 2 mm 2 movable table is designed and fabricated for application in nanometer-scale operation and nanometric positioning precision. The device integrates the functions of both actuating and sensing in a monolithic chip and is mainly composed of a silicon-based XY-stage, comb-drive actuator and a displacement sensor, which are developed by using double-sided bulk-micromachining technology. The high-aspect-ratio comb-driven XY-stage is achieved by deep reactive ion etching (DRIE) on both sides of the wafer. The displacement sensor is formed on four vertical sidewall surface piezoresistors with a full Wheatstone bridge circuit, where a novel fabrication process of a vertical sidewall surface piezoresistor is proposed. Comprehensive design and analysis of the comb actuator, the piezoresistive displacement sensor and the XY-stage are given in full detail, and the experimental results verify the design and fabrication of the device. The final realization of the device shows that the sensitivity of the fabricated piezoresistive sensors is better than 1.17 mV µm −1 without amplification, and the linearity is better than 0.814%. Under 28.5 V driving voltage, a ±10 µm single-axis displacement is measured without crosstalk and the resonant frequency is measured at 983 Hz in air

  18. STUDY OF ELECTRICAL CHARACTERISTIC OF NEW P-TYPE TRENCHED UMOSFET

    OpenAIRE

    Akansha Ephraim*, Neelesh Agrawal, Anil Kumar, A.K. Jaiswal

    2017-01-01

    In this paper p-type trenched UMOSFET was designed without super junction and constructed like any other conventional MOSFET. Characteristic curve was studied between drain current verses drain voltage and drain current verses gate voltage. The trench was designed under TCAD simulation tool Silvaco software using etching process. The specific channel length of the p-type UMOSFET has been concentrated as 0.9 microns. The device structures are designed using Silvaco Athena and characteristics w...

  19. A case of bilateral trench foot.

    Science.gov (United States)

    Parsons, S L; Leach, I H; Charnley, R M

    1993-12-01

    A case of severe bilateral trench foot is presented in a patient who lived rough for 3 weeks without removing his boots. Non-operative management yielded no clinical improvement and bilateral below-knee amputation was necessary. Histology revealed subcutaneous and muscle necrosis with secondary arterial thrombosis.

  20. A silicon-on-insulator vertical nanogap device for electrical transport measurements in aqueous electrolyte solution

    Energy Technology Data Exchange (ETDEWEB)

    Strobel, Sebastian [Walter Schottky Institut, Technische Universitaet Muenchen, Am Coulombwall, D-85748 Garching (Germany); Arinaga, Kenji [Walter Schottky Institut, Technische Universitaet Muenchen, Am Coulombwall, D-85748 Garching (Germany); Hansen, Allan [Walter Schottky Institut, Technische Universitaet Muenchen, Am Coulombwall, D-85748 Garching (Germany); Tornow, Marc [Walter Schottky Institut, Technische Universitaet Muenchen, Am Coulombwall, D-85748 Garching (Germany)

    2007-07-25

    A novel concept for metal electrodes with few 10 nm separation for electrical conductance measurements in an aqueous electrolyte environment is presented. Silicon-on-insulator (SOI) material with 10 nm buried silicon dioxide serves as a base substrate for the formation of SOI plateau structures which, after recess-etching the thin oxide layer, thermal oxidation and subsequent metal thin film evaporation, feature vertically oriented nanogap electrodes at their exposed sidewalls. During fabrication only standard silicon process technology without any high-resolution nanolithographic techniques is employed. The vertical concept allows an array-like parallel processing of many individual devices on the same substrate chip. As analysed by cross-sectional TEM analysis the devices exhibit a well-defined material layer architecture, determined by the chosen material thicknesses and process parameters. To investigate the device in aqueous solution, we passivated the sample surface by a polymer layer, leaving a micrometre-size fluid access window to the nanogap region only. First current-voltage characteristics of a 65 nm gap device measured in 60 mM buffer solution reveal excellent electrical isolation behaviour which suggests applications in the field of biomolecular electronics in a natural environment.

  1. Chemical characteristics of hadal waters in the Izu-Ogasawara Trench of the western Pacific Ocean.

    Science.gov (United States)

    Gamo, Toshitaka; Shitashima, Kiminori

    2018-01-01

    Vertical profiles of potential temperature, salinity, and some chemical components were obtained at a trench station (29°05'N, 142°51'E; depth = 9768 m) in the Izu-Ogasawara (Bonin) Trench in 1984 and 1994 to characterize the hadal waters below ∼6000 m depth. We compared portions of both the 1984 and 1994 profiles with nearby data obtained between 1976 and 2013. Results demonstrated that the hadal waters had slightly higher potential temperature and nitrate and lower dissolved oxygen than waters at sill depths (∼6000 m) outside the trench, probably due to the effective accumulation of geothermal heat and active biological processes inside the trench. The silicate, iron, and manganese profiles in 1984 showed slight but significant increases below ∼6000 m depth, suggesting that these components may have been intermittently supplied from the trench bottom. Significant amounts of 222 Rn in excess over 226 Ra were detected in the hadal waters up to 2675 m from the bottom, reflecting laterally supplied 222 Rn from the trench walls.

  2. Paleoseismological data from a new trench across the El Camp Fault(Catalan Coastal Ranges, NE Iberian Peninsula

    Directory of Open Access Journals (Sweden)

    K. Boydell

    2003-06-01

    Full Text Available The El Camp Fault (Catalan Coastal Ranges, NE Iberian Peninsula is a slow slipping normal fault whose seismic potential has only recently been recognised. New geomorphic and trench investigations were carried out during a training course across the El Camp Fault at the La Porquerola alluvial fan site. A new trench (trench 8 was dug close to a trench made previously at this site (trench 4. With the aid of two long topographic profiles across the fault scarp we obtained a vertical slip rate ranging between 0.05 and 0.08 mm/yr. At the trench site, two main faults, which can be correlated between trenches 8 and 4, make up the fault zone. Using trench analysis three paleoseismic events were identified, two between 34.000 and 125.000 years BP (events 3 and 2 and another event younger than 13 500 years BP (event 1, which can be correlated, respectively, with events X (50.000- 125.000 years BP, Y (35.000-50.000 years BP and Z (3000-25.000 years BP. The last seismic event at the La Porquerola alluvial fan site is described for the first time, but with some uncertainties.

  3. Characterization of trench water at the Maxey Flats low-level radioactive waste disposal site

    International Nuclear Information System (INIS)

    Weiss, A.J.; Francis, A.J.; Colombo, P.

    1977-01-01

    Currently the United States Geological Survey is conducting a study of the hydrogeological and geochemical behavior of commercially operated low-level radioactive waste disposal sites. The data collected from this study will be used to establish criteria for selection of new sites for disposal of radioactive wastes. As part of this study, water samples from trenches at the Maxey Flats, Kentucky site were analyzed at Brookhaven National Laboratory to determine the source terms of the radionuclides and other components in solution in the trenches. Procedures for collection and filtration of the samples under anoxic conditions are described. The samples were analyzed for inorganic, radiochemical and organic constituents. The inorganic analysis includes the measurements of pH, specific conductance, alkalinity, and various cations and anions. The radionuclides were measured by the gross alpha, gross beta, tritium, and gamma activities, followed by specific measurements of strontium-90 and plutonium isotopes. The organics were extracted, concentrated, and identified by gas chromatography/mass spectrometry. Considerable quantities of organics were detected in all of the trench waters sampled. Specific organics were found in most of the trenches, however, the organic composition of the trench waters vary. The presence of a variety of organic compounds in trench waters suggest that they may play an important role in the transport of radionuclides

  4. Evaluation of Aero Commander sidewall vibration and interior acoustic data: Static operations

    Science.gov (United States)

    Piersol, A. G.; Wilby, E. G.; Wilby, J. F.

    1980-01-01

    Results for the vibration measured at five locations on the fuselage structure during static operations are presented. The analysis was concerned with the magnitude of the vibration and the relative phase between different locations, the frequency response (inertance) functions between the exterior pressure field and the vibration, and the coherent output power functions at interior microphone locations based on sidewall vibration. Fuselage skin panels near the plane of rotation of the propeller accept propeller noise excitation more efficiently than they do exhaust noise.

  5. ALARA review for the decontamination and decommissioning of the 233-S pipe trench

    International Nuclear Information System (INIS)

    Kornish, M.J.

    1998-01-01

    The 233-S Facility was completed in 1955 to expand plutonium production by further concentrating the plutonium nitrate product solution from the Reduction Oxidation (REDOX) Plant. The facility is radiologically contaminated because of operations and accidents. Isolation from REDOX and removal of the product transfer lines from the pipe trench is the second step in the decontamination and decommissioning of the entire 233-S Facility. The work scope is to isolate all piping from REDOX and then to remove all the piping/equipment from the pipe trench. The building is presently a Hazard Category 2 Nuclear Facility. A formal as low as reasonably achievable (ALARA) review is required by BHI-SH-02, Vol. 1, Procedure No. 1.22, Planning Radiological Work, when radiological conditions exceed trigger levels. The level of contamination inside the pipe trench and the process fluid piping is unknown. The potential exists to exceed the level of loose surface contamination, which requires a formal ALARA review when opening the pipe trench and cutting of piping commences. This ALARA review is for task instruction 1997-03-18-009 Revision 1, 233-S Pipe Trench Decon and Pipe Removal

  6. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  7. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  8. Steady parallel flow in an evaporating fluid heated from sidewalls

    International Nuclear Information System (INIS)

    Das, Kausik S.

    2009-01-01

    Evaporation is ubiquitous in nature, but very few attempts have been made in the past to couple the effects of evaporation with fluid flow behavior. In this theoretical paper we have discussed the effects of evaporation on the dynamics of steady state thermocapillary convection in a two-dimensional rectangular container. The liquid is heated by differentially heated sidewalls and mass loss from the interface due to evaporation is compensated by the liquid entering into the container through a lower inlet, thus keeping the thickness of the liquid layer constant. We show that for an evaporating liquid one can obtain a plane parallel base state profile which depends on the evaporative mass flux.

  9. Test Area for Remedial Actions (TARA) site characterization and dynamic compaction of low-level radioactive waste trenches. FY 1988 progress report

    Energy Technology Data Exchange (ETDEWEB)

    Davis, E. C.; Spalding, B. P.; Lee, S. Y.; Hyder, L. K.

    1989-01-01

    As part of a low-level radioactive waste burial ground stabilization and closure technology demonstration project, a group of five burial trenches in Oak Ridge National Laboratory (ORNL) Solid Waste Storage Area (SWSA) 6 was selected as a demonstration site for testing trench compaction, trench grouting, and trench cap installation and performance. This report focuses on site characterization, trench compaction, and grout-trench leachate compatibility. Trench grouting and cap design and construction will be the subject of future reports. The five trenches, known as the Test Area for Remedial Actions (TARA) site, are contained within a hydrologically isolated area of SWSA 6; for that reason, any effects of stabilization activities on site performance and groundwater quality will be separable from the influence of other waste disposal units in SWSA 6. To obviate the chronic problem of burial trench subsidence and to provide support for an infiltration barrier cap, these five trenches were dynamically compacted by repeated dropping of a 4-ton weight onto each trench from heights of approximately 7 m.

  10. Seepage through a hazardous-waste trench cover

    Science.gov (United States)

    Healy, R.W.

    1989-01-01

    Water movement through a waste-trench cover under natural conditions at a low-level radioactive waste disposal site in northwestern Illinois was studied from July 1982 to June 1984, using tensiometers, a moisture probe, and meteorological instruments. Four methods were used to estimate seepage: the Darcy, zero-flux plane, surface-based water-budget, and groundwater-based water-budget methods. Annual seepage estimates ranged from 48 to 216 mm (5-23% of total precipitation), with most seepage occurring in spring. The Darcy method, although limited in accuracy by uncertainty in hydraulic conductivity, was capable of discretizing seepage in space and time and indicated that seepage varied by almost an order of magnitude across the width of the trench. Lowest seepage rates occurred near the center of the cover, where seepage was gradual. Highest rates occurred along the edge of the cover, where seepage was highly episodic, with 84% of the total there being traced to wetting fronts from 28 individual storms. Limitations of the zero-flux-plane method were severe enough for the method to be judged inappropriate for use in this study.Water movement through a waste-trench cover under natural conditions at a low-level radioactive waste disposal site in northwestern Illinois was studied from July 1982 to June 1984, using tensiometers, a moisture probe, and meteorological instruments. Four methods were used to estimate seepage: the Darcy, zero-flux plane, surface-based water-budget, and groundwater-based water-budget methods. Annual seepage estimates ranged from 48 to 216mm (5-23% of total precipitation), with most seepage occurring in spring. The Darcy method, although limited in accuracy by uncertainty in hydraulic conductivity, was capable of discretizing seepage in space and time and indicated that seepage varied by almost an order of magnitude across the width of the trench. Lowest seepage rates occurred near the center of the cover, where seepage was gradual. Highest

  11. An experimental study of the effects of bodyside compression on forward swept sidewall compression inlets ingesting a turbulent boundary layer

    Science.gov (United States)

    Rodi, Patrick E.

    1993-01-01

    Forward swept sidewall compression inlets have been tested in the Mach 4 Blowdown Facility at the NASA Langley Research Center to study the effects of bodyside compression surfaces on inlet performance in the presence of an incoming turbulent boundary layer. The measurements include mass flow capture and mean surface pressure distributions obtained during simulated combustion pressure increases downstream of the inlet. The kerosene-lampblack surface tracer technique has been used to obtain patterns of the local wall shear stress direction. Inlet performance is evaluated using starting and unstarting characteristics, mass capture, mean surface pressure distributions and permissible back pressure limits. The results indicate that inlet performance can be improved with selected bodyside compression surfaces placed between the inlet sidewalls.

  12. Prediction of transmission loss through an aircraft sidewall using statistical energy analysis

    Science.gov (United States)

    Ming, Ruisen; Sun, Jincai

    1989-06-01

    The transmission loss of randomly incident sound through an aircraft sidewall is investigated using statistical energy analysis. Formulas are also obtained for the simple calculation of sound transmission loss through single- and double-leaf panels. Both resonant and nonresonant sound transmissions can be easily calculated using the formulas. The formulas are used to predict sound transmission losses through a Y-7 propeller airplane panel. The panel measures 2.56 m x 1.38 m and has two windows. The agreement between predicted and measured values through most of the frequency ranges tested is quite good.

  13. Unexpectedly higher metazoan meiofauna abundances in the Kuril-Kamchatka Trench compared to the adjacent abyssal plains

    Science.gov (United States)

    Schmidt, Christina; Martínez Arbizu, Pedro

    2015-01-01

    We studied meiofauna standing stocks and community structure in the Kuril-Kamchatka Trench and its adjacent abyssal plains in the northwestern Pacific Ocean. In general, the Nematoda were dominant (93%) followed by the Copepoda (4%). Nematode abundances ranged from 87% to 96%; those of copepods from 2% to 7%. The most diverse deployment yielded 17 taxa: Acari, Amphipoda, Annelida, Bivalvia, Coelenterata, Copepoda, Cumacea, Gastrotricha, Isopoda, Kinorhyncha, Loricifera, Nematoda, Ostracoda, Priapulida, Tanaidacea, Tantulocarida, and Tardigrada. Nauplii were also present. Generally, the trench slope and the southernmost deployments had the highest abundances (850-1392 individuals/cm2). The results of non-metric multidimensional scaling indicated that these deployments were similar to each other in meiofauna community structure. The southernmost deployments were located in a zone of higher particulate organic carbon (POC) flux (g Corg m-2 yr-1), whereas the trench slope should have low POC flux due to depth attenuation. Also, POC and abundance were significantly correlated in the abyssal plains. This correlation may explain the higher abundances at the southernmost deployments. Lateral transport was also assumed to explain high meiofauna abundances on the trench slope. Abundances were generally higher than expected from model results. ANOSIM revealed significant differences between the trench slope and the northern abyssal plains, between the central abyssal plains and the trench slope, between the trench slope and the southern abyssal plains, between the central and the southern abyssal plains, and between the central and northern deployments. The northern and southern abyssal plains did not differ significantly. In addition, a U-test revealed highly significant differences between the trench-slope and abyssal deployments. The taxa inhabited mostly the upper 0-3 cm of the sediment layer (Nematoda 80-90%; Copepoda 88-100%). The trench-slope and abyssal did not differ

  14. Cleanup Verification Package for the 116-K-2 Effluent Trench

    International Nuclear Information System (INIS)

    Capron, J.M.

    2006-01-01

    This cleanup verification package documents completion of remedial action for the 116-K-2 effluent trench, also referred to as the 116-K-2 mile-long trench and the 116-K-2 site. During its period of operation, the 116-K-2 site was used to dispose of cooling water effluent from the 105-KE and 105-KW Reactors by percolation into the soil. This site also received mixed liquid wastes from the 105-KW and 105-KE fuel storage basins, reactor floor drains, and miscellaneous decontamination activities

  15. Benchmarking Exercises To Validate The Updated ELLWF GoldSim Slit Trench Model

    International Nuclear Information System (INIS)

    Taylor, G. A.; Hiergesell, R. A.

    2013-01-01

    The Savannah River National Laboratory (SRNL) results of the 2008 Performance Assessment (PA) (WSRC, 2008) sensitivity/uncertainty analyses conducted for the trenches located in the EArea LowLevel Waste Facility (ELLWF) were subject to review by the United States Department of Energy (U.S. DOE) Low-Level Waste Disposal Facility Federal Review Group (LFRG) (LFRG, 2008). LFRG comments were generally approving of the use of probabilistic modeling in GoldSim to support the quantitative sensitivity analysis. A recommendation was made, however, that the probabilistic models be revised and updated to bolster their defensibility. SRS committed to addressing those comments and, in response, contracted with Neptune and Company to rewrite the three GoldSim models. The initial portion of this work, development of Slit Trench (ST), Engineered Trench (ET) and Components-in-Grout (CIG) trench GoldSim models, has been completed. The work described in this report utilizes these revised models to test and evaluate the results against the 2008 PORFLOW model results. This was accomplished by first performing a rigorous code-to-code comparison of the PORFLOW and GoldSim codes and then performing a deterministic comparison of the two-dimensional (2D) unsaturated zone and three-dimensional (3D) saturated zone PORFLOW Slit Trench models against results from the one-dimensional (1D) GoldSim Slit Trench model. The results of the code-to-code comparison indicate that when the mechanisms of radioactive decay, partitioning of contaminants between solid and fluid, implementation of specific boundary conditions and the imposition of solubility controls were all tested using identical flow fields, that GoldSim and PORFLOW produce nearly identical results. It is also noted that GoldSim has an advantage over PORFLOW in that it simulates all radionuclides simultaneously - thus avoiding a potential problem as demonstrated in the Case Study (see Section 2.6). Hence, it was concluded that the follow

  16. Benchmarking Exercises To Validate The Updated ELLWF GoldSim Slit Trench Model

    Energy Technology Data Exchange (ETDEWEB)

    Taylor, G. A.; Hiergesell, R. A.

    2013-11-12

    The Savannah River National Laboratory (SRNL) results of the 2008 Performance Assessment (PA) (WSRC, 2008) sensitivity/uncertainty analyses conducted for the trenches located in the EArea LowLevel Waste Facility (ELLWF) were subject to review by the United States Department of Energy (U.S. DOE) Low-Level Waste Disposal Facility Federal Review Group (LFRG) (LFRG, 2008). LFRG comments were generally approving of the use of probabilistic modeling in GoldSim to support the quantitative sensitivity analysis. A recommendation was made, however, that the probabilistic models be revised and updated to bolster their defensibility. SRS committed to addressing those comments and, in response, contracted with Neptune and Company to rewrite the three GoldSim models. The initial portion of this work, development of Slit Trench (ST), Engineered Trench (ET) and Components-in-Grout (CIG) trench GoldSim models, has been completed. The work described in this report utilizes these revised models to test and evaluate the results against the 2008 PORFLOW model results. This was accomplished by first performing a rigorous code-to-code comparison of the PORFLOW and GoldSim codes and then performing a deterministic comparison of the two-dimensional (2D) unsaturated zone and three-dimensional (3D) saturated zone PORFLOW Slit Trench models against results from the one-dimensional (1D) GoldSim Slit Trench model. The results of the code-to-code comparison indicate that when the mechanisms of radioactive decay, partitioning of contaminants between solid and fluid, implementation of specific boundary conditions and the imposition of solubility controls were all tested using identical flow fields, that GoldSim and PORFLOW produce nearly identical results. It is also noted that GoldSim has an advantage over PORFLOW in that it simulates all radionuclides simultaneously - thus avoiding a potential problem as demonstrated in the Case Study (see Section 2.6). Hence, it was concluded that the follow

  17. Non-classical polycrystalline silicon thin-film transistor with embedded block-oxide for suppressing the short channel effect

    International Nuclear Information System (INIS)

    Lin, Jyi-Tsong; Huang, Kuo-Dong; Hu, Shu-Fen

    2008-01-01

    In this paper, a polycrystalline silicon (polysilicon) thin-film transistor with a block oxide enclosing body, BTFT, is fabricated and investigated. By utilizing the block-oxide structure of thin-film transistors, the BTFT is shown to suppress the short channel effect. This proposed structure is formed by burying self-aligned oxide spacers along the sidewalls of the source and drain junctions, which reduces the P–N junction area, thereby reducing the junction capacitance and leakage current. Measurements demonstrate that the BTFT eliminates the punch-through effect even down to gate lengths of 1.5 µm, whereas the conventional TFT suffers serious short channel effects at this gate length

  18. Hadal disturbance in the Japan Trench induced by the 2011 Tohoku-Oki earthquake

    DEFF Research Database (Denmark)

    Oguri, K.; Kawamura, K.; Sakaguchi, A.

    2013-01-01

    In situ video observations and sediment core samplings were performed at two hadal sites in the Japan Trench on July, 2011, four months after the Tohoku-Oki earthquake. Video recordings documented dense nepheloid layers extending similar to 30-50 m above the sea bed. At the trench axis, benthic...

  19. Lateral-delivered organic matter boosts hadal bacterial abundance in the Mariana Trench: A hypothesis

    Science.gov (United States)

    Zhang, C.; Liu, H.; Lu, F.; Zou, L.; Tian, J.

    2017-12-01

    Hadal trenches are part of the least investigated biosphere on Earth due to the great challenge of sampling. Limited studies on microbiology by far have suggested that the hadalsphere hosts a heterotrophic microbial community that is likely fed by organic matter from surface-sinking biomass or re-suspended and laterally transported sediments. The uniqueness of trench environment and its potential role in global carbon sequestration entitle a detailed study on microbial-driven carbon cycle of the trench system. In this study, we conducted a vertical sampling of the microbial community and measured the environmental factors from the epipelagic zone down to the hadal zone at the Mariana Trench. 16S rRNA gene composition showed high stratification at the first 1000 meters below surface (mbs) but a nearly uniformed microbial community composition was observed at the abyssopelagic and the hadalpelagic water columns. The deep-sea bacteria were generally chemoheterotrophs and the majority of them were similar to those present at the ocean surface, suggesting influence of epipelagic primary production on deep sea bacterial communication at the trench location. Several deep-sea-enriched but surface-depleted bacteria could be characterized by potential degraders of polysaccharides and n-alkanes. Therefore, recalcitrant hydrocarbons or carbohydrates are likely important carbon sources supporting the deep-sea biosphere. In spite of consistent community composition, a remarkable increase in biomass of small-sized microbial aggregates was detected at 8727 mbs. Enhanced CDOM proportions in the trench imply intensified microbial activity in hadal water compared to the above water column, which agree with the notion of possible extra carbon input from lateral transportation of slope material. These observations extend our understanding in carbon cycle driven by metabolically diverse microorganisms at the trench and may shed light on the complexity of hadal biogeochemistry.

  20. A dimensional comparison between embedded 3D-printed and silicon microchannels

    International Nuclear Information System (INIS)

    O'Connor, J; Punch, J; Jeffers, N; Stafford, J

    2014-01-01

    The subject of this paper is the dimensional characterization of embedded microchannel arrays created using contemporary 3D-printing fabrication techniques. Conventional microchannel arrays, fabricated using deep reactive ion etching techniques (DRIE) and wet-etching (KOH), are used as a benchmark for comparison. Rectangular and trapezoidal cross-sectional shapes were investigated. The channel arrays were 3D-printed in vertical and horizontal directions, to examine the influence of print orientation on channel characteristics. The 3D-printed channels were benchmarked against Silicon channels in terms of the following dimensional characteristics: cross-sectional area (CSA), perimeter, and surface profiles. The 3D-printed microchannel arrays demonstrated variances in CSA of 6.6-20% with the vertical printing approach yielding greater dimensional conformity than the horizontal approach. The measured CSA and perimeter of the vertical channels were smaller than the nominal dimensions, while the horizontal channels were larger in both CSA and perimeter due to additional side-wall roughness present throughout the channel length. This side-wall roughness caused significant shape distortion. Surface profile measurements revealed that the base wall roughness was approximately the resolution of current 3D-printers. A spatial periodicity was found along the channel length which appeared at different frequencies for each channel array. This paper concludes that vertical 3D-printing is superior to the horizontal printing approach, in terms of both dimensional fidelity and shape conformity and can be applied in microfluidic device applications.

  1. A dimensional comparison between embedded 3D-printed and silicon microchannels

    Science.gov (United States)

    O'Connor, J.; Punch, J.; Jeffers, N.; Stafford, J.

    2014-07-01

    The subject of this paper is the dimensional characterization of embedded microchannel arrays created using contemporary 3D-printing fabrication techniques. Conventional microchannel arrays, fabricated using deep reactive ion etching techniques (DRIE) and wet-etching (KOH), are used as a benchmark for comparison. Rectangular and trapezoidal cross-sectional shapes were investigated. The channel arrays were 3D-printed in vertical and horizontal directions, to examine the influence of print orientation on channel characteristics. The 3D-printed channels were benchmarked against Silicon channels in terms of the following dimensional characteristics: cross-sectional area (CSA), perimeter, and surface profiles. The 3D-printed microchannel arrays demonstrated variances in CSA of 6.6-20% with the vertical printing approach yielding greater dimensional conformity than the horizontal approach. The measured CSA and perimeter of the vertical channels were smaller than the nominal dimensions, while the horizontal channels were larger in both CSA and perimeter due to additional side-wall roughness present throughout the channel length. This side-wall roughness caused significant shape distortion. Surface profile measurements revealed that the base wall roughness was approximately the resolution of current 3D-printers. A spatial periodicity was found along the channel length which appeared at different frequencies for each channel array. This paper concludes that vertical 3D-printing is superior to the horizontal printing approach, in terms of both dimensional fidelity and shape conformity and can be applied in microfluidic device applications.

  2. Simple analytical expression for crosstalk estimation in homogeneous trench-assisted multi-core fibers

    DEFF Research Database (Denmark)

    Ye, Feihong; Tu, Jiajing; Saitoh, Kunimasa

    2014-01-01

    An analytical expression for the mode coupling coe cient in homogeneous trench-assisted multi-core fibers is derived, which has a sim- ple relationship with the one in normal step-index structures. The amount of inter-core crosstalk reduction (in dB) with trench-assisted structures compared...... to the one with normal step-index structures can then be written by a simple expression. Comparison with numerical simulations confirms that the obtained analytical expression has very good accuracy for crosstalk estimation. The crosstalk properties in trench-assisted multi-core fibers, such as crosstalk...... dependence on core pitch and wavelength-dependent crosstalk, can be obtained by this simple analytical expression....

  3. Die singulation method

    Science.gov (United States)

    Swiler, Thomas P.; Garcia, Ernest J.; Francis, Kathryn M.

    2013-06-11

    A method is disclosed for singulating die from a semiconductor substrate (e.g. a semiconductor-on-insulator substrate or a bulk silicon substrate) containing an oxide layer (e.g. silicon dioxide or a silicate glass) and one or more semiconductor layers (e.g. monocrystalline or polycrystalline silicon) located above the oxide layer. The method etches trenches through the substrate and through each semiconductor layer about the die being singulated, with the trenches being offset from each other around at least a part of the die so that the oxide layer between the trenches holds the substrate and die together. The trenches can be anisotropically etched using a Deep Reactive Ion Etching (DRIE) process. After the trenches are etched, the oxide layer between the trenches can be etched away with an HF etchant to singulate the die. A release fixture can be located near one side of the substrate to receive the singulated die.

  4. Designing 4H-SiC P-shielding trench gate MOSFET to optimize on-off electrical characteristics

    Science.gov (United States)

    Kyoung, Sinsu; Hong, Young-sung; Lee, Myung-hwan; Nam, Tae-jin

    2018-02-01

    In order to enhance specific on-resistance (Ron,sp), the trench gate structure was also introduced into 4H-SiC MOSFET as Si MOSFET. But the 4H-SiC trench gate has worse off-state characteristics than the Si trench gate due to the incomplete gate oxidation process (Šimonka et al., 2017). In order to overcome this problem, P-shielding trench gate MOSFET (TMOS) was proposed and researched in previous studies. But P-shielding has to be designed with minimum design rule in order to protect gate oxide effectively. P-shielding TMOS also has the drawback of on-state characteristics degradation corresponding to off state improvement for minimum design rule. Therefore optimized design is needed to satisfy both on and off characteristics. In this paper, the design parameters were analyzed and optimized so that the 4H-SiC P-shielding TMOS satisfies both on and off characteristics. Design limitations were proposed such that P-shielding is able to defend the gate oxide. The P-shielding layer should have the proper junction depth and concentration to defend the electric field to gate oxide during the off-state. However, overmuch P-shielding junction depth disturbs the on-state current flow, a problem which can be solved by increasing the trench depth. As trench depth increases, however, the breakdown voltage decreases. Therefore, trench depth should be designed with due consideration for on-off characteristics. For this, design conditions and modeling were proposed which allow P-shielding to operate without degradation of on-state characteristics. Based on this proposed model, the 1200 V 4H-SiC P-shielding trench gate MOSFET was designed and optimized.

  5. Low-resistivity C54-TiSi2 as a sidewall-confinement nanoscale electrode for three-dimensional vertical resistive memory

    KAUST Repository

    Duran Retamal, Jose Ramon; Kang, Chen-Fang; Yang, Po-Kang; Lee, Chuan-Pei; Lien, Der-Hsien; Ho, Chih-Hsiang; He, Jr-Hau

    2014-01-01

    A three-dimensional (3D) double-layer HfO2-based vertical-resistive random access memory (VRRAM) with low-resistivity C54-TiSi2 as horizontal electrodes is demonstrated using complementary metal-oxide semiconductor processing. The electrical measurements show bipolar resistive switching by using C54-TiSi2 as electrodes for resistive switching (RS) applications. The statistical analysis exhibits cycle-to-cycle and cell-to-cell stable non-volatile properties with robust endurance (100 cycles) and long term data retention (104s), suggesting that the ultrathin sidewall of C54-TiSi2 nanoscale electrodes serve to confine and stabilize the random nature of the conducting nanofilaments. The superior RS characteristics demonstrated here highlight the applicability of C54-TiSi2 sidewall-confinement nanoscale electrodes to VRRAM.

  6. Low-resistivity C54-TiSi2 as a sidewall-confinement nanoscale electrode for three-dimensional vertical resistive memory

    KAUST Repository

    Duran Retamal, Jose Ramon

    2014-11-03

    A three-dimensional (3D) double-layer HfO2-based vertical-resistive random access memory (VRRAM) with low-resistivity C54-TiSi2 as horizontal electrodes is demonstrated using complementary metal-oxide semiconductor processing. The electrical measurements show bipolar resistive switching by using C54-TiSi2 as electrodes for resistive switching (RS) applications. The statistical analysis exhibits cycle-to-cycle and cell-to-cell stable non-volatile properties with robust endurance (100 cycles) and long term data retention (104s), suggesting that the ultrathin sidewall of C54-TiSi2 nanoscale electrodes serve to confine and stabilize the random nature of the conducting nanofilaments. The superior RS characteristics demonstrated here highlight the applicability of C54-TiSi2 sidewall-confinement nanoscale electrodes to VRRAM.

  7. Revised ground-water monitoring compliance plan for the 300 area process trenches

    Energy Technology Data Exchange (ETDEWEB)

    Schalla, R.; Aaberg, R.L.; Bates, D.J.; Carlile, J.V.M.; Freshley, M.D.; Liikala, T.L.; Mitchell, P.J.; Olsen, K.B.; Rieger, J.T.

    1988-09-01

    This document contains ground-water monitoring plans for process-water disposal trenches located on the Hanford Site. These trenches, designated the 300 Area Process Trenches, have been used since 1973 for disposal of water that contains small quantities of both chemicals and radionuclides. The ground-water monitoring plans contained herein represent revision and expansion of an effort initiated in June 1985. At that time, a facility-specific monitoring program was implemented at the 300 Area Process Trenches as part of a regulatory compliance effort for hazardous chemicals being conducted on the Hanford Site. This monitoring program was based on the ground-water monitoring requirements for interim-status facilities, which are those facilities that do not yet have final permits, but are authorized to continue interim operations while engaged in the permitting process. The applicable monitoring requirements are described in the Resource Conservation and Recovery Act (RCRA), 40 CFR 265.90 of the federal regulations, and in WAC 173-303-400 of Washington State's regulations (Washington State Department of Ecology 1986). The program implemented for the process trenches was designed to be an alternate program, which is required instead of the standard detection program when a facility is known or suspected to have contaminated the ground water in the uppermost aquifer. The plans for the program, contained in a document prepared by the US Department of Energy (USDOE) in 1985, called for monthly sampling of 14 of the 37 existing monitoring wells at the 300 Area plus the installation and sampling of 2 new wells. 27 refs., 25 figs., 15 tabs.

  8. Groundwater suppression and diversion structures applied to closed shallow land burial trenches

    International Nuclear Information System (INIS)

    Davis, E.C.; Melroy, L.A.; Huff, D.D.

    1984-01-01

    Shallow depth to groundwater, surface drainage, and subsurface flow during storm events are major environmental concerns of low-level radioactive waste management operations in humid regions. At two waste disposal sites within the Oak Ridge National Laboratory (ORNL), groups of closed trenches have experienced these problems and have been shown to collect and hold intratrench water with seasonal fluctuations ranging from 1 to 2 m. In an attempt to correct these water-related problems, Solid Waste Storage Area Four (SWSA-4) was equipped in September 1975 with asphalt-lined drainage ways designed to prevent reinfiltration of storm drainage from the 13.8 ha upslope catchment. At 49-Trench Area of SWSA-6 the entire 0.44 ha trench area was capped with a bentonite clay cover in 1976. These early attempts at hydrologic isolation have not corrected the water problems. In September 1983, two similarly designed engineered drainage projects were initiated at the disposal sites. The SWSA-4 project was designed to divert surface runoff around the trench area and drain a portion of the shallow subsurface flow which originates upslope of the site. The second project, a passive French drain constructed in SWSA-6, was aimed strictly at suppressing the site water table thus preventing its intersection with the bottoms of disposal trenches. Post-construction monitoring for performance evaluation has shown that the water table in the 49-trench area has been suppressed to a depth >4.9 m below the ground surface over 50% of the site with a maximum drawdown of 4 m at the drains deepest point. The SWSA-4 project evaluation is just being completed and data show that 56 +/- 15% of the Winter-Spring 1984 runoff was diverted around SWSA 4. As a result, a 44% reduction in 90 Sr flux was calculated from observed discharges and a previously established relation between flow rate and 90 Sr concentration

  9. Influence of lateral slab edge distance on plate velocity, trench velocity, and subduction partitioning

    NARCIS (Netherlands)

    Schellart, W. P.; Stegman, D. R.; Farrington, R. J.; Moresi, L.

    2011-01-01

    Subduction of oceanic lithosphere occurs through both trenchward subducting plate motion and trench retreat. We investigate how subducting plate velocity, trench velocity and the partitioning of these two velocity components vary for individual subduction zone segments as a function of proximity to

  10. Comparison between infaunal communities of the deep floor and edge of the Tonga Trench

    DEFF Research Database (Denmark)

    Leduc, Daniel; Rowden, Ashley A.; Glud, Ronnie N.

    2016-01-01

    at the Horizon Deep site is consistent with a recent turbidite event, and may also reflect high rates of bioturbation by larger fauna resulting from high food availability. Determining the relative influences of different environmental factors on hadal trench benthic communities will require further...... factors. In this study, we describe and compare the abundance, biomass, vertical distribution in the sediment, diversity, and community structure of nematodes and other infauna in sediments from the Horizon Deep (similar to 10 800 m) in the Tonga Trench and a site on the edge of the trench (similar...... to 6250 m). Mean nematode abundance was six times greater at the Horizon Deep site (387 ind. 10 cm(-2)) than at the trench edge site (65 ind. 10 cm(-2)). A similar pattern was observed for biomass (15 vs 2 mu gDW 10 cm(-2), respectively), which likely resulted from elevated organic matter supply...

  11. Microbial activity of trench leachates from shallow-land, low-level radioactive waste disposal sites

    International Nuclear Information System (INIS)

    Francis, A.J.; Dobbs, S.; Nine, B.J.

    1980-01-01

    Trench leachate samples collected anoxically from shallow-land, low-level radioactive waste disposal sites were analyzed for total aerobic and anaerobic populations, sulfate reducers, denitrifiers, and methanogens. Among the several aerobic and anaerobic bacteria isolated, only Bacillus sp., Pseudomonas sp., Citrobacter sp., and Clostridium sp. were identified. Mixed bacterial cultures isolated from the trench leachates were able to grow anaerobically in trench leachates, which indicates that the radionuclides and organic chemicals present were not toxic to these bacteria. Changes in concentrations of several of the organic constituents of the waste leachate samples were observed due to anaerobic microbial activity. Growth of a mixed culture of trench-water bacteria in media containing a mixture of radionuclides, 60 Co, 85 Sr, and 134 137 Cs, was not affected at total activity concentrations of 2.6 x 10 2 and 2.7 x 10 3 pCi/ml

  12. Charge deposition model for investigating SE-microdose effect in trench power MOSFETs

    Science.gov (United States)

    Xin, Wan; Weisong, Zhou; Daoguang, Liu; Hanliang, Bo; Jun, Xu

    2015-05-01

    It was demonstrated that heavy ions can induce large current—voltage (I-V) characteristics shift in commercial trench power MOSFETs, named single event microdose effect (SE-microdose effect). A model is presented to describe this effect. This model calculates the charge deposition by a single heavy ion hitting oxide and the subsequent charge transport under an electric field. Holes deposited at the SiO2/Si interface by a Xe ion are calculated by using this model. The calculated results were then used in Sentaurus TCAD software to simulate a trench power MOSFET's I-V curve shift after a Xe ion has hit it. The simulation results are consistent with the related experiment's data. In the end, several factors which affect the SE-microdose effect in trench power MOSFETs are investigated by using this model.

  13. Cleanup Verification Package for the 107-D5 Trench

    International Nuclear Information System (INIS)

    Corpuz, F.M.; Fancher, J.D.; Blumenkranz, D.B.

    1998-03-01

    This document presents the results of remedial action objectives performed at the 107-D5 Sludge Trench, located at the 100-DR-1 Operable Unit in the 100 Area of the Hanford Site in southeastern Washington State. The 107-D5 Sludge Trench is also identified in the Hanford Waste Information Data System as Waste Site 100-D-4 (site code). The selected remedial action was (1) excavation of the site to the extent required to meet specified soil cleanup levels, (2) disposal of contaminated excavation materials at the Environmental Restoration and Disposal Facility at the 200 Area of the Hanford Site, and (3) backfilling the site with clean soil to adjacent grade elevations

  14. The East Garrington Trench and Gate system: it works

    International Nuclear Information System (INIS)

    Bowles, M.; Rimbey, S.; Bentley, L.; Thomas, D.; Hoyne, B.

    1997-01-01

    A 'trench and gate' system (a modification of the 'funnel and gate' system) has been installed at the Amoco-operated East Garrington gas plant in Alberta to provide long-term remediation for treating contaminated groundwater plumes hosted by low hydraulic conductivity sediments. Modification to the funnel and gate design includes an up gradient high hydraulic conductivity trench and a down gradient infiltration gallery which was found to be effective in biodegrading BTEX (benzene, toluene, ethylbenzene and xylene) compounds. A comprehensive monitoring program was set up to characterize the groundwater flow system. Several indigenous hydrocarbon degrading organisms have been identified. It was shown that locally, under aerobic conditions, phosphorus was the limiting nutrient. 13 refs., 3 tabs., 10 figs

  15. Seismicity and state of stress near the Japan Trench axis off Miyagi, northeast Japan, after the 2011 Tohoku-Oki earthquake

    Science.gov (United States)

    Obana, K.; Kodaira, S.; Takahashi, T.; Yamamoto, Y.; Nakamura, Y.; No, T.; Fujie, G.; Hino, R.; Shinohara, M.

    2013-12-01

    The 2011 Tohoku-Oki earthquake ruptured roughly 200 km wide and 500 km long megathrust along the Japan Trench. The rupture propagated to the trench axis with a maximum slip about 50 m near the trench axis. As a consequence of this large near-trench slip, earthquakes have been activated near the axis of the Japan Trench off Miyagi, northeast Japan. We have conducted ocean bottom seismograph (OBS) experiments in the Japan Trench axis area, surrounding area of the IODP JFAST drilling site, since the occurrence of the 2011 Tohoku-Oki earthquake. Although conventionally used OBS cannot be deployed at seafloor deeper than 6000 m water depth, we used newly developed ultra-deep OBS using ceramic sphere, which can be deployed at a depth of 9000 m, for the observations in the trench axis. The ultra-deep OBS has almost equivalent dimensions and weight with the conventionally used OBS, thus we can handle it in the same manner with the conventionally OBS without any special operation. As a result of a series of the OBS observations, we obtained accurate hypocenter locations and focal mechanisms in both seaward and landward of the trench axis. Earthquakes near the trench axis area were located within the overriding and incoming/subducting plates with very few on the plate interface below the inner trench slope landward of the trench axis. Most of the earthquakes both in the overriding and incoming/subducting plates having normal or strike-slip faulting focal mechanisms with T-axis normal to the trench axis. This indicates that tensional stress is dominant in the trench axis area. However, most seaward part of the seismicity within the overriding plate is characterized by a localized cluster of trench-normal compressional earthquakes, which may relate to spatial variation of the frictional behavior of the shallowest part of the megathrust. On the other hand, trench-normal extensional earthquakes in the incoming/subducting Pacific plate were located at depths shallower than about

  16. Benthic carbon mineralization in hadal trenches: Insights from in situ determination of benthic oxygen consumption

    DEFF Research Database (Denmark)

    Lou, Mín; Glud, Ronnie N.; Pan, Binbin

    2018-01-01

    and exhibited relatively high diagenetic activity given the great water depths, that is, the Mariana Trench (2.0 × 102 μmol O2m2 d1, 10,853 m), the Mussau Trench (2.7 ± 0.1 × 102 μmol O2m2 d1, 7,011 m), and the New Britain Trench (6.0 ± 0.1 × 102 μmol O2m2  d1, 8,225 m). Combined with the analyses of total...

  17. Fatigue characteristics of polycrystalline silicon thin-film membrane and its dependence on humidity

    International Nuclear Information System (INIS)

    Tanemura, Tomoki; Yamashita, Shuichi; Wado, Hiroyuki; Takeuchi, Yukihiro; Tsuchiya, Toshiyuki; Tabata, Osamu

    2013-01-01

    This paper describes fatigue characteristics of a polycrystalline silicon thin-film membrane under different humidity evaluated by out-of-plane resonant vibration. The membrane, without the surface of sidewalls by patterning of photolithography and etching process, was applied to evaluate fatigue characteristics precisely against the changes in the surrounding humidity owing to narrower deviation in the fatigue lifetime. The membrane has 16 mm square-shaped multilayered films consisting of a 250 or 500 nm thick polysilicon film on silicon dioxide and silicon nitride underlying layers. A circular weight of 12 mm in diameter was placed at the center of the membrane to control the resonant frequency. Stress on the polysilicon film was generated by deforming the membrane oscillating the weight in the out-of-plane direction. The polysilicon film was fractured by fatigue damage accumulation under cyclic stress. The lifetime of the polysilicon membrane extended with lower relative humidity, especially at 5%RH. The results of the fatigue tests were well formulated with Weibull's statistics and Paris’ law. The dependence of fatigue characteristics on humidity has been quantitatively revealed for the first time. The crack growth rate indicated by the fatigue index decreased with the reduction in humidity, whereas the deviation of strength represented by the Weibull modulus was nearly constant against humidity. (paper)

  18. Innovative designs for low-level nuclear waste disposal trenches

    International Nuclear Information System (INIS)

    Nowatzki, E.A.; Armstrong, G.; McCray, J.

    1985-01-01

    Shallow land burial of low-level nuclear wastes presents many problems that are within the scope of civil engineering analysis and design. These include groundwater seepage, surface water runoff and collection, and the subsidence of trench backfills. Unfortunately, at the time the first disposal sites were being developed, major emphasis was placed on the health-physics aspects of the problem with the result that many of the civil engineering aspects were overlooked and severe problems relating to site integrity exist today. This paper presents the results of a U.S. Nuclear Regulatory Commission (USNRC) sponsored research project conducted at the University of Arizona, Tucson, Arizona, to assess trench cap design from the viewpoint of stability, water infiltration, and economy. Full-scale trenches were constructed that incorporated four different designs. These designs range from a relatively simple cap consisting of engineered backfill with a sloping, compacted soil crown to a more complex cap-crown system that incorporates compacted backfill and a steel reinforced soil-cement cap with an overlaying ''wick'' drain. The results of structural and hydrological monitoring over a period of approximately 15 months are presented. Recommendations are made regarding standard design criteria for future sites based on the results of this research

  19. Control of paleoshorelines by trench forebulge uplift, Loyalty Islands

    Science.gov (United States)

    Dickinson, William R.

    2013-07-01

    Unlike most tropical Pacific islands, which lie along island arcs or hotspot chains, the Loyalty Islands between New Caledonia and Vanuatu owe their existence and morphology to the uplift of pre-existing atolls on the flexural forebulge of the New Hebrides Trench. The configuration and topography of each island is a function of distance from the crest of the uplifted forebulge. Both Maré and Lifou are fully emergent paleoatolls upon which ancient barrier reefs form highstanding annular ridges that enclose interior plateaus representing paleolagoon floors, whereas the partially emergent Ouvea paleoatoll rim flanks a drowned remnant lagoon. Emergent paleoshoreline features exposed by island uplift include paleoreef flats constructed as ancient fringing reefs built to past low tide levels and emergent tidal notches incised at past high tide levels. Present paleoshoreline elevations record uplift rates of the islands since last-interglacial and mid-Holocene highstands in global and regional sea levels, respectively, and paleoreef stratigraphy reflects net Quaternary island emergence. The empirical uplift rates vary in harmony with theoretical uplift rates inferred from the different positions of the islands in transit across the trench forebulge at the trench subduction rate. The Loyalty Islands provide a case study of island environments controlled primarily by neotectonics.

  20. Freestanding membrane composed of micro-ring array with ultrahigh sidewall aspect ratio for application in lightweight cathode arrays

    Science.gov (United States)

    Wang, Lanlan; Liu, Hongzhong; Jiang, Weitao; Gao, Wei; Chen, Bangdao; Li, Xin; Ding, Yucheng; An, Ningli

    2014-12-01

    A freestanding multilayer ultrathin nano-membrane (FUN-membrane) with a micro-ring array (MRA) is successfully fabricated through the controllable film deposition. Each micro-ring of FUN-membrane is 3 μm in diameter, 2 μm in height and sub-100 nm in sidewall thickness, demonstrating an ultrahigh sidewall aspect ratio of 20:1. In our strategy, a silica layer (200 nm in thickness), a chromium transition layer (5 nm-thick) and a gold layer (40 nm-thick), were in sequence deposited on patterned photoresist. After removal of the photoresist by lift-off process, a FUN-membrane with MRA was peeled off from the substrate, where the gold layer acted as a protecting layer to prevent the MRA from fracture. The FUN-membrane was then transferred to a flexible polycarbonate (PC) sheet coated with indium tin oxide (ITO) layer, which was then used as a flexible and lightweight cathode. Remarkably, the field emission effect of the fabricated FUN-membrane cathode performs a high field-enhancement factor of 1.2 × 104 and a low turn-on voltage of 2 V/μm, indicating the advantages of the sharp metal edge of MRA. Due to the rational design and material versatility, the FUN-membrane thus could be transferred to either rigid or flexible substrate, even curved surface, such as the skin of bio-robot's arm or leg. Additionally, the FUN-membrane composed of MRA with extremely high aspect ratio of insulator-metal sidewall, also provides potential applications in optical devices, lightweight and flexible display devices, and electronic eye imagers.

  1. Comparison of short-circuit characteristics of trench gate and planar gate U-shaped channel SOI-LIGBTs

    Science.gov (United States)

    Zhang, Long; Zhu, Jing; Sun, Weifeng; Zhao, Minna; Huang, Xuequan; Chen, Jiajun; Shi, Longxing; Chen, Jian; Ding, Desheng

    2017-09-01

    Comparison of short-circuit (SC) characteristics of 500 V rated trench gate U-shaped channel (TGU) SOI-LIGBT and planar gate U-shaped channel (PGU) SOI-LIGBT is made for the first time in this paper. The on-state carrier profile of the TGU structure is reshaped by the dual trenches (a gate trench G1 and a hole barrier trench G2), which leads to a different conduction behavior from that of the PGU structure. The TGU structure exhibits a higher latchup immunity but a severer self-heating effect. At current density (JC) 640 A/cm2. Comparison of layouts and fabrication processes are also made between the two types of devices.

  2. High‐resolution trench photomosaics from image‐based modeling: Workflow and error analysis

    Science.gov (United States)

    Reitman, Nadine G.; Bennett, Scott E. K.; Gold, Ryan D.; Briggs, Richard; Duross, Christopher

    2015-01-01

    Photomosaics are commonly used to construct maps of paleoseismic trench exposures, but the conventional process of manually using image‐editing software is time consuming and produces undesirable artifacts and distortions. Herein, we document and evaluate the application of image‐based modeling (IBM) for creating photomosaics and 3D models of paleoseismic trench exposures, illustrated with a case‐study trench across the Wasatch fault in Alpine, Utah. Our results include a structure‐from‐motion workflow for the semiautomated creation of seamless, high‐resolution photomosaics designed for rapid implementation in a field setting. Compared with conventional manual methods, the IBM photomosaic method provides a more accurate, continuous, and detailed record of paleoseismic trench exposures in approximately half the processing time and 15%–20% of the user input time. Our error analysis quantifies the effect of the number and spatial distribution of control points on model accuracy. For this case study, an ∼87  m2 exposure of a benched trench photographed at viewing distances of 1.5–7 m yields a model with <2  cm root mean square error (rmse) with as few as six control points. Rmse decreases as more control points are implemented, but the gains in accuracy are minimal beyond 12 control points. Spreading control points throughout the target area helps to minimize error. We propose that 3D digital models and corresponding photomosaics should be standard practice in paleoseismic exposure archiving. The error analysis serves as a guide for future investigations that seek balance between speed and accuracy during photomosaic and 3D model construction.

  3. Charge deposition model for investigating SE-microdose effect in trench power MOSFETs

    International Nuclear Information System (INIS)

    Wan Xin; Zhou Weisong; Liu Daoguang; Bo Hanliang; Xu Jun

    2015-01-01

    It was demonstrated that heavy ions can induce large current—voltage (I–V) characteristics shift in commercial trench power MOSFETs, named single event microdose effect (SE-microdose effect). A model is presented to describe this effect. This model calculates the charge deposition by a single heavy ion hitting oxide and the subsequent charge transport under an electric field. Holes deposited at the SiO 2 /Si interface by a Xe ion are calculated by using this model. The calculated results were then used in Sentaurus TCAD software to simulate a trench power MOSFET's I–V curve shift after a Xe ion has hit it. The simulation results are consistent with the related experiment's data. In the end, several factors which affect the SE-microdose effect in trench power MOSFETs are investigated by using this model. (paper)

  4. Activity of Small Repeating Earthquakes along Izu-Bonin and Ryukyu Trenches

    Science.gov (United States)

    Hibino, K.; Matsuzawa, T.; Uchida, N.; Nakamura, W.; Matsushima, T.

    2014-12-01

    There are several subduction systems near the Japanese islands. The 2011 Mw9.0 Tohoku-oki megathrust earthquake occurred at the NE Japan (Tohoku) subduction zone. We have revealed a complementary relation between the slip areas for huge earthquakes and small repeating earthquakes (REs) in Tohoku. Investigations of REs in these subduction zones and the comparison with Tohoku area are important for revealing generation mechanism of megathrust earthquakes. Our target areas are Izu-Bonin and Ryukyu subduction zones, which appear to generate no large interplate earthquake. To investigate coupling of plate boundary in these regions, we estimated spatial distribution of slip rate by using REs. We use seismograms from the High Sensitivity Seismograph Network (Hi-net), Full Range Seismograph Network of Japan (F-net), and permanent seismic stations of Japan Meteorological Agency (JMA), Tohoku University, University of Tokyo, and Kagoshima University from 8 May 2003 (Izu-Bonin) and 14 July 2005 (Ryukyu) to 31 December 2012 to detect REs along the two trenches, by using similarity of seismograms. We mainly follow the procedure adopted in Uchida and Matsuzawa (2013) that studied REs in Tohoku area to compare our results with the REs in Tohoku. We find that the RE distribution along the Ryukyu trench shows two bands parallel to the trench axis. This feature is similar to the pattern in Tohoku where relatively large earthquakes occur between the bands. Along the Izu-Bonin trench, on the other hand, we find much fewer REs than in Tohoku or Ryukyu subduction zones and only one along-trench RE band, which corresponds to the area where the subducting Pacific plate contacts with the crust of the Philippine Sea plate. We also estimate average slip rate and coupling coefficient by using an empirical relationship between seismic moment and slip for REs (Nadeau and Johnson, 1998) and relative plate motion model. As a result, we find interplate slip rate in the deeper band is higher than

  5. A Brief Review of Heavy-Ion Radiation Degradation and Failure of Silicon UMOS Power Transistors

    Directory of Open Access Journals (Sweden)

    Kenneth F. Galloway

    2014-09-01

    Full Text Available Silicon VDMOS power MOSFET technology is being supplanted by UMOS (or trench power MOSFET technology. Designers of spaceborne power electronics systems incorporating this newer power MOSFET technology need to be aware of several unique threats that this technology may encounter in space. Space radiation threats to UMOS power devices include vulnerabilities to SEB, SEGR, and microdose. There have been relatively few studies presented or published on the effects of radiation on this device technology. The S-O-A knowledge of UMOS power device degradation and failure under heavy-ion exposure is reviewed.

  6. Preparation of transmission electron microscopy cross-section specimens using focused ion beam milling

    International Nuclear Information System (INIS)

    Langford, R.M.; Petford-Long, A.K.

    2001-01-01

    The preparation of transmission electron microscopy cross-section specimens using focused ion beam milling is outlined. The 'liftout' and 'trench' techniques are both described in detail, and their relative advantages and disadvantages are discussed. Artifacts such as ion damage to the top surface and sidewalls of the cross-section specimens, and methods of reducing them, are addressed

  7. Unreviewed Disposal Question Evaluation: Waste Disposal In Engineered Trench #3

    Energy Technology Data Exchange (ETDEWEB)

    Hamm, L. L.; Smith, F. G. III; Flach, G. P.; Hiergesell, R. A.; Butcher, B. T.

    2013-07-29

    Because Engineered Trench #3 (ET#3) will be placed in the location previously designated for Slit Trench #12 (ST#12), Solid Waste Management (SWM) requested that the Savannah River National Laboratory (SRNL) determine if the ST#12 limits could be employed as surrogate disposal limits for ET#3 operations. SRNL documented in this Unreviewed Disposal Question Evaluation (UDQE) that the use of ST#12 limits as surrogates for the new ET#3 disposal unit will provide reasonable assurance that Department of Energy (DOE) 435.1 performance objectives and measures (USDOE, 1999) will be protected. Therefore new ET#3 inventory limits as determined by a Special Analysis (SA) are not required.

  8. Low loss hollow-core waveguide on a silicon substrate

    Science.gov (United States)

    Yang, Weijian; Ferrara, James; Grutter, Karen; Yeh, Anthony; Chase, Chris; Yue, Yang; Willner, Alan E.; Wu, Ming C.; Chang-Hasnain, Connie J.

    2012-07-01

    Optical-fiber-based, hollow-core waveguides (HCWs) have opened up many new applications in laser surgery, gas sensors, and non-linear optics. Chip-scale HCWs are desirable because they are compact, light-weight and can be integrated with other devices into systems-on-a-chip. However, their progress has been hindered by the lack of a low loss waveguide architecture. Here, a completely new waveguiding concept is demonstrated using two planar, parallel, silicon-on-insulator wafers with high-contrast subwavelength gratings to reflect light in-between. We report a record low optical loss of 0.37 dB/cm for a 9-μm waveguide, mode-matched to a single mode fiber. Two-dimensional light confinement is experimentally realized without sidewalls in the HCWs, which is promising for ultrafast sensing response with nearly instantaneous flow of gases or fluids. This unique waveguide geometry establishes an entirely new scheme for low-cost chip-scale sensor arrays and lab-on-a-chip applications.

  9. Deep reactive ion etching of silicon moulds for the fabrication of diamond x-ray focusing lenses

    Science.gov (United States)

    Malik, A. M.; Fox, O. J. L.; Alianelli, L.; Korsunsky, A. M.; Stevens, R.; Loader, I. M.; Wilson, M. C.; Pape, I.; Sawhney, K. J. S.; May, P. W.

    2013-12-01

    Diamond is a highly desirable material for use in x-ray optics and instrumentation. However, due to its extreme hardness and resistance to chemical attack, diamond is difficult to form into a structure suitable for x-ray lenses. Refractive lenses are capable of delivering x-ray beams with nanoscale resolution. A moulding technique for the fabrication of diamond lenses is reported. High-quality silicon moulds were made using photolithography and deep reactive ion etching. The study of the etch process conducted to achieve silicon moulds with vertical sidewalls and minimal surface roughness is discussed. Issues experienced when attempting to deposit diamond into a high-aspect-ratio mould by chemical vapour deposition are highlighted. Two generations of lenses have been successfully fabricated using this transfer-moulding approach with significant improvement in the quality and performance of the optics observed in the second iteration. Testing of the diamond x-ray optics on the Diamond Light Source Ltd synchrotron B16 beamline has yielded a line focus of sub-micrometre width.

  10. Recent sediment dynamics in hadal trenches: Evidence for the influence of higher-frequency (tidal, near-inertial) fluid dynamics

    Science.gov (United States)

    Turnewitsch, Robert; Falahat, Saeed; Stehlikova, Jirina; Oguri, Kazumasa; Glud, Ronnie N.; Middelboe, Mathias; Kitazato, Hiroshi; Wenzhöfer, Frank; Ando, Kojiro; Fujio, Shinzou; Yanagimoto, Daigo

    2014-08-01

    In addition to high hydrostatic pressure, scarcity of food is viewed as a factor that limits the abundance and activity of heterotrophic organisms at great ocean depths, including hadal trenches. Supply of nutritious food largely relies on the flux of organic-rich particulate matter from the surface ocean. It has been speculated that the shape of hadal trenches helps to ‘funnel' particulate matter into the deeper parts of the trench, leading to sediment ‘focussing' and improved benthic food supply. Here we investigate for five Northwest Pacific trenches the efficiency of sediment focussing by evaluating ratios of measured (sediment-derived) and expected (water-column-derived) sedimentary inventories of the naturally occurring and radioactive particulate-matter tracer 210Pbxs. The sites comprise a broad range of surface-ocean productivity and physical-oceanographic regimes. Across the five trench-axis settings the inventory ratio varies between 0.5 and 4.1, with four trench-axis settings having ratios>1 (sediment focussing) and one trench-axis setting a ratiowinnowing). Although the fluid- and sediment-dynamical forcing behind sediment focussing remains unclear, this study finds evidence for another mechanism that is superimposed on, and counteracts, the focussing mechanism. This superimposed mechanism is related to higher-frequency (tidal, near-inertial) fluid dynamics. In particular, there is evidence for a strong and negative relation between the intensity of propagating internal tides and the extent of sediment focussing in the trench-axis. The relation can be approximated by a power function and the most intense drop in sediment focussing already occurs at moderate internal-tide intensities. This suggests that propagating internal tides may have a subtle but significant influence on particulate-matter dynamics and food supply in hadal trenches in particular, but possibly also in the deep seas in general. A mechanism for the influence of internal tides on

  11. Release mechanisms from shallow engineered trenches used as repositories for radioactive wastes

    International Nuclear Information System (INIS)

    Locke, J.; Wood, E.

    1987-05-01

    This report has been written for the Department of the Environment as part of their radioactive waste management research programme. The aim has been to identify release mechanisms of radioactivity from fully engineered trenches of the LAND 2 type and, to identify the data needed for their assessment. No direct experimental work has been involved. The report starts with a brief background to UK strategy and outlines a basic disposal system. It gives reviews of existing experience of low level radioactive waste disposal from LAND 1 trenches and of UK experience of toxic waste disposal to provide a practical basis for the next section which covers the implications of identified release mechanisms on the design requirements for an engineered trench. From these design requirements and their interaction with potential site conditions (both saturated and unsaturated zone sites are considered) an assessment of radionuclide release mechanism is made. (author)

  12. Trench sampling report Salmon Site Lamar County, Mississippi

    Energy Technology Data Exchange (ETDEWEB)

    1994-07-01

    This report describes trench excavation and sample-collection activities conducted by IT Corporation (IT) as part of the ongoing Remedial Investigation and Feasibility Study at the Salmon Site, Lamar County, Mississippi (DOE, 1992). During construction, operation, and closure of the site wastes of unknown composition were buried in pits on site. Surface-geophysical field investigations were conducted intermittently between November 1992 and October 1993 to identify potential waste-burial sites and buried metallic materials. The geophysical investigations included vertical magnetic gradient, electromagnetic conductivity, electromagnetic in-phase component, and ground-penetrating radar surveys. A number of anomalies identified by the magnetic gradiometer survey in the Reynolds Electrical & Engineering Co., Inc., (REECo) pits area indicated buried metallic objects. All of the anomalies were field checked to determine if any were caused by surface features or debris. After field checking, 17 anomalies were still unexplained; trenching was planned to attempt to identify their sources. Between December 8, 1993, and December 17, 1993, 15 trenches were excavated and soil samples were collected at the anomalies. Samples were collected, placed in 250- and 500-milliliter (m{ell}) amber glass containers, and shipped on ice to IT Analytical Services (ITAS) in St. Louis, Missouri, using standard IT chain-of-custody procedures. The samples were analyzed for various chemical and radiological parameters. Data validation has not been conducted on any of the samples. During excavation and sampling, soil samples were also collected by IT for the MSDEQ and the Mississippi Department of Radiological Health, in accordance with their instructions, and delivered into their custody.

  13. Trench sampling report Salmon Site Lamar County, Mississippi

    International Nuclear Information System (INIS)

    1994-07-01

    This report describes trench excavation and sample-collection activities conducted by IT Corporation (IT) as part of the ongoing Remedial Investigation and Feasibility Study at the Salmon Site, Lamar County, Mississippi (DOE, 1992). During construction, operation, and closure of the site wastes of unknown composition were buried in pits on site. Surface-geophysical field investigations were conducted intermittently between November 1992 and October 1993 to identify potential waste-burial sites and buried metallic materials. The geophysical investigations included vertical magnetic gradient, electromagnetic conductivity, electromagnetic in-phase component, and ground-penetrating radar surveys. A number of anomalies identified by the magnetic gradiometer survey in the Reynolds Electrical ampersand Engineering Co., Inc., (REECo) pits area indicated buried metallic objects. All of the anomalies were field checked to determine if any were caused by surface features or debris. After field checking, 17 anomalies were still unexplained; trenching was planned to attempt to identify their sources. Between December 8, 1993, and December 17, 1993, 15 trenches were excavated and soil samples were collected at the anomalies. Samples were collected, placed in 250- and 500-milliliter (m ell) amber glass containers, and shipped on ice to IT Analytical Services (ITAS) in St. Louis, Missouri, using standard IT chain-of-custody procedures. The samples were analyzed for various chemical and radiological parameters. Data validation has not been conducted on any of the samples. During excavation and sampling, soil samples were also collected by IT for the MSDEQ and the Mississippi Department of Radiological Health, in accordance with their instructions, and delivered into their custody

  14. One-step synthesis of lightly doped porous silicon nanowires in HF/AgNO3/H2O2 solution at room temperature

    International Nuclear Information System (INIS)

    Bai, Fan; Li, Meicheng; Song, Dandan; Yu, Hang; Jiang, Bing; Li, Yingfeng

    2012-01-01

    One-step synthesis of lightly doped porous silicon nanowire arrays was achieved by etching the silicon wafer in HF/AgNO 3 /H 2 O 2 solution at room temperature. The lightly doped porous silicon nanowires (pNWs) have circular nanopores on the sidewall, which can emit strong green fluorescence. The surface morphologies of these nanowires could be controlled by simply adjusting the concentration of H 2 O 2 , which influences the distribution of silver nanoparticles (Ag NPs) along the nanowire axis. A mechanism based on Ag NPs-induced lateral etching of nanowires was proposed to explain the formation of pNWs. The controllable and widely applicable synthesis of pNWs will open their potential application to nanoscale photoluminescence devices. - Graphical abstract: The one-step synthesis of porous silicon nanowire arrays is achieved by chemical etching of the lightly doped p-type Si (100) wafer at room temperature. These nanowires exhibit strong green photoluminescence. SEM, TEM, HRTEM and photoluminescence images of pNWs. The scale bars of SEM, TEM HRTEM and photoluminescence are 10 μm, 20 nm, 10 nm, and 1 μm, respectively. Highlights: ► Simple one-step synthesis of lightly doped porous silicon nanowire arrays is achieved at RT. ► Etching process and mechanism are illustrated with etching model from a novel standpoint. ► As-prepared porous silicon nanowire emits strong green fluorescence, proving unique property.

  15. Variation in the electrical properties of 100 V/100 A rated mesh and stripe TDMOSFETs (trench double-diffused MOSFETs) for motor drive applications

    International Nuclear Information System (INIS)

    Na, Kyoung-Il; Kah, Dong-Ha; Kim, Sang-Gi; Koo, Jin-Gun; Kim, Jong-Dae; Yang, Yil-Suk; Lee, Jin-Ho

    2012-01-01

    The vertical power metal-oxide semiconductor field-effect transistors (MOSFETs) with deep trench structures are the most promising candidates to overcome the trade-off relationship between the ON-resistance (R ON ) and the blocking voltage (BV DS ). Especially, 100 V/100 A rated trench power MOSFETs are used in components of many power systems, such as motors and LED lighting drive ICs, DC-DC converters in electric vehicles, and so on. In this work, we studied variations of the electrical characteristics, such as threshold voltage (V TH ), BV DS , and drain current drivability, with p-well doping concentration via the SILVACO simulator. From simulation results, we found the BV DS and the drain current (I D ) as functions of the p-well doping concentration at an ion implantation energy of 80 keV. With increasing of p-well doping concentration in the guard ring region, both V TH and BV DS slowly increased, but I D decreased, because the boron lateral diffusion during the fabrication process below gate trench region affected the doping concentration of the p-body at the active region. Additionally, 100 V/100 A rated trench double-diffused MOSFETs (TDMOSFETs) with meshes and stripes were successfully developed by using a silicon deep etching process. The variations in the electrical properties, such as V TH , BV DS , and drain current drivability, of the two different kinds of fabricated devices, with cell design and density in TDMOSFETs were also studied. The BV DS and the V TH in the stripe-type TDMOSFET were 110 and 3 V, respectively. However, the V TH of mesh-type device was smaller 0.5 V than that of stripe-type because of corner effect. The BV DS improved about 20 V compared to stripe-type TDMOSFET due to edge termination, and the maximum drain current (I D.MAX ) was improved by about 10% due to an increase in the gate width at the same chip size. These effects were reflected in devices with different cell densities. When the cell density was increased, however

  16. Paleoseismic Trenching on 1939 Erzincan and 1942 Niksar-Erbaa Earthquake Surface Ruptures, the North Anatolian Fault (Turkey)

    Science.gov (United States)

    Akyuz, H. S.; Karabacak, V.; Zabci, C.; Sancar, T.; Altunel, E.; Gursoy, H.; Tatar, O.

    2009-04-01

    Two devastating earthquakes occurred between Erzincan (39.75N, 39.49E) and Erbaa, Tokat (40.70N, 36.58E) just three years one after another in 1939 and 1942. While 1939 Erzincan earthquake (M=7.8) ruptured nearly 360 km, 1942 Erbaa-Niksar earthquake (M=7.1) has a length of 50 km surface rupture. Totally, more than 35000 citizens lost their lives after these events. Although Turkey has one of the richest historical earthquake records, there is no clear evidence of the spatial distribution of paleoevents within these two earthquake segments of the North Anatolian Fault. 17 August 1668 Anatolian earthquake is one of the known previous earthquakes that may have occurred on the same segments with a probable rupture length of more than 400 km. It is still under debate in different catalogues, if it was ruptured in multiple events or a single one. We achieved paleoseismic trench studies to have a better understanding on the recurrence of large earthquakes on these two faults in the framework of T.C. DPT. Project no. 2006K120220. We excavated a total of 8 trenches in 7 different sites. While three of them are along the 1942 Erbaa-Niksar Earthquake rupture, others are located on the 1939 Erzincan one. Alanici and Direkli trenches were excavated on the 1942 rupture. Direkli trench site is located at the west of Niksar, Tokat (40.62N, 36.85E) on the fluvial terrace deposits of the Kelkit River. Only one paleoevent could be determined from the structural relationships of the trench wall stratigraphy. By radiocarbon dating of charcoal sample from above the event horizon indicates that this earthquake should have occurred before 480-412 BC. The second trench, Alanici, on the same segment was located between Erbaa and Niksar (40.65N, 36.78E) at the western boundary of a sag-pond. While signs of two (possible three) earthquakes were identified on the trench wall, the prior event to 1942 Earthquake is dated to be before 5th century AD. We interpreted this to have possibility of

  17. Paleoseismic evidence of earthquakes and tsunamis along the southern part of the Japan Trench

    Science.gov (United States)

    Pilarczyk, Jessica; Sawai, Yuki; Horton, Ben; Namegaya, Yuichi; Shinozaki, Tetsuya; Tanigawa, Koichiro; Matsumoto, Dan; Dura, Tina; Fujiwara, Osamu; Shishikura, Masanobu

    2016-04-01

    The northern part of the Japan Trench has frequently generated tsunamigenic-earthquakes with magnitudes up to ~M 8.0. In contrast, the middle and southern parts of the Japan Trench were considered relatively inactive until the 2011 Tohoku (M 9.0) event generated one of the largest tsunamis in recorded history. Geologic evidence from the Sendai plain revealed an event in CE 869 that could have forecast the severity of the Tohoku tsunami in 2011. Seismic models indicate that the Tohoku earthquake may have transferred stress southwards down the fault to the potentially locked southern part of the Japan Trench. This transfer of stress towards a locked section of the trench could produce an earthquake in the near future that would be comparable in magnitude to the Tohoku event. Reconstructing the history of individual great earthquakes and accompanying tsunamis using geological records from the coastal zone adjacent to the southern part of the Japan Trench provides an assessment of the seismic hazard for metropolitan areas in east-central Japan. We have found two anomalous marine sand layers intercalated with muddy peat, which can be traced 3.8 km inland and 5.5 km along the present Kujukuri coastline, approximately 50 km east of Tokyo. Both sand layers have features consistent with tsunami deposits, such as a distinct erosional base, rip-up clasts, normal grading, a mud drape, and marine foraminifera. Results of radiocarbon dating constrain the age of the upper sand to 337 - 299 cal. yrs. BP, which likely corresponds to the only known southern Japan Trench rupture ever recorded, the Empo tsunami of CE 1677. The age of the lower sand is 979 - 903 cal. yrs. BP; marking an event for which there is no historical documentation at present. Preliminary tsunami simulation models indicate that a middle trench (Tohoku-style) rupture is not responsible for significant inundation of the Kujukuri coastline and would likely not have been capable of depositing either sand layer

  18. Biodiversity of nematode assemblages from deep-sea sediments of the Atacama Slope and Trench (South Pacific Ocean)

    Science.gov (United States)

    Gambi, C.; Vanreusel, A.; Danovaro, R.

    2003-01-01

    Nematode assemblages were investigated (in terms of size spectra, sex ratio, Shannon diversity, trophic structure and diversity, rarefaction statistics, maturity index, taxonomic diversity and taxonomic distinctness) at bathyal and hadal depths (from 1050 to 7800 m) in the deepest trench of the South Pacific Ocean: the Trench of Atacama. This area, characterised by very high concentrations of nutritionally-rich organic matter also at 7800-m depth, displayed characteristics typical of eutrophic systems and revealed high nematode densities (>6000 ind. 10 cm -2). Nematode assemblages from the Atacama Trench displayed a different composition than at bathyal depths. At bathyal depths 95 genera and 119 species were found (Comesomatidae, Cyatholaimidae, Microlaimidae, Desmodoridae and Xyalidae being dominant), whereas in the Atacama Trench only 29 genera and 37 species were encountered (dominated by Monhysteridae, Chromadoridae, Microlaimidae, Oxystominidae and Xyalidae). The genus Monhystera (24.4%) strongly dominated at hadal depths and Neochromadora, and Trileptium were observed only in the Atacama Trench, but not at bathyal depths. A reduction of the mean nematode size (by ca. 67%) was observed between bathyal and hadal depths. Since food availability was not a limiting factor in the Atacama Trench sediments, other causes are likely to be responsible for the reduction of nematode species richness and body size. The presence of a restricted number of families and genera in the Atacama Trench might indicate that hadal sediments limited nematode colonisation. Most of the genera reaching very high densities in Trench sediments (e.g., Monhystera) are opportunistic and were responsible for the significant decrease of the maturity index. The dominance of opportunists, which are known to be characterised by small sizes, might have contributed to the reduced nematode size at hadal depths. Shannon diversity and species richness decreased in hadal water depth and this pattern

  19. Closure Report for Corrective Action Unit 426: Cactus Spring Waste Trenches, Tonopah Test Range, Nevada

    Energy Technology Data Exchange (ETDEWEB)

    Dave Madsen

    1998-08-01

    This Closure Report provides the documentation for closure of the Cactus Spring Waste Trenches Corrective Action Unit (CAU) 426. The site is located on the Tonopah Test Range, approximately 225 kilometers northwest of Las Vegas, NV. CAU 426 consists of one corrective action site (CAS) which is comprised of four waste trenches. The trenches were excavated to receive solid waste generated in support of Operation Roller Coaster, primary the Double Tracks Test in 1963, and were subsequently backfilled. The Double Tracks Test involved use of live animals to assess the biological hazards associated with the nonnuclear detonation of plutonium-bearing devices. The Nevada Division of Environmental Protection approved Corrective Action Plan (CAP)which proposed ''capping'' methodology. The closure activities were completed in accordance with the approved CAP and consisted of constructing an engineered cover in the area of the trenches, constructing/planting a vegetative cover, installing a perimeter fence and signs, implementing restrictions on future use, and preparing a Post-Closure Monitoring Plan.

  20. Removal of the radioactive materials from the trench submergence in Fukushima

    International Nuclear Information System (INIS)

    Okita, Takeshi; Ikeda, Akira; Oomura, Hisao; Kojima, Chiaki; Nozawa, Keiji

    2014-01-01

    Toshiba has been contributing for stabilization from the accident of Fukushima Daiichi nuclear power plant. One of the contribution areas is the treatment of highly contaminated water accumulated in the piping trench of Unit 2 and Unit 3. As an emergency countermeasure, Toshiba installed the mobile type water treatment system which is named SMARTS (Simplified Mobile Adsorption and Retrieve for Trench Submergence) for removal of radionuclide. SMARTS has achieved 10 3 ∼ 10 4 decontamination factor (DF) for Cesium (Cs) in the actual operation. This paper describes the formation of SMARTS and the result of performance. (author)

  1. 40Ar-39Ar dating of hornfels dredged near the Japan trench

    International Nuclear Information System (INIS)

    Takigami, Yutaka; Fujioka, Kantaro

    1989-01-01

    During the KH 81-3 Cruise of the R/V Hakuho-Maru, biotite-bearing hornfelses were dredged from the landward slope of the Japan Trench. Bulk sample and separated biotite were dated by the 40 Ar- 39 Ar method and they showed 40 Ar- 39 Ar ages of 28.9±1.4 Ma and 28.5±1.4 Ma, respectively. This would indicate that biotite was formed at about 29 Ma by the thermal metamorphism which might have related with some magmatic activity. In this magmatic activity was caused by the subducting oceanic plate in the similar conditions at present, it seems to have occurred at a place too close to the present trench axis. This discrepancy could be explained by such processes as the change of the angle of the subducting plate, the moving of the trench axis by tectonic erosion and so on. However, more age data are required to solve this discrepancy. (author)

  2. Silicon Power MOSFETs

    Science.gov (United States)

    Lauenstein, Jean-Marie; Casey, Megan; Campola, Michael; Ladbury, Raymond; Label, Kenneth; Wilcox, Ted; Phan, Anthony; Kim, Hak; Topper, Alyson

    2017-01-01

    Recent work for the NASA Electronic Parts and Packaging Program Power MOSFET task is presented. The Task technology focus, roadmap, and partners are given. Recent single-event effect test results on commercial, automotive, and radiation hardened trench power MOSFETs are summarized with an emphasis on risk of using commercial and automotive trench-gate power MOSFETs in space applications.

  3. Effects of trap-assisted tunneling on gate-induced drain leakage in silicon-germanium channel p-type FET for scaled supply voltages

    Science.gov (United States)

    Tiwari, Vishal A.; Divakaruni, Rama; Hook, Terence B.; Nair, Deleep R.

    2016-04-01

    Silicon-germanium is considered as an alternative channel material to silicon p-type FET (pFET) for the development of energy efficient high performance transistors for 28 nm and beyond in a high-k metal gate technology because of its lower threshold voltage and higher mobility. However, gate-induced drain leakage (GIDL) is a concern for high threshold voltage device design because of tunneling at reduced bandgap. In this work, the trap-assisted tunneling and band-to-band tunneling (BTBT) effects on GIDL is analyzed and modeled for SiGe pFETs. Experimental results and Monte Carlo simulation results reveal that the pre-halo germanium pre-amorphization implant used to contain the short channel effects contribute to GIDL at the drain sidewall in addition to GIDL due to BTBT in SiGe devices. The results are validated by comparing the experimental observations with the numerical simulation and a set of calibrated models are used to describe the GIDL mechanisms for various drain and gate bias.

  4. Sidewall Covalent Functionalization of Single Wall Carbon Nanotubes through C-N Bond Forming Reactions of Fluoronanotubes with Urea, Guanidine and Thiourea (Preprint)

    National Research Council Canada - National Science Library

    Pulikkathara, Merlyn X; Khabashesku, Valery N

    2007-01-01

    ...) as starting materials in the reactions with either urea, thiourea, or guanidine. Through these reactions, the derivatives with terminal amide and heteroamide groups on the nanotube sidewalls have been prepared...

  5. Effectiveness of a ground-surface polymer membrane covering as a method for limiting infiltration into burial trenches at Maxey Flats, Kentucky

    International Nuclear Information System (INIS)

    Lyverse, M.A.

    1987-01-01

    The Maxey Flats Disposal Site (MFDS) was operated as a shallow land burial site for low-level radioactive wastes for a period of 14 years (1963-1977). In 1977, radionuclides were found to be migrating from a closed disposal trench into an adjacent newly constructed trench. This discovery prompted closure of the site. Over time, deterioration of the shale and clay cover on the trenches had resulted from subsidence due to the collapse of buried metallic containers and the decomposition of various organic wastes within the trenches. This subsidence increased infiltration of water into the trenches as surface water was retained over the waste in potholes and small ponds. Although infiltration rates to the waste increased, seepage rates of leachate out of the bottom and sides of the trenches were very slow due to the low permeability of surrounding native shale soils (average hydraulic conductivity 4 x 10 -3 ft/day). In 1981, a program was implemented to correct deficiencies and stabilize the site. This paper describes the effectiveness of one design method where a low permeable (hydraulic conductivity -9 ft/sec) polyvinylchloride membrane cover (PVC) 0.015 to 0.020 inches thick was placed over the burial trenches. The covers were installed over trenches beginning in the fall of 1981. Each trench is equipped with several sumps for the collection and removal of leachate. Water-level data were collected on sumps from five trenches during the study period May 1978 to October 1984, which spanned a period prior to and after installation of the PVC cover. 3 references, 4 figures, 1 table

  6. Theoretical Investigation of Inter-core Crosstalk Properties in Homogeneous Trench-Assisted Multi-Core Fibers

    DEFF Research Database (Denmark)

    Ye, Feihong; Morioka, Toshio; Tu, Jiajing

    2014-01-01

    We derive analytical expressions for inter-core crosstalk, its dependence on core pitch and wavelength in homogeneous trench-assisted multi-core fibers. They are in excellent agreement with numerical simulation results.......We derive analytical expressions for inter-core crosstalk, its dependence on core pitch and wavelength in homogeneous trench-assisted multi-core fibers. They are in excellent agreement with numerical simulation results....

  7. Geological mapping of investigation trench OL-TK14 at the Olkiluoto study site, Eurajoki, SW Finland

    International Nuclear Information System (INIS)

    Nordbaeck, N.

    2007-12-01

    Geological mapping of investigation trench OL-TK14 was carried out by the Geological Survey of Finland at the Olkiluoto study site, Eurajoki, as part of Posiva Oy's site investigation programme for the development of an underground repository for nuclear waste. The E-W striking, ca. 215 m long trench is located in the eastern part of the Olkiluoto Island adjacent to boreholes OL-KR40 and OL-KR45. The trench was cleaned with a pressure washer and pressurized air. The rock types were determined macroscopically. Five samples were also investigated microscopically. The main rock type in the trench is veined gneiss. A few less than 0.5 m wide coarsegrained pegmatitic granite dykes are also encountered. Furthermore a large number of mica gneiss, quartz gneiss and skarn inclusions are present in the veined gneiss, being often elongated and parallel to the foliation. The most dominant tectonic feature is the foliation S2 and associated intense granitic leucosome veining. The foliation strikes ENE-WSW and dips steeply towards SES. Intensely to moderately banded rocks dominate the trench. The sparse F3 folds observed in OL-TK14 are small-scale tight folds in leucosome veins. A large-scale (10 m) open bending of the foliation that could be a result of D4 folding was also observed. Shearbands and other signs of ductile shearing were observed on many locations in the trench and in the eastern part there is a high-grade ductile shear zone intersection. During the fracture mapping, all fractures intersecting the central thread were investigated and a total of 684 fractures were recorded. The average fracture density of the trench is 3.18 fractures/m. On the basis of fracture orientations three main sets can be distinguished: 1) a set striking N-S with vertical dip, 2) a ENE-WSW striking set with varying dips towards the SSE (parallel to the foliation) and 3) a NE-SW striking set with sub-vertical dip towards the SE or the NW. Most of the measured fractures are short due to the

  8. Detection and delineation of waste trenches by geophysical methods at Oak Ridge National Laboratory

    International Nuclear Information System (INIS)

    Selfridge, R.J.

    1987-01-01

    Detection and delineation of waste trenches at hazardous waste sites are needed before actual implementation of site corrective measures. In a field study conducted in Solid Waste Storage Area 4 (SWSA4) at Oak Ridge National Laboratory (ORNL), surface geophysical techniques were used to assist in the delineation of waste trenches. A magnetometer/gradiometer survey was used to detect ferrous metals buried at the site. An electromagnetic ground conductivity survey was used to measure the electrical conductivity of the subsurface and aided in supporting the magnetometer/gradiometer results. Results from the two techniques were complimentary and easily integrated into a final interpretation. The reliability, efficiency, and worker safety benefits of these techniques offer a nondestructive surface technique for locating buried waste trenches

  9. Minimizing Isolate Catalyst Motion in Metal-Assisted Chemical Etching for Deep Trenching of Silicon Nanohole Array.

    Science.gov (United States)

    Kong, Lingyu; Zhao, Yunshan; Dasgupta, Binayak; Ren, Yi; Hippalgaonkar, Kedar; Li, Xiuling; Chim, Wai Kin; Chiam, Sing Yang

    2017-06-21

    The instability of isolate catalysts during metal-assisted chemical etching is a major hindrance to achieve high aspect ratio structures in the vertical and directional etching of silicon (Si). In this work, we discussed and showed how isolate catalyst motion can be influenced and controlled by the semiconductor doping type and the oxidant concentration ratio. We propose that the triggering event in deviating isolate catalyst motion is brought about by unequal etch rates across the isolate catalyst. This triggering event is indirectly affected by the oxidant concentration ratio through the etching rates. While the triggering events are stochastic, the doping concentration of silicon offers a good control in minimizing isolate catalyst motion. The doping concentration affects the porosity at the etching front, and this directly affects the van der Waals (vdWs) forces between the metal catalyst and Si during etching. A reduction in the vdWs forces resulted in a lower bending torque that can prevent the straying of the isolate catalyst from its directional etching, in the event of unequal etch rates. The key understandings in isolate catalyst motion derived from this work allowed us to demonstrate the fabrication of large area and uniformly ordered sub-500 nm nanoholes array with an unprecedented high aspect ratio of ∼12.

  10. Geological mapping of investigation trench OL-TK9 at the Olkiluoto study site, Eurajoki, SW Finland

    International Nuclear Information System (INIS)

    Nordbaeck, N.; Talikka, M.

    2006-07-01

    Geological mapping of trench OL-TK9 was carried out the by the Geological Survey of Finland at the Olkiluoto study site, Eurajoki, southwestern Finland, as a part of Posiva Oy's site investigation programme for the development of an underground repository for nuclear waste. The NW-SE striking trench, which is about 371 metres in length and 0.4-2.5 metres in width, is situated to the west from the OL-TK3 in the northwestern part of the study site. The rock types were determined macroscopically and the main rock types were further investigated microscopically. On the basis of the migmatite structure, the migmatitic gneisses at Olkiluoto can be divided into three groups: veined gneiss, stromatic gneiss and diatexitic gneiss. Most of the migmatitic gneisses in the trench are veined gneisses and only a few short sections of the diatexitic gneiss or K-feldspar porphyry exist. Stromatic gneisses were not encountered. The leucosome of the veined gneiss show vein like, more or less linear traces with some features similar to large-scale augen structures, whereas the migmatitic structure of the diatexitic gneiss is more asymmetric and irregular. The southernmost part of the trench is dominated by mica gneiss and pegmatitic granite. The mica gneiss is homogenous mica-rich rock that contains less than 10 % granite leucosome material. The pegmatitic granite shows variations in grain size, colour, and mode of occurrence. The veined gneiss dominates the central part of the trench. The northernmost part of the trench is mainly comprised of tonalitic-granodioritic-granitic gneiss, which has an igneous character. Most rocks in the trench are altered, the intensity of the alteration varies from weak to strong, and the most common alteration type is chloritisation. The intense alteration has resulted in pervasive softening and weathering of the bedrock in places and up to four meters thick layers of strongly weathered rocks were observed on the edges of the trench. The foliation S2B

  11. Corrective action plan for CAU No. 404: Roller Coaster Sewage Lagoons and North Disposal Trench, Tonopah Test Range

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-07-01

    This Corrective Action Plan (CAP) provides the selected corrective action alternative and proposes the closure implementation methodology for the Roller Coaster Sewage Lagoons and North Disposal Trench Corrective Action Unit (CAU) No. 404. The site is located on the Tonopah Test Range. CAU 404 consists of two Corrective Action Sites (CAS): the Roller Coaster Lagoons (CAS No TA-03-001-TA-RC) and the North Disposal Trench (CAS No TA-21-001-TA-RC). A site map of the lagoons and trench is provided. The Roller Coaster Sewage Lagoons are comprised of two unlined lagoons that received liquid sanitary waste in 1963 from the Operation Roller Coaster Man Camp and debris from subsequent construction and range cleanup activities. The North Disposal Trench was excavated in approximately 1963 and received solid waste and debris from the man camp and subsequent construction and range cleanup activities. A small hydrocarbon spill occurred during the 1995 Voluntary Corrective Action (VCA) activities in an area associated with the North Disposal Trench CAS.

  12. Corrective action plan for CAU No. 404: Roller Coaster Sewage Lagoons and North Disposal Trench, Tonopah Test Range

    International Nuclear Information System (INIS)

    1997-07-01

    This Corrective Action Plan (CAP) provides the selected corrective action alternative and proposes the closure implementation methodology for the Roller Coaster Sewage Lagoons and North Disposal Trench Corrective Action Unit (CAU) No. 404. The site is located on the Tonopah Test Range. CAU 404 consists of two Corrective Action Sites (CAS): the Roller Coaster Lagoons (CAS No TA-03-001-TA-RC) and the North Disposal Trench (CAS No TA-21-001-TA-RC). A site map of the lagoons and trench is provided. The Roller Coaster Sewage Lagoons are comprised of two unlined lagoons that received liquid sanitary waste in 1963 from the Operation Roller Coaster Man Camp and debris from subsequent construction and range cleanup activities. The North Disposal Trench was excavated in approximately 1963 and received solid waste and debris from the man camp and subsequent construction and range cleanup activities. A small hydrocarbon spill occurred during the 1995 Voluntary Corrective Action (VCA) activities in an area associated with the North Disposal Trench CAS

  13. Marshmallowing of nanopillar arrays by field emission

    International Nuclear Information System (INIS)

    Qin Hua; Kim, Hyun-Seok; Blick, Robert H.

    2010-01-01

    We fabricated nanoscale field electron emitters formed by highly-doped silicon nanopillars on a silicon membrane. Electron-beam induced deposition of carbon-based contaminants is employed as a probe of the spatial activity of electron emission from the nanopillars. In stark contrast to the general assumption that field emission only occurs at the tips of nanoscale emitters, we found strong emission from the sidewalls of the nanopillars. This is revealed by the deposition of carbon contaminants on these sidewalls, so that the nanopillars finally resemble marshmallows. We conclude that field emission from nanostructured surfaces is more intricate than previously expected.

  14. Buckling of Single-Crystal Silicon Nanolines under Indentation

    Directory of Open Access Journals (Sweden)

    Min K. Kang

    2008-01-01

    Full Text Available Atomic force microscope-(AFM- based indentation tests were performed to examine mechanical properties of parallel single-crystal silicon nanolines (SiNLs of sub-100-nm line width, fabricated by a process combining electron-beam lithography and anisotropic wet etching. The SiNLs have straight and nearly atomically flat sidewalls, and the cross section is almost perfectly rectangular with uniform width and height along the longitudinal direction. The measured load-displacement curves from the indentation tests show an instability with large displacement bursts at a critical load ranging from 480 μN to 700 μN. This phenomenon is attributed to a transition of the buckling mode of the SiNLs under indentation. Using a set of finite element models with postbuckling analyses, we analyze the indentation-induced buckling modes and investigate the effects of tip location, contact friction, and substrate deformation on the critical load of mode transition. The results demonstrate a unique approach for the study of nanomaterials and patterned nanostructures via a combination of experiments and modeling.

  15. Close-range geophotogrammetric mapping of trench walls using multi-model stereo restitution software

    Energy Technology Data Exchange (ETDEWEB)

    Coe, J.A.; Taylor, E.M.; Schilling, S.P.

    1991-06-01

    Methods for mapping geologic features exposed on trench walls have advanced from conventional gridding and sketch mapping to precise close-range photogrammetric mapping. In our study, two strips of small-format (60 {times} 60) stereo pairs, each containing 42 photos and covering approximately 60 m of nearly vertical trench wall (2-4 m high), were contact printed onto eight 205 {times} 255-mm transparent film sheets. Each strip was oriented in a Kern DSR15 analytical plotter using the bundle adjustment module of Multi-Model Stereo Restitution Software (MMSRS). We experimented with several systematic-control-point configurations to evaluate orientation accuracies as a function of the number and position of control points. We recommend establishing control-point columns (each containing 2-3 points) in every 5th photo to achieve the 7-mm Root Mean Square Error (RMSE) accuracy required by our trench-mapping project. 7 refs., 8 figs., 1 tab.

  16. Close-range geophotogrammetric mapping of trench walls using multi-model stereo restitution software

    International Nuclear Information System (INIS)

    Coe, J.A.; Taylor, E.M.; Schilling, S.P.

    1991-01-01

    Methods for mapping geologic features exposed on trench walls have advanced from conventional gridding and sketch mapping to precise close-range photogrammetric mapping. In our study, two strips of small-format (60 x 60) stereo pairs, each containing 42 photos and covering approximately 60 m of nearly vertical trench wall (2-4 m high), were contact printed onto eight 205 x 255-mm transparent film sheets. Each strip was oriented in a Kern DSR15 analytical plotter using the bundle adjustment module of Multi-Model Stereo Restitution Software (MMSRS). We experimented with several systematic-control-point configurations to evaluate orientation accuracies as a function of the number and position of control points. We recommend establishing control-point columns (each containing 2-3 points) in every 5th photo to achieve the 7-mm Root Mean Square Error (RMSE) accuracy required by our trench-mapping project. 7 refs., 8 figs., 1 tab

  17. Soil prokaryotic communities in Chernobyl waste disposal trench T22 are modulated by organic matter and radionuclide contamination.

    Science.gov (United States)

    Theodorakopoulos, Nicolas; Février, Laureline; Barakat, Mohamed; Ortet, Philippe; Christen, Richard; Piette, Laurie; Levchuk, Sviatoslav; Beaugelin-Seiller, Karine; Sergeant, Claire; Berthomieu, Catherine; Chapon, Virginie

    2017-08-01

    After the Chernobyl nuclear power plant accident in 1986, contaminated soils, vegetation from the Red Forest and other radioactive debris were buried within trenches. In this area, trench T22 has long been a pilot site for the study of radionuclide migration in soil. Here, we used 454 pyrosequencing of 16S rRNA genes to obtain a comprehensive view of the bacterial and archaeal diversity in soils collected inside and in the vicinity of the trench T22 and to investigate the impact of radioactive waste disposal on prokaryotic communities. A remarkably high abundance of Chloroflexi and AD3 was detected in all soil samples from this area. Our statistical analysis revealed profound changes in community composition at the phylum and OTUs levels and higher diversity in the trench soils as compared to the outside. Our results demonstrate that the total absorbed dose rate by cell and, to a lesser extent the organic matter content of the trench, are the principal variables influencing prokaryotic assemblages. We identified specific phylotypes affiliated to the phyla Crenarchaeota, Acidobacteria, AD3, Chloroflexi, Proteobacteria, Verrucomicrobia and WPS-2, which were unique for the trench soils. © FEMS 2017. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  18. Annual report, RCRA post-closure monitoring and inspections for the mercury landfill hazardous waste trenches for the period October 1995--October 1996

    Energy Technology Data Exchange (ETDEWEB)

    Emer, D.F.; Smith, J.L.

    1997-01-01

    The Area 23 Hazardous Waste Trenches were closed in-place in September 1993. Post-closure monitoring of the Area 23 Hazardous Waste Trenches began in October 1993. The post-closure monitoring program is used to verify that the Area 23 Hazardous Waste Trench covers are performing properly, and that there is no water infiltrating into the waste trenches. The performance of the Area 23 Hazardous Waste Trenches is currently monitored using 30 neutron access tubes positioned on and along the margins of the covers. Soil moisture measurements are obtained in the soils directly beneath the trenches and compared to baseline conditions from the first year of post-closure operation. This report documents the post-closure activities between October 1995 and October 1996.

  19. Annual report, RCRA post-closure monitoring and inspections for the mercury landfill hazardous waste trenches for the period October 1995--October 1996

    International Nuclear Information System (INIS)

    Emer, D.F.; Smith, J.L.

    1997-01-01

    The Area 23 Hazardous Waste Trenches were closed in-place in September 1993. Post-closure monitoring of the Area 23 Hazardous Waste Trenches began in October 1993. The post-closure monitoring program is used to verify that the Area 23 Hazardous Waste Trench covers are performing properly, and that there is no water infiltrating into the waste trenches. The performance of the Area 23 Hazardous Waste Trenches is currently monitored using 30 neutron access tubes positioned on and along the margins of the covers. Soil moisture measurements are obtained in the soils directly beneath the trenches and compared to baseline conditions from the first year of post-closure operation. This report documents the post-closure activities between October 1995 and October 1996

  20. Grout testing and characterization for shallow-land burial trenches at the Idaho National Engineering Laboratory

    International Nuclear Information System (INIS)

    Tallent, O.K.; Sams, T.L.; Tamura, T.; Godsey, T.T.; Francis, C.L.; McDaniel, E.W.

    1986-10-01

    An investigation was conducted to develop grout formulations suitable for in situ stabilization of low-level and transuranic (TRU) waste in shallow-land burial trenches at Idaho National Engineering Laboratory (INEL). The acceptabilities of soil, ordinary particulate, and fine particulate grouts were evaluated based on phase separation, compressive strength, freeze/thaw, penetration resistance, rheological, water permeability, column, and other tests. Soil grouts with soil-to-cement weight ratios from 0.91 to 1.60 were found to be suitable for open trench or drum disposal. Ordinary particulate grouts containing type I,II Portland cement, class C fly ash, bentonite, water, and a fluidizer were formulated to fill large voids within the soil/waste matrix of a closed shallow-land burial trench. Fine particulate grouts containing fine (mean particle size, 9.6 m) cement and water were formulated to fill smaller voids and to establish a grout-soil barrier to prevent water intrusion into the grouted waste trench. Solution, or chemical grouts, were evaluated as possible substitutes for the fine particulate grouts

  1. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    International Nuclear Information System (INIS)

    Luna, Lunet E; Tadjer, Marko J; Anderson, Travis J; Imhoff, Eugene A; Hobart, Karl D; Kub, Fritz J

    2017-01-01

    Cycles of inductively coupled SF 6 /O 2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µ m-deep trenches with 5.5 µ m-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µ m at an etch rate of ∼0.26 µ m min −1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated. (paper)

  2. Geological mapping of investigation trench OL-TK18 at the Olkiluoto study site, Eurajoki, SW Finland

    Energy Technology Data Exchange (ETDEWEB)

    Engstroem, J. [GTK Geological Survey of Finland, Espoo (Finland)

    2012-04-15

    Survey of Finland at the Olkiluoto study site, Eurajoki, as part of Posiva Oy's site investigation programme for the development of an underground repository for nuclear waste. The E-W striking, ca. 55 m long trench is located in the central part of the Olkiluoto Island adjacent to investigation trenches OL-TK12 and OL-TK4. The trench was cleaned with a pressure washer and pressurized air. The rock types were determined macroscopically. The rock types in investigation trench OL-TK18 is of heterogeneous character, with a large variation in their composition. The rocks vary from tonalitic-granodioritic gneiss to diatexitic gneiss, with portions of K-feldspar porphyritic gneiss. Inclusions of mica gneiss and a well-preserved schollen migmatite is encountered. The trench ends in a feldspar-rich pegmatoid. The most dominant tectonic feature is D{sub 4} ductile deformation domain and associated S{sub 4} foliation. This domain and hence the S{sub 4} foliation is striking NE-SW with a moderate dip towards SE. Both ends of the trench are dominated by the earlier deformation phase, showing S{sub 3} foliation striking ENE-WSW and roughly dipping towards the S. The S{sub 3} foliation is associated with small-scaled granitic leucosome veining, while the S{sub 4} foliation have a schistose character and it is more sheared. D{sub 4} ductile deformation domain is also characterised by a sheared blastomylonitic rock having growth of roundish feldspar porphyroblasts and BTschlierens indicating high alteration of the protolith. During the fracture mapping, all fractures intersecting the central thread were investigated and a total of 117 fractures were recorded. The average fracture frequency of the trench is 2.11 fractures/m. On the basis of fracture orientations one main set can be distinguished striking NE-SW (foliation parallel) with a moderate dip towards the SE. The median fracture trace length is 1.6 m and over half of the fractures exceed 1.5 m trace length, the longest

  3. Evaluation of a prototype decision support system for selecting trench cap designs

    International Nuclear Information System (INIS)

    Paige, G.B.; Stone, J.J.; Lane, L.J.

    1996-01-01

    A computer-based prototype decision support system (PDSS) to assist the risk manager in selecting an appropriate trench cap design for waste disposal sites is evaluated. The selection of the open-quotes bestclose quotes design among feasible alternatives requires consideration of multiple and often conflicting objectives. The methodology used in the selection process consists of. selecting and parameterizing decision variables, using data, simulation models, or expert opinion; selecting feasible trench cap design alternatives; ordering the decision variables and ranking the design alternatives. The simulation models incorporated in the PDSS are the Hydrologic Evaluation of Landfill Performance (HELP) model which is used to simulate the trench cap water balance and the Chemicals, Runoff, and Erosion from Agricultural Management Systems (CREAMS) erosion component that is used to simulate trench cap erosion. The decision model is based on multi-objective decision theory and uses a unique approach to order the decision variables and rank the design alternatives. The PDSS is evaluated using the Hill Air Force Base landfill cover demonstration project. The water balance and surface erosion of four alternative landfill cover designs were monitored for a 4-yr period. Two of the cover designs were used to calibrate and test the simulation models. The results of the PDSS, using both data from all four designs and long-term simulations from two of the designs, illustrate the relative advantages of each of the cover designs and which cover is the open-quotes bestclose quotes alternative for a given set of criteria and a particular importance order of those decision criteria. 22 refs., 6 figs., 4 tabs

  4. Study on filling materials suitable for seawater piping trench closure work at Fukushima Daiichi Nuclear Power Plant

    International Nuclear Information System (INIS)

    Yanai, Shuji; Hibi, Yasuki; Nishikori, Kazumasa; Sato, Keita

    2016-01-01

    Highly contaminated water leaking from the reactor buildings and turbine buildings damaged by the 2011 Great East Japan Earthquake has accumulated in the seawater piping trenches of Fukushima Daiichi Nuclear Power Station Units 2, 3, and 4. In November 2014, work commenced to replace and remove this contaminated water by filling the trenches with filling materials, and this work was completed in December 2015. This paper summarizes the contents of this study on various filling materials, including special fillers with long-distance underwater flowability applied to the horizontal tunnel parts of the trenches. (author)

  5. The distribution of radionuclides and some trace metals in the water columns of the Japan and Bonin trenches

    International Nuclear Information System (INIS)

    Nozari, Y.; Yamada, M.; Shitashima, K.; Tsubota, H.

    1998-01-01

    Presented here is the first geochemical data on the U/Th series Th, Pa, Ac, and Pb isotopes and artificial fallout radionuclides ( 90 Sr, 137 Cs, and Pu isotopes), and some trace elements (V, Zn, Cd, Cu, Mn, and Ni) in two water columns of the Japan and Bonin trenches down to the bottom depths of 7585 m and 9750 m, respectively. Hydrographic properties such as temperature, salinity dissolved oxygen, and nutrient content within the trench valley remain constant at the same levels as those in the bottom water of the Northwest Pacific basin (typically ∼6000 m in depth). The radionuclide activities and most trace metal concentrations are also not very different from those in the overlying water at depths of around 5000-6000 m. This means that any chemical alteration which sea water undergoes during its residence within the trench was not obviously detected by the techniques used here. The suggestion follows that the trench water is rather freely communicating y isopycnal mixing with the bottom water overlying the Northwest Pacific abyssal plain. The trench waters contain high 239,240 Pu activities throughout, indicating that Pu is actively regenerating from rapidly sinking, large particles at the bottom interface, probably due to a change in the oxidation state. On the other hand, the vertical profiles of 210 Pb and 231 Pa show lower activities within the trench than those in the overlying deep waters, suggesting that the effect of boundary and bottom scavenging is significant in controlling their oceanic distributions. However, none of the trace metals studied here obviously follows the behaviour of the above nuclides. The 228 Th data show scattering within the Bonin Trench that is largely ascribable to analytical errors. If, however we accept that the scatter of 228 Th data is real and the variation is caused solely by decay of its parent 228 Ra, we can set an upper limit of ∼5 years for the renewal time of the trench water. (authors)

  6. Elasto-plastic deformation and plate weakening due to normal faulting in the subducting plate along the Mariana Trench

    Science.gov (United States)

    Zhou, Zhiyuan; Lin, Jian

    2018-06-01

    We investigated variations in the elasto-plastic deformation of the subducting plate along the Mariana Trench through an analysis of flexural bending and normal fault characteristics together with geodynamic modeling. Most normal faults were initiated at the outer-rise region and grew toward the trench axis with strikes mostly subparallel to the local trench axis. The average trench relief and maximum fault throws were measured to be significantly greater in the southern region (5 km and 320 m, respectively) than the northern and central regions (2 km and 200 m). The subducting plate was modeled as an elasto-plastic slab subjected to tectonic loading at the trench axis. The calculated strain rates and velocities revealed an array of normal fault-like shear zones in the upper plate, resulting in significant faulting-induced reduction in the deviatoric stresses. We then inverted for solutions that best fit the observed flexural bending and normal faulting characteristics, revealing normal fault penetration to depths of 21, 20, and 32 km beneath the seafloor for the northern, central, and southern regions, respectively, which is consistent with the observed depths of the relocated normal faulting earthquakes in the central Mariana Trench. The calculated deeper normal faults of the southern region might lead to about twice as much water being carried into the mantle per unit trench length than the northern and central regions. We further calculated that normal faulting has reduced the effective elastic plate thickness Te by up to 52% locally in the southern region and 33% in both the northern and central regions. The best-fitting solutions revealed a greater apparent angle of the pulling force in the southern region (51-64°) than in the northern (22-35°) and central (20-34°) regions, which correlates with a general southward increase in the seismically-determined dip angle of the subducting slab along the Mariana Trench.

  7. Chasing the Ghost of Melesina Trench: A film by Qina Liu in collaboration with Katharine Kittredge

    Directory of Open Access Journals (Sweden)

    Katherine Kittredge

    2013-04-01

    Full Text Available Filmmaker Qina Liu has created a short documentary about Katharine Kittredge's decade-long quest to learn about the life and work of Anglo-Irish diarist and poet Melesina Trench. The story tells of remarkable coincidences, documents lost and found, and the emergence of Trench's descendants in the project's final chapter.

  8. Variation in the electrical properties of 100 V/100 A rated mesh and stripe TDMOSFETs (trench double-diffused MOSFETs) for motor drive applications

    Energy Technology Data Exchange (ETDEWEB)

    Na, Kyoung-Il; Kah, Dong-Ha; Kim, Sang-Gi; Koo, Jin-Gun; Kim, Jong-Dae; Yang, Yil-Suk; Lee, Jin-Ho [Electronics and Telecommunications Research Institute, Daejeon (Korea, Republic of)

    2012-05-15

    The vertical power metal-oxide semiconductor field-effect transistors (MOSFETs) with deep trench structures are the most promising candidates to overcome the trade-off relationship between the ON-resistance (R{sub ON}) and the blocking voltage (BV{sub DS}). Especially, 100 V/100 A rated trench power MOSFETs are used in components of many power systems, such as motors and LED lighting drive ICs, DC-DC converters in electric vehicles, and so on. In this work, we studied variations of the electrical characteristics, such as threshold voltage (V{sub TH}), BV{sub DS}, and drain current drivability, with p-well doping concentration via the SILVACO simulator. From simulation results, we found the BV{sub DS} and the drain current (I{sub D}) as functions of the p-well doping concentration at an ion implantation energy of 80 keV. With increasing of p-well doping concentration in the guard ring region, both V{sub TH} and BV{sub DS} slowly increased, but I{sub D} decreased, because the boron lateral diffusion during the fabrication process below gate trench region affected the doping concentration of the p-body at the active region. Additionally, 100 V/100 A rated trench double-diffused MOSFETs (TDMOSFETs) with meshes and stripes were successfully developed by using a silicon deep etching process. The variations in the electrical properties, such as V{sub TH}, BV{sub DS}, and drain current drivability, of the two different kinds of fabricated devices, with cell design and density in TDMOSFETs were also studied. The BV{sub DS} and the V{sub TH} in the stripe-type TDMOSFET were 110 and 3 V, respectively. However, the V{sub TH} of mesh-type device was smaller 0.5 V than that of stripe-type because of corner effect. The BV{sub DS} improved about 20 V compared to stripe-type TDMOSFET due to edge termination, and the maximum drain current (I{sub D.MAX}) was improved by about 10% due to an increase in the gate width at the same chip size. These effects were reflected in devices with

  9. Study on the related factors influencing the formation of intra-aneurysmal thrombosis in the established side-wall aneurysmal model in canine

    International Nuclear Information System (INIS)

    Zhou Bing; Li Minghua; Wang Jianbo; Zhu Yueqi; Yuan Jianhua; Yu Wenqiang

    2011-01-01

    Objective: To analyze the related factors influencing the formation of intra-aneurysmal thrombosis (IAT) in the established side-wall aneurysmal model in canine, and to discuss the measures to prevent the occurrence of IAT. Methods: Twenty canines were randomly divided into 4 groups for building side-wall aneurysmal model: group A, vertical aneurysm without use of postoperative anticoagulation medication; group B, vertical aneurysm with use of postoperative anticoagulation medication; group C, oblique aneurysm without use of postoperative anticoagulation medication; and group D, oblique aneurysm with use of postoperative anticoagulation medication. Angiography was performed to evaluate the IAT. The potential related factors influencing the formation of IAT, including sex, age, operative time, aneurysmal morphology, postoperative anticoagulation medication and cervical hematoma, were statistically analysed with emphasis on aneurysmal morphology and the use of postoperative anticoagulation medication. The statistical software SPSS 12.0 was employed. Results: A total of 40 aneurysms were successfully established in 20 canines. Cervical hematoma occurred in 7 canines and IAT developed in 8 aneurysms. The univariate analysis showed that the formation of IAT was significantly influenced by the aneurysmal morphology and cervical hematoma. Surprisingly, the formation of IAT bore no relation to the postoperative anticoagulation, whether the medication was employed or not, which was further confirmed by stratified analysis. Conclusion: To establish oblique aneurysm and to reduce the occurrence of cervical hematoma can effectively decrease the incidence of IAT in established side-wall aneurysmal model in canine. The postoperative anticoagulation medication can not decrease the incidence of IAT. (authors)

  10. 78 FR 7385 - Western Pacific Fisheries; Fishing in the Marianas Trench, Pacific Remote Islands, and Rose Atoll...

    Science.gov (United States)

    2013-02-01

    ...-BA98 Western Pacific Fisheries; Fishing in the Marianas Trench, Pacific Remote Islands, and Rose Atoll... Presidential proclamations that created the Marianas Trench, Pacific Remote Islands, and Rose Atoll Marine..., 2009). Proclamation 8337 of January 6, 2009, ``Establishment of the Rose Atoll Marine National Monument...

  11. Noise transmission through sidewall treatments applicable to twin-engine turboprop aircraft

    Science.gov (United States)

    Grosveld, F. W.

    1983-04-01

    The noise transmission loss characteristics of the sidewall treatment in the propeller plane of a twin-engine turboprop aircraft are experimentally investigated in the NASA Langley Research Center Transmission Loss Facility. The sound attenuation properties of the individual elements of this treatment are evaluated showing least noise transmission loss in the low frequencies (below 500 Hz) where the excitation levels at the propeller blade passage frequency and the first few harmonics are highest. It is shown that single and double wall resonances play an important role in the noise transmission loss values of the treatment at these low frequencies suggesting that a limp mass with a very low resonance frequency serves better as a trim panel than a trim panel having a high structural stiffness. It is indicated that the window structures might be a potential noise control problem.

  12. Trench motion-controlled slab morphology and stress variations: Implications for the isolated 2015 Bonin Islands deep earthquake

    Science.gov (United States)

    Yang, Ting; Gurnis, Michael; Zhan, Zhongwen

    2017-07-01

    The subducted old and cold Pacific Plate beneath the young Philippine Sea Plate at the Izu-Bonin trench over the Cenozoic hosts regional deep earthquakes. We investigate slab morphology and stress regimes under different trench motion histories with mantle convection models. Viscosity, temperature, and deviatoric stress are inherently heterogeneous within the slab, which we link to the occurrence of isolated earthquakes. Models expand on previous suggestions that observed slab morphology variations along the Izu-Bonin subduction zone, exhibited as shallow slab dip angles in the north and steeper dip angles in the south, are mainly due to variations in the rate of trench retreat from the north (where it is fast) to the south (where it is slow). Geodynamic models consistent with the regional plate tectonics, including oceanic plate age, plate convergence rate, and trench motion history, reproduce the seismologically observed principal stress direction and slab morphology. We suggest that the isolated 680 km deep, 30 May 2015 Mw 7.9 Bonin Islands earthquake, which lies east of the well-defined Benioff zone and has its principal compressional stress direction oriented toward the tip of the previously defined Benioff zone, can be explained by Pacific slab buckling in response to the slow trench retreat.

  13. New GaN Schottky barrier diode employing a trench on AlGaN/GaN heterostructure

    Science.gov (United States)

    Ha, Min-Woo; Lee, Seung-Chul; Choi, Young-Hwan; Kim, Soo-Seong; Yun, Chong-Man; Han, Min-Koo

    2006-10-01

    A new GaN Schottky barrier diode employing a trench structure, which is proposed and fabricated, successfully decreases a forward voltage drop without sacrificing any other electric characteristics. The trench is located in the middle of Schottky contact during a mesa etch. The Schottky metal of Pt/Mo/Ti/Au is e-gun evaporated on the 300 nm-deep trench as well as the surface of the proposed GaN Schottky barrier diode. The trench forms the vertical Au Schottky contact and lateral Pt Schottky contact due to the evaporation sequence of Schottky metal. The forward voltage drops of the proposed diode and conventional one are 0.73 V and 1.25 V respectively because the metal work function (5.15 eV) of the vertical Au Schottky contact is considerably less than that of the lateral Pt Schottky contact (5.65 eV). The proposed diode exhibits the low on-resistance of 1.58 mΩ cm 2 while the conventional one exhibits 8.20 mΩ cm 2 due to the decrease of a forward voltage drop.

  14. Elimination of trench defects and V-pits from InGaN/GaN structures

    International Nuclear Information System (INIS)

    Smalc-Koziorowska, Julita; Grzanka, Ewa; Czernecki, Robert; Schiavon, Dario; Leszczyński, Mike

    2015-01-01

    The microstructural evolution of InGaN/GaN multiple quantum wells grown by metalorganic chemical vapor phase epitaxy was studied as a function of the growth temperature of the GaN quantum barriers (QBs). We observed the formation of basal stacking faults (BSFs) in GaN QBs grown at low temperature. The presence of BSFs terminated by stacking mismatch boundaries (SMBs) leads to the opening of the structure at the surface into a V-shaped trench loop. This trench may form above an SMB, thereby terminating the BSF, or above a junction between the SMB and a subsequent BSF. Fewer BSFs and thus fewer trench defects were observed in GaN QBs grown at temperatures higher than 830 °C. Further increase in the growth temperature of the GaN QBs led to the suppression of the threading dislocation opening into V-pits

  15. Groundwater suppression and surface water diversion structures applied to closed shallow land burial trenches

    International Nuclear Information System (INIS)

    Davis, E.C.; Stansfield, R.G.; Melroy, L.A.; Huff, D.D.

    1984-01-01

    Shallow depth to groundwater, surface drainage, and subsurface flow during storm events are major environmental concerns of low-level radioactive waste management operations in humid regions. At two waste disposal sites within the Oak Ridge National Laboratory (ORNL), groups of closed trenches have experienced these problems and have been shown to collect and hold water with seasonal fluctuations ranging from 1 to 2 m. In an attempt to correct these water-related problems, the older of the two sites [Solid Waste Storage Area Four (SWSA 4)] was equipped in September 1975 with asphalt lined drainage-ways designed to prevent infiltration of storm drainage from a 13.8-ha upslope catchment. At the second site (49-Trench area of SWSA 6), the entire 0.44-ha trench area was capped with a bentonite clay cover in 1976. These attempts have not corrected the water problems. In September 1983, engineered drainage projects were initiated at both the disposal sites. The SWSA 4 project was designed to divert surface runoff and shallow subsurface flow which originates upslope of the site away from the disposal area. The second project, a passive French drain constructed in SWSA 6, was aimed strictly at suppressing the site water table, thus preventing its intersection with the bottoms of disposal trenches. Postconstruction monitoring for performance evaluation has shown that the water table in the 49-Trench area has been suppressed to a depth > 4.9 m below the ground surface over 50% of the site as compared to a depth of only 2.1 m for certain parts of the same area observed during seasonally wet months prior to drain construction. The SWSA 4 project evaluation indicates that 56% of the Winter-Spring 1984 runoff was diverted around SWSA 4 via the drainage system

  16. Unreviewed Disposal Question Evaluation: Waste Disposal in Engineered Trenches 3 and 4

    Energy Technology Data Exchange (ETDEWEB)

    Butcher, T. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Hamm, L. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Flach, G. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL)

    2017-12-12

    Revision 0 of this UDQE addressed the proposal to place Engineered Trench #3 (ET#3) in the footprint designated for Slit Trench #12 (ST#12) and operate using ST#12 disposal limits. Similarly, Revision 1 evaluates whether ET#4 can be located in and operated to Slit Trench #13 (ST#13) disposal limits. Both evaluations conclude that the proposed operations result in an acceptably small risk of exceeding a SOF of 1.0 and approve these actions from a performance assessment (PA) perspective. Because ET#3 will be placed in the location previously designated for ST#12, Solid Waste Management (SWM) requested that the Savannah River National Laboratory (SRNL) determine if the ST#12 limits could be employed as surrogate disposal limits for ET#3 operations. SRNL documented in this Unreviewed Disposal Question Evaluation (UDQE) that the use of ST#12 limits as surrogates for the new ET#3 disposal unit will provide reasonable assurance that Department of Energy (DOE) 435.1 performance objectives and measures (USDOE, 1999) will be protected. Therefore, new ET#3 inventory limits as determined by a Special Analysis (SA) are not required.

  17. The potential influence of subduction zone polarity on overriding plate deformation, trench migration and slab dip angle

    NARCIS (Netherlands)

    Schellart, W. P.

    2007-01-01

    A geodynamic model exists, the westward lithospheric drift model, in which the variety of overriding plate deformation, trench migration and slab dip angles is explained by the polarity of subduction zones. The model predicts overriding plate extension, a fixed trench and a steep slab dip for

  18. Report of exploratory trenching for the Decontamination and Waste Treatment Facility at Lawrence Livermore National Laboratory, Livermore, California

    International Nuclear Information System (INIS)

    Dresen, M.D.; Weiss, R.B.

    1985-12-01

    Three exploratory trenches, totaling about 1,300 ft in length were excavated and logged across the site of a proposed Decontamination and Waste Treatment Facility (DWTF), to assess whether or not active Greenville fault zone, located about 4100 ft to the northeast, pass through or within 200 ft of the site. The layout of the trenches (12-16 ft deep) was designed to provide continuous coverage across the DWTF site and an area within 200 ft northeast and southwest of the site. Deposits exposed in the trench walls are primarily of clay, and are typical of weakly cemented silty sand to sandy silt with the alluvial deposits in the area. Several stream channels were encountered that appear to have an approximated east-west orintation. The channel deposits consist of well-sorted, medium to coarse-grained sand and gravel. A well-developed surface soil is laterally continuous across all three trenches. The soil reportedly formed during late Pleistocene time (about 35,000 to 40,000 yr before present) based on soil stratigraphic analyses. A moderately to well-developed buried soil is laterally continuous in all three trenches, except locally where it has been removed by channelling. This buried soil apparently formed about 100,000 yr before present. At least one older, discontinuous soil is present below the 100,000-yr-old soil in some locations. The age of the older soil is unknown. At several locations, two discontinuous buried soils were observed between the surface soil and the 100,000-yr-old soil. Various overlapping stratigraphic units could be traced across the trenches providing a continuous datum of at least 100,000 yr to assess the presence or absence of faulting. The continuity of stratigraphic units in all the trenches demonstrated that no active faults pass through or within 200 ft of the proposed DWTF site

  19. Corrrective action decision document for the Cactus Spring Waste Trenches (Corrective Action Unit No. 426). Revision No. 1

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-06-01

    The Corrective Action Decision Document (CADD) for the Cactus Spring Waste Trenches (Corrective Action Unit [CAU] No. 426) has been prepared for the US Department of Energy`s (DOE) Nevada Environmental Restoration Project. This CADD has been developed to meet the requirements of the Federal Facility Agreement and Consent Order (FFACO) of 1996, stated in Appendix VI, {open_quotes}Corrective Action Strategy{close_quotes} (FFACO, 1996). The Cactus Spring Waste Trenches Corrective Action Site (CAS) No. RG-08-001-RG-CS is included in CAU No. 426 (also referred to as the {open_quotes}trenches{close_quotes}); it has been identified as one of three potential locations for buried, radioactively contaminated materials from the Double Tracks Test. The trenches are located on the east flank of the Cactus Range in the eastern portion of the Cactus Spring Ranch at the Tonopah Test Range (TTR) in Nye County, Nevada, on the northern portion of Nellis Air Force Range. The TTR is approximately 225 kilometers (km) (140 miles [mi]) northwest of Las Vegas, Nevada, by air and approximately 56 km (35 mi) southeast of Tonopah, Nevada, by road. The trenches were dug for the purpose of receiving waste generated during Operation Roller Coaster, primarily the Double Tracks Test. This test, conducted in 1963, involved the use of live animals to assess the biological hazards associated with non-nuclear detonation of plutonium-bearing devices (i.e., inhalation uptake of plutonium aerosol). The CAS consists of four trenches that received solid waste and had an overall impacted area of approximately 36 meters (m) (120 feet [ft]) long x 24 m (80 ft) wide x 3 to 4.5 m (10 to 15 ft) deep. The average depressions at the trenches are approximately 0.3 m (1 ft) below land surface.

  20. Advocacy for Kids: A View from the Residential Trenches.

    Science.gov (United States)

    Parsons, Jon R.

    1995-01-01

    Presents the concept of advocacy in the trenches, wherein residential care staff intercede with and for dysfunctional families, dysfunctional children, and the bureaucracy. This advocacy emphasizes individualized treatment and case-by-case networking, focusing not on broad causes but on what is in the best interest of each child. (ET)

  1. Nonlinear Dynamic Behavior of a Bi-Axial Torsional MEMS Mirror with Sidewall Electrodes

    Directory of Open Access Journals (Sweden)

    Mehmet Ozdogan

    2016-03-01

    Full Text Available Nonlinear dynamic responses of a Micro-Electro-Mechanical Systems (MEMS mirror with sidewall electrodes are presented that are in close agreement with previously-reported experimental data. An analysis of frequency responses reveals softening behavior, and secondary resonances originated from the dominant quadratic nonlinearity. The quadratic nonlinearity is an electromechanical coupling effect caused by the electrostatic force. This effect is reflected in our mathematical model used to simulate the dynamic response of the micro-mirror. The effects of increased forcing and decreased damping on the frequency response are investigated as the mirrors are mostly used in vacuum packages. The results can predict MEMS mirror behaviors in optical devices better than previously-reported models.

  2. Dose and risk assessment of norm Contaminated waste released from trench disposal facility

    International Nuclear Information System (INIS)

    Abdel Geleel, M.; Ramadan, A.B.; Tawfik, A.A.

    2005-01-01

    Oil and gas extraction and processing operations accumulate naturally occurring radioactive material (NORM) at concentrations above normal in by-product waste streams. The petroleum industry adopted methods for managing of NORM that are more restrictive than past practices and are likely to provide greater isolation of the radioactivity. Trench was used as a disposal facility for NORM contaminated wastes at one site of the petroleum industry in Egypt. The aim of this work is to calculate the risk and dose assessment received from trench disposal facility directly and after closure (1000 year). RESRAD computer code was used. The results indicated that the total effective dose (TED) received after direct closure of trench disposal facility was 7.7E-4 mSv/y while after 1000 years, it will he 3.4E-4. The health cancer risk after direct closure was 3.3E-8 while after 1000 years post closure it was 6E-8. Results of this assessment will help examine policy issues concerning different options and regulation of NORM contaminated waste generated by petroleum industry

  3. Performance Analysis of Trench Power MOSFETs in High-Frequency Synchronous Buck Converter Applications

    Directory of Open Access Journals (Sweden)

    Yali Xiong

    2008-01-01

    Full Text Available This paper investigates the performance perspectives and theoretical limitations of trench power MOSFETs in synchronous rectifier buck converters operating in the MHz frequency range. Several trench MOSFET technologies are studied using a mixed-mode device/circuit modeling approach. Individual power loss contributions from the control and synchronous MOSFETs, and their dependence on switching frequency between 500 kHz and 5 MHz are discussed in detail. It is observed that the conduction loss contribution decreases from 40% to 4% while the switching loss contribution increases from 60% to 96% as the switching frequency increases from 500 KHz to 5 MHz. Beyond 1 MHz frequency there is no obvious benefit to increase the die size of either SyncFET or CtrlFET. The RDS(ON×QG figure of merit (FOM still correlates well to the overall converter efficiency in the MHz frequency range. The efficiency of the hard switching buck topology is limited to 80% at 2 MHz and 65% at 5 MHz even with the most advanced trench MOSFET technologies.

  4. Special Analysis: Updated Analysis of the Effect of Wood Products on Trench Disposal Limits at the E-Area Low-Level Waste Facility

    International Nuclear Information System (INIS)

    Cook, J.R.

    2001-01-01

    This Special Analysis (SA) develops revised radionuclide inventory limits for trench disposal of low-level radioactive waste in the presence of wood products in the E-Area Low-Level Waste Facility. These limits should be used to modify the Waste Acceptance Criteria (WAC) for trench disposal. Because the work on which this SA is based employed data from tests using 100 percent wood products, the 40 percent limitation on wood products for trench (i.e., slit or engineered trench) disposal is not needed in the modified WAC

  5. Sulfate Deposition in Regolith Exposed in Trenches on the Plains Between the Spirit Landing Site and Columbia Hills in Gusev Crater, Mars

    Science.gov (United States)

    Wang, Alian; Haskin, L. A.; Squyres, S. W.; Arvidson, R.; Crumpler, L.; Gellert, R.; Hurowitz, J.; Schroeder, C.; Tosca, N.; Herkenhoff, K.

    2005-01-01

    During its exploration within Gusev crater between sol 01 and sol 158, the Spirit rover dug three trenches (Fig. 1) to expose the subsurface regolith [1, 2, 9]. Laguna trench (approx. 6 cm deep, approx.203 m from the rim of Bonneville crater) was dug in Laguna Hollow at the boundary of the impact ejecta from Bonneville crater and the surrounding plains. The Big Hole trench (approx. 6-7 cm deep) and The Boroughs trench (approx. 11 cm deep) were dug in the plains between the Bonneville crater and the Columbia Hills (approx.556 m and approx.1698 m from the rim of Bonneville crater respectively). The top, wall and floor regolith of the three trenches were investigated using the entire set of Athena scientific instruments [10].

  6. Formation of metal and dielectric liners using a solution process for deep trench capacitors.

    Science.gov (United States)

    Ham, Yong-Hyun; Kim, Dong-Pyo; Baek, Kyu-Ha; Park, Kun-Sik; Kim, Moonkeun; Kwon, Kwang-Ho; Shin, Hong-Sik; Lee, Kijun; Do, Lee-Mi

    2012-07-01

    We demonstrated the feasibility of metal and dielectric liners using a solution process for deep trench capacitor application. The deep Si trench via with size of 10.3 microm and depth of 71 microm were fabricated by Bosch process in deep reactive ion etch (DRIE) system. The aspect ratio was about 7. Then, nano-Ag ink and poly(4-vinylphenol) (PVPh) were used to form metal and dielectric liners, respectively. The thicknesses of the Ag and PVPh liners were about 144 and 830 nm, respectively. When the curing temperature of Ag film increased from 120 to 150 degrees C, the sheet resistance decreased rapidly from 2.47 to 0.72 Omega/sq and then slightly decreased to 0.6 Omega/sq with further increasing the curing temperature beyond 150 degrees C. The proposed liner formation method using solution process is a simple and cost effective process for the high capacity of deep trench capacitor.

  7. Structure-based capacitance modeling and power loss analysis for the latest high-performance slant field-plate trench MOSFET

    Science.gov (United States)

    Kobayashi, Kenya; Sudo, Masaki; Omura, Ichiro

    2018-04-01

    Field-plate trench MOSFETs (FP-MOSFETs), with the features of ultralow on-resistance and very low gate–drain charge, are currently the mainstream of high-performance applications and their advancement is continuing as low-voltage silicon power devices. However, owing to their structure, their output capacitance (C oss), which leads to main power loss, remains to be a problem, especially in megahertz switching. In this study, we propose a structure-based capacitance model of FP-MOSFETs for calculating power loss easily under various conditions. Appropriate equations were modeled for C oss curves as three divided components. Output charge (Q oss) and stored energy (E oss) that were calculated using the model corresponded well to technology computer-aided design (TCAD) simulation, and we validated the accuracy of the model quantitatively. In the power loss analysis of FP-MOSFETs, turn-off loss was sufficiently suppressed, however, mainly Q oss loss increased depending on switching frequency. This analysis reveals that Q oss may become a significant issue in next-generation high-efficiency FP-MOSFETs.

  8. Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography

    International Nuclear Information System (INIS)

    Woldering, Leon A; Tjerkstra, R Willem; Vos, Willem L; Jansen, Henri V; Setija, Irwan D

    2008-01-01

    We report on the fabrication of periodic arrays of deep nanopores with high aspect ratios in crystalline silicon. The radii and pitches of the pores were defined in a chromium mask by means of deep UV scan and step technology. The pores were etched with a reactive ion etching process with SF 6 , optimized for the formation of deep nanopores. We have realized structures with pitches between 440 and 750 nm, pore diameters between 310 and 515 nm, and depth to diameter aspect ratios up to 16. To the best of our knowledge, this is the highest aspect ratio ever reported for arrays of nanopores in silicon made with a reactive ion etching process. Our experimental results show that the etching rate of the nanopores is aspect-ratio-dependent, and is mostly influenced by the angular distribution of the etching ions. Furthermore we show both experimentally and theoretically that, for sub-micrometer structures, reducing the sidewall erosion is the best way to maximize the aspect ratio of the pores. Our structures have potential applications in chemical sensors, in the control of liquid wetting of surfaces, and as capacitors in high-frequency electronics. We demonstrate by means of optical reflectivity that our high-quality structures are very well suited as photonic crystals. Since the process studied is compatible with existing CMOS semiconductor fabrication, it allows for the incorporation of the etched arrays in silicon chips

  9. Plastic pollution of the Kuril-Kamchatka Trench area (NW pacific)

    Science.gov (United States)

    Fischer, Viola; Elsner, Nikolaus O.; Brenke, Nils; Schwabe, Enrico; Brandt, Angelika

    2015-01-01

    During the German-Russian expedition KuramBio (Kuril-Kamchatka Biodiversity Studies) to the northwest Pacific Kuril-Kamchatka Trench and its adjacent abyssal plain, we found several kinds and sizes of plastic debris ranging from fishing nets and packaging to microplastic in the sediment of the deep-sea floor. Microplastics were ubiquitous in the smaller fractions of the box corer samples from every station from depths between 4869 and 5766 m. They were found on the abyssal plain and in the sediments of the trench slope on both sides. The amount of microplastics differed between the stations, with lowest concentration of 60 pieces per m2 and highest concentrations of more than 2000 pieces per m2. Around 75% of the microplastics (defined here as particles plastic debris we found, as a documentation of human impact into the deep sea of this region of the Northwest Pacific.

  10. Preliminary Hazard Classification for the 116-N-3 Crib and Trench Remediation Activities

    International Nuclear Information System (INIS)

    Adam, W. J.

    1999-01-01

    The purpose of this document is to provide a preliminary hazard classification (PHC) for the remediation of the 116-N-3 crib and trench, also known as the 1325-N crib and trench, which are located within the 100-NR-1 Operable Unit of the Hanford Site's 100-N Area. In addition to the work scope described below, current planning also includes removal of some of the pipelines located downstream from the 13 15-N valve box and sampling of other pipelines to determine if remedial actions goals have been met and the pipelines can be left in place

  11. Permeability of covers over low-level radioactive-waste burial trenches, West Valley, Cattaraugus County, New York. Water resources investigations (final) 1977-78

    International Nuclear Information System (INIS)

    Prudic, D.E.

    1980-09-01

    Gas pressure in the unsaturated parts of radioactive waste burial trenches responds to fluctuations in atmospheric pressure. Measurements of atmospheric pressure and the differential pressure between the trench gas and the atmosphere on several dates in 1977-78 were used to calculate hydraulic conductivity of the reworked silty-clay till that covers the trenches. Generally the hydraulic conductivity of covers over trenches that had a history of rapidly rising water levels are higher, at least seasonally, than covers over trenches in which the water level remained low. This supports the hypothesis that recharge occurs through the cover, presumably through fractures caused by desiccation and (or) subsidence. Hydraulic conductivities of the cover as calculated from gas- and air-pressure measurements at several trenches were 100 to 1,000 times greater than those calculated from the increase in water levels in the trenches. This difference suggests that the values obtained from the air- and gas-pressure measurements need to be adjusted and at present are not directly usable in ground-water flux calculations. The difference in magnitude of values may be caused by rapidly decreasing hydraulic conductivity during periods of recharge or by the clogging of fractures with sediment washed in by runoff

  12. Evaluation of polyethylene glycol/polylactic acid films in the prevention of adhesions in the rabbit adhesion formation and reformation sidewall models.

    Science.gov (United States)

    Rodgers, K; Cohn, D; Hotovely, A; Pines, E; Diamond, M P; diZerega, G

    1998-03-01

    To assess the efficacy of bioresorbable films consisting of various polyethylene glycol 6000 and polylactic acid block copolymers on the formation and reformation of adhesions in rabbit models of adhesion development between the sidewall to the adjacent cecum and bowel. The composition of the different polymers was expressed by the number of monomeric units in the block, namely, ethylene oxide (EO) and lactic acid (LA), respectively. Studies of the efficacy of EO/LA films were conducted in rabbit sidewall adhesion formation studies in the presence and absence of blood and in rabbit adhesion reformation studies. REPEL (Life Medical Sciences, Edison, NJ), a film of EO/LA ratio 3.0 manufactured under commercial conditions, was also tested in these animal models. University-based laboratory. New Zealand white rabbits. Placement of films of various EO/LA ratios at the site of injury to the parietal peritoneum. Adhesion formation and reformation. Films of various EO/LA ratios, Seprafilm (Genzyme, Cambridge, MA) and Interceed (Johnson and Johnson Medical, Arlington, TX) placed over an area of excised sidewall at the time of initial injury were highly efficacious in the prevention of adhesion formation. A film of EO/LA ratio 3.7, in contrast with Interceed, was also shown to maintain maximal efficacy in the reduction of adhesion formation in the presence of blood. Further, a film of EO/LA ratio 3.0 produced under commercial conditions, REPEL, was highly efficacious in reducing adhesion development in the rabbit models of adhesion and reformation. These studies suggest that bioresorbable EO/LA films reduced adhesion development in rabbit models of adhesion formation and reformation.

  13. Remedial action planning for Trench 1

    International Nuclear Information System (INIS)

    Primrose, A.; Sproles, W.; Burmeister, M.; Wagner, R.; Law, J.; Greengard, T.; Castaneda, N.

    1998-01-01

    The accelerated action to remove the depleted uranium chips and associated soils and wastes from Trench 1 at the Rocky Flats Environmental Technology Site (RFETS) will begin in June 1998. To ensure that the remedial action is conducted safely, a rigorous and disciplined planning process was followed that incorporates the principles of Integrated Safety Management and Enhanced Work Planning. Critical to the success of the planning was early involvement of project staff (salaried and hourly) and associated technical support groups and disciplines. Feedback was and will continue to be solicited, and lessons learned incorporated to ensure the safe remediation of this site

  14. Monitored Retrievable Storage conceptual system study: cask-in-trench

    International Nuclear Information System (INIS)

    1983-11-01

    This report provides a description of the Cask-in-Trench Storage Concept which meets a specified set of requirements; an estimate of the costs of construction, operation and decommissioning of the concept; the costs required to expand the facility throughput and storage capability; and the life cycle costs of the facility. 22 figures, 34 tables

  15. The Incident in Trench A - Follow-up and Lessons

    CERN Multimedia

    Olga Beltramello; Peter Jenni

    An incident happened on 12th October during the dismounting of the HF truck platform in Trench A in the ATLAS cavern. Nobody was injured or in direct danger to be hurt, thanks in particular to the vigilance of the lifting operation crew. However, there is ample matter to reflect upon, and to recall safety measures applicable at any time in the cavern. What happened? During the lifting operation of a lateral platform from the orange HF Truck, used for example for the calorimeter assembly, one of the ~7 m long pillars fell on the access stairs into the ATLAS detector (sector 13). The standard operation to dismount the platform consisted in first unbolting the platform from its support pillars and removing it. Then, after securing a given pillar with the crane, this pillar is unbolted from the floor and removed. By mistake, this pillar was not bolted onto the floor, and fell when the platform was removed. Nobody was present in the trench during the lifting operation and the fall of the pillar. The contractor in...

  16. Space and military radiation effects in silicon-on-insulator devices

    International Nuclear Information System (INIS)

    Schwank, J.R.

    1996-09-01

    Advantages in transient ionizing and single-event upset (SEU) radiation hardness of silicon-on-insulator (SOI) technology spurred much of its early development. Both of these advantages are a direct result of the reduced charge collection volume inherent to SOI technology. The fact that SOI transistor structures do not include parasitic n-p-n-p paths makes them immune to latchup. Even though considerable improvement in transient and single-event radiation hardness can be obtained by using SOI technology, there are some attributes of SOI devices and circuits that tend to limit their overall hardness. These attributes include the bipolar effect that can ultimately reduce the hardness of SOI ICs to SEU and transient ionizing radiation, and charge buildup in buried and sidewall oxides that can degrade the total-dose hardness of SOI devices. Nevertheless, high-performance SOI circuits can be fabricated that are hardened to both space and nuclear radiation environments, and radiation-hardened systems remain an active market for SOI devices. The effects of radiation on SOI MOS devices are reviewed

  17. Corrective action decision document for the Roller Coaster Lagoons and North Disposal Trench (Corrective Action Unit Number 404)

    International Nuclear Information System (INIS)

    1997-01-01

    The North Disposal Trench, located north of the eastern most lagoon, was installed in 1963 to receive solid waste and construction debris from the Operation Roller Coaster man camp. Subsequent to Operation Roller Coaster, the trench continued to receive construction debris and range cleanup debris (including ordnance) from Sandia National Laboratories and other operators. A small hydrocarbon spill occurred during Voluntary Corrective Action (VCA) activities (VCA Spill Area) at an area associated with the North Disposal Trench Corrective Action Site (CAS). Remediation activities at this site were conducted in 1995. A corrective action investigation was conducted in September of 1996 following the Corrective Action Investigation Plan (CAIP); the detailed results of that investigation are presented in Appendix A. The Roller Coaster Lagoons and North Disposal Trench are located at the Tonopah Test Range (TTR), a part of the Nellis Air Force Range, which is approximately 225 kilometers (140 miles) northwest of Las Vegas, Nevada, by air

  18. A New and Simple Method for Crosstalk Estimation in Homogeneous Trench-Assisted Multi-Core Fibers

    DEFF Research Database (Denmark)

    Ye, Feihong; Tu, Jiajing; Saitoh, Kunimasa

    2014-01-01

    A new and simple method for inter-core crosstalk estimation in homogeneous trench-assisted multi-core fibers is presented. The crosstalk calculated by this method agrees well with experimental measurement data for two kinds of fabricated 12-core fibers.......A new and simple method for inter-core crosstalk estimation in homogeneous trench-assisted multi-core fibers is presented. The crosstalk calculated by this method agrees well with experimental measurement data for two kinds of fabricated 12-core fibers....

  19. Geological mapping of investigation trench OL-TK19 at the Olkiluoto study site, Eurajoki, SW Finland

    Energy Technology Data Exchange (ETDEWEB)

    Eroma, E.; Nordbaeck, N.; Engstroem, J. [Geological Survey of Finland, Espoo (Finland)

    2014-12-15

    In October 2012, the geological mapping of investigation trench OL-TK19 was carried out by the Geological Survey of Finland at the Olkiluoto study site. The SE-NW trending, ca. 85 m long trench is located in the central part of the Olkiluoto Island next to investigation trenches OL-TK18 and OL-TK4. The lithology in investigation trench OL-TK19 is of heterogeneous character, with rock type varying from veined gneiss, diatexitic gneiss to pegmatitic granite, along with portions of mica gneiss and K-feldspar porphyritic gneiss. In addition, inclusions of mica gneiss, quartz gneiss and skarn are encountered. The rocks have been subjected to a multiphase ductile deformation and the trench is situated in an area where the latest ductile deformation phase, D4 prevails. The investigation trench can be divided into three domains according to its dominant deformation phase and foliation; the eastern part of the trench is dominated by the D3 deformation phase whereas the middle and western parts are dominated by the D4 deformation phase. The S3 foliation has a more ENE-WSW oriented direction whereas the S4 is trending NE-SW. In addition to this difference in orientation, the different structural signature of these two deformation types is observed, the S3 foliation is defined by smaller scaled granitic leucosome veining whereas the S4 foliation is intensely sheared and have a schistose character. During the fracture mapping, all fractures intersecting the central thread were investigated and a total of 132 fractures were recorded. The average fracture frequency 1.53 fractures/m. On the basis of fracture orientations, three fracture sets can be defined. The first set is vertical and NW-SE trending, second set strikes NE-SW with a moderate dip towards the SE and the third set is vertical and NE-SW trending. The median fracture length is 1.38 m and most fractures do not exceed 0.5 m in length, the longest measured fracture being 5.30 m in length. Fracture fillings are mostly

  20. Task plan to evaluate the effectiveness of in situ grouting of an ORNL waste burial trench with a cement-based grout

    International Nuclear Information System (INIS)

    Francis, C.W.

    1991-11-01

    This task will demonstrate the feasibility of using an in situ grouting technique with a particulate-grout formulation as a closure action to stabilize waste trenches in Solid Waste Storage Area (SWSA) 6. It also supports technology development for closure of other SWSAs. A particulate grout will be formulated using cement-bentonite and fly ash from a coal-fired power plant. The grout solids will be dry-blended, mixed with water, and injected (using ∼5 to 10 lb/in. 2 pressure) into five injection wells per trench. After 28 days for setting, soil penetration resistance and hydraulic conductivity measurements will be repeated for comparison to pregrouting measurements. The primary objective of this task is to demonstrate the feasibility and effectiveness of the in situ injection of a particulate grout into waste burial trenches. Effectiveness is defined here as increased trenched stability (characterized by trench penetration resistance tests) and decreased potential for leachate migration (characterized by hydraulic conductivity tests)

  1. Interim safety basis compliance matrix for Trenches 31 and 34

    International Nuclear Information System (INIS)

    Ames, R.R.

    1994-01-01

    The tables provided in this document identify the specific requirements and basis for the administrative controls established in the Westinghouse Hanford Company (WHC) Solid Waste Burial Ground (SWBG) Interim Safety Basis (ISB) for operation of the Project W-025, Mixed Waste Lined Landfill (Trenches 31 and 34). The tables document the necessary controls and implementing procedures to ensure compliance with the requirements of the ISB. These requirements provide a basis for future Unreviewed Safety Questions (USQ) screening of applicable procedure changes, proposed physical modifications, tests, experiments, and occurrences. Table 1 provides the SWBG interim Operational Safety Requirements administrative controls matrix. The specific assumptions and commitments used in the safety analysis documents applicable to disposal of mixed wastes in Trenches 31 and 34 are provided in Table 2. Table 3 is provided to document the potential engineered and administrative mitigating features identified in the Preliminary Hazard Analysis (PHA) for disposal of mixed waste

  2. Simulation of a Smith-Purcell free-electron laser with sidewalls: Copious emission at the fundamental frequency

    International Nuclear Information System (INIS)

    Donohue, J. T.; Gardelle, J.

    2011-01-01

    The two-dimensional theory of the Smith-Purcell free-electron laser of Andrews and Brau [H. L. Andrews and C. A. Brau, Phys. Rev. ST Accel. Beams 7, 070701 (2004)] predicts that coherent Smith-Purcell radiation can occur only at harmonics of the frequency of the evanescent wave that is resonant with the beam. A particle-in-cell simulation shows that in a three-dimensional context, where the lamellar grating has sidewalls, coherent Smith-Purcell radiation can be copiously emitted at the fundamental frequency, for a well-defined range of beam energy.

  3. Modeling film uniformity and symmetry in ionized metal physical vapor deposition with cylindrical targets

    International Nuclear Information System (INIS)

    Lu Junqing; Yang Lin; Yoon, Jae Hong; Cho, Tong Yul; Tao Guoqing

    2008-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed to investigate deposition uniformity and symmetry for cylindrical target sputtering in low pressure (below 0.1 Pa) ionized Cu physical vapor deposition. The model predictions indicate that as the distance from the cylindrical target to wafer increases, the metal film thickness becomes more uniform across the wafer and the asymmetry of the metal deposits at the wafer edge increases significantly. These trends are similar to those for planar targets. To minimize the asymmetry, the height of the cylindrical target should be kept at a minimum. For cylindrical targets, the outward-facing sidewall of the trench could receive more direct Cu fluxes than the inward-facing one when the target to wafer distance is short. The predictions also indicate that increasing the diameter of the cylindrical target could significantly reduce the asymmetry in metal deposits at the wafer edge and make the film thickness more uniform across the wafer

  4. Distributed feedback interband cascade lasers with top grating and corrugated sidewalls

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Feng [Thorlabs Quantum Electronics, 10335 Guilford Rd, Jessup, Maryland 20794, USA; Stocker, Michael [Thorlabs Quantum Electronics, 10335 Guilford Rd, Jessup, Maryland 20794, USA; Pham, John [Thorlabs Quantum Electronics, 10335 Guilford Rd, Jessup, Maryland 20794, USA; Towner, Frederick [Thorlabs Quantum Electronics, 10335 Guilford Rd, Jessup, Maryland 20794, USA; Shen, Kun [Thorlabs Quantum Electronics, 10335 Guilford Rd, Jessup, Maryland 20794, USA; Wang, Jie [Center for Nanoscale Materials, Argonne National Laboratory, 9700 S. Cass Avenue, Lemont, Illinois 60439, USA; Lascola, Kevin [Thorlabs Quantum Electronics, 10335 Guilford Rd, Jessup, Maryland 20794, USA

    2018-03-26

    Distributed feedback (DFB) interband cascade lasers (ICLs) with a 1st order top surface grating were designed and fabricated. Partially corrugated sidewalls were implemented to suppress high order lateral modes. The DFB ICLs have 4 mm long and 4.5 mu m wide ridge waveguides and are mounted epi-up on AlN submounts. We demonstrated a continuous-wave (CW) DFB ICL, from a first wafer which has a large detuning of the gain peak from the DFB wavelength, with a side mode suppression ratio of 30 dB. With proper matching of grating feedback and the gain peak wavelength for the second wafer, a DFB ICL was demonstrated with a maximum CW output power and a maximum wall plug efficiency reaching 42 mW and 2%, respectively, at 25 degrees C. The lasing wavelengths of both lasers are around 3.3 mu m at 25 degrees C. Published by AIP Publishing.

  5. ALGORITHM OF DETERMINATION OF POWER AND ENERGY INDEXES OF SCREW INTENSIFIER ON THE BULLDOZER WORKING EQUIPMENT AT TRENCH REFILLINGS

    Directory of Open Access Journals (Sweden)

    KROL R. N.

    2016-03-01

    Full Text Available Raising of problem. A bulldozer work at trench refilings is conducted by cyclic, machine shuttle motions that increases a right-of-way; increasing of time charges, fuel and labour by the side of the continuous refilling method. Besides the indicated defects gets worse also the quality of the trench refilling: the uneven soil output into a trench with large portions results the damages of pipes isolation and emptinesses formation, in consequence  settling and washing of soil. A bulldozer with the screw intensifier (SI, is deprived lacks of an odinary bulldozer  moving along a trench, it moves the loose soil that does not fall on a pipeline, but rolles on it. Thus the circuitous speed of a cutting edge of SI exceeds the speed of the base machine moving that provides the strong soil treatment (before dispersion before output into a trench. Purpose. The algorithm development of the rotational moment determination on the SI driveshaft, the consumable energy, the energy intensity and the working process productivity of the reverse trench refillings depending on physical and mechanical properties of soil, geometrical parameters of SI and bulldozer optimal speed. Conclusion. The developed algorithm allows to define that at the fixed value of the rotational speed the rotational moment and indicated efficiency of SI at the optimum speed increasing of the base machine change on a linear law; the optimum speed change of the base machine practically does not influence on the energy intensity at the considered change of the rotational speed .

  6. Changes in soil hydraulic properties caused by construction of a simulated waste trench at the Idaho National Engineering Laboratory, Idaho

    International Nuclear Information System (INIS)

    Shakofsky, S.

    1995-03-01

    In order to assess the effect of filled waste disposal trenches on transport-governing soil properties, comparisons were made between profiles of undisturbed soil and disturbed soil in a simulated waste trench. The changes in soil properties induced by the construction of a simulated waste trench were measured near the Radioactive Waste Management Complex at the Idaho National Engineering Laboratory (INEL) in the semiarid southeast region of Idaho. The soil samples were collected, using a hydraulically-driven sampler to minimize sample disruption, from both a simulated waste trench and an undisturbed area nearby. Results show that the undisturbed profile has distinct layers whose properties differ significantly, whereas the soil profile in the simulated waste trench is, by comparison, homogeneous. Porosity was increased in the disturbed cores, and, correspondingly, saturated hydraulic conductivities were on average three times higher. With higher soil-moisture contents (greater than 0.32), unsaturated hydraulic conductivities for the undisturbed cores were typically greater than those for the disturbed cores. With lower moisture contents, most of the disturbed cores had greater hydraulic conductivities. The observed differences in hydraulic conductivities are interpreted and discussed as changes in the soil pore geometry

  7. Evaluation of 1985--1986 corrective actions at ORNL liquid waste disposal trench 7

    International Nuclear Information System (INIS)

    Spalding, B.P.

    1991-04-01

    Several corrective actions were taken in 1985--1986 at the site of ORNL radioactive liquid waste seepage trench 7 in an effort to reduce the discharge of radionuclides, mostly 60 Co, from a groundwater seep on the eastern side of the site. First, the size of the asphalt cap over the trench was doubled, and cap runoff was diverted away from the site to the west. Second, the buried waste transfer line to the trench was excavated and plugged and its pipe trench was damned with clay backfill. These actions were designed to reduce groundwater recharge in the area that might be the source of water to the seep. Third, a series of grout injections was carried out at 5-ft intervals along a perimeter line on the eastern and northern edges of the site. A total of 65,500 gal of lime-fly-ash grout was injected at 303 locations at depths up to 40 ft in an effort to seal relict contaminated strata with probable hydrologic connection to the seep. However, the grout formulation specified in the contract would not set to a detectable compressive strength nor would the grout samples exhibit a reduction in hydraulic conductivity during over a year of observation. Thus, the material specification for the grout was inappropriate for the desired effect of in situ hydrologic isolation. Core sampling at the site revealed that the grout flowed into the soil formation along discrete thin layers. Only three grout layers, with a maximum thickness of 0.25 in., were found in over 90 ft of core from three locations along the grout injection line. Thus, this grouting action would have little potential to influence containment of radionuclides that leach from contaminated strata. 11 refs., 14 figs., 7 tabs

  8. A Re-Os Study of Depleted Trench Peridotites from Northern Mariana

    Science.gov (United States)

    Ghosh, T.; Snow, J. E.; Heri, A. R.; Brandon, A. D.; Ishizuka, O.

    2017-12-01

    Trench peridotites provide information about the influence of subduction initiation on the extent of mantle wedge melting. They preserve melting records throughout subduction history, and as a result, likely experience multiple melt extraction events leading to successive depletion of melt/fluid mobile major and trace elements. To track melting histories of trench peridotites, Re-Os and PGEs can be used as reliable tracers to constrain early melt extraction or re-fertilization events. The Izu-Bonin-Mariana arc, being the largest intra-oceanic subduction system, provides an excellent area to study the formation of supra-subduction zone mantle and crust. Residual peridotite (harzburgite and dunite) samples were collected by dredging from the landward slope of the northern Mariana Trench. The samples are serpentinized to various extents (typical of abyssal peridotites), leaving behind relict grains of spinel, enstatite and olivine embedded within a serpentine matrix along with occasional interstitial diopside. Major element analyses of primary minerals reveal a wide range of variations in Cr# of spinels from 0.31-0.85 indicating 16-20% of melt fraction with dunites apparently experiencing the highest amount of partial melting. For Re-Os and PGE geochemistry, samples with high amounts of spinel (>4 vol %) and variable Cr# were chosen. Initial results show that bulk rock 187Os/188Os ratios range from 0.1113 to 0.1272. All of the samples are sub-chondritic, but in some cases, they are more radiogenic than average abyssal peridotites. Os abundances vary from 1-9 ppb. Sub-chondritic values can be attributed to the samples having evolved from a Re-depleted mantle source indicating a previous melt-extraction event. The cpx-harzburgites, having lower Cr# ( 0.4) are more radiogenic than ultra depleted dunites (Cr# 0.8), which might indicate preferential removal of Os during an apparent higher degree of partial melting experienced by dunites. The higher 187Os/188Os ratios of

  9. P-wave Velocity Structure Across the Mariana Trench and Implications for Hydration

    Science.gov (United States)

    Eimer, M. O.; Wiens, D.; Lizarralde, D.; Cai, C.

    2017-12-01

    Estimates of the water flux at subduction zones remain uncertain, particularly the amount of water brought into the trench by the subducting plate. Normal faulting related to the bending of the incoming plate has been proposed to provide pathways for water to hydrate the crust and upper mantle. A passive and active source seismic experiment spanning both the incoming plate and forearc was conducted in 2012 in central Mariana to examine the role of hydration at subduction zones. The active-source component of the survey used the R/V M.G. Langsethairgun array and 68 short period sensors, including suspended hydrophones, deployed on 4 transects. This study at the Mariana trench offers a comparison to related studies of incoming plate hydration in Middle America, where differing thermal structures related to plate age predict different stability fields for hydrous minerals. The forearc structure is also of interest, since Mariana is characterized by large serpentine seamounts and may have a serpentinized mantle wedge. The velocity structure will also be important for the relocation of earthquakes in the incoming plate, since the seismicity can offer a constraint for the depth extent of these bending faults. We examine the P-wave velocity structure along a 400-km long wide-angle refraction transect perpendicular to the trench and spanning both the forearc and incoming plate. Preliminary results indicate a velocity reduction in the crust and uppermost mantle at the bending region of the incoming plate, relative to the plate's structure away from the trench. This reduction suggests that outer-rise faults extend into the upper mantle and may have promoted serpentinization of that material. Mantle Pn refraction phases are not observed in the forearc, consistent with the ambient noise tomography results that show upper-mantle velocities similar to that of the lower crust. The lack of contrast between the upper mantle and crustal velocities from the ambient noise has been

  10. Convective mixing by internal waves in the Puerto Rico Trench

    NARCIS (Netherlands)

    van Haren, H.; Gostiaux, L.

    2016-01-01

    A2.4 km long deep-sea mooringwas deployed for 14 months in the Puerto Rico Trench, the deepestpart of the Atlantic Ocean. Below its top buoyancy package, the mooring line held a 200 m long stringof high-resolution temperature sensors and a current meter. Over the instrumented range between6,004 and

  11. Bending-related faulting and mantle serpentinization at the Middle America trench.

    Science.gov (United States)

    Ranero, C R; Morgan, J Phipps; McIntosh, K; Reichert, C

    2003-09-25

    The dehydration of subducting oceanic crust and upper mantle has been inferred both to promote the partial melting leading to arc magmatism and to induce intraslab intermediate-depth earthquakes, at depths of 50-300 km. Yet there is still no consensus about how slab hydration occurs or where and how much chemically bound water is stored within the crust and mantle of the incoming plate. Here we document that bending-related faulting of the incoming plate at the Middle America trench creates a pervasive tectonic fabric that cuts across the crust, penetrating deep into the mantle. Faulting is active across the entire ocean trench slope, promoting hydration of the cold crust and upper mantle surrounding these deep active faults. The along-strike length and depth of penetration of these faults are also similar to the dimensions of the rupture area of intermediate-depth earthquakes.

  12. Heterogeneous distribution of pelagic sediments incoming the Japan Trench possibly controlling slip propagation on shallow plate boundary fault

    Science.gov (United States)

    Yamaguchi, A.; Nakamura, Y.; Fukuchi, R.; Kurano, H.; Ikehara, K.; Kanamatsu, T.; Arai, K.; Usami, K.; Ashi, J.

    2017-12-01

    Catastrophic tsunami of the 2011 Tohoku Earthquake was triggered by large coseismic slip reached to the Japan Trench axis (e.g. Fujiwara et al., 2011, Science; Kodaira et al., 2012, Nature Geoscience). Results of the IODP Expedition 343 (JFAST) suggest that small friction of smectite-rich pelagic clay caused slip propagation on shallow plate boundary fault (Ujiie et al., 2013, Science; Kameda et al., 2015, Geology; Moore et al., 2015, Geosphere). On the other hand, JAMSTEC high-resolution seismic profiles show that incoming sediments have large heterogeneities in thicknesses, and two areas of extremely thin sediments on the Pacific Plate (thickness less than 100 m) were found at around 39°N (Nakamura et al., AGU 2017, this session). To reconcile whether the smectite-rich pelagic clay even exists in these areas, we sampled surface sediments during the R/V Shinsei Maru KS-15-3 cruise. Seven piston cores were retrieved from seaward trench slope, horst, graben, and graben edge. Core lithologies are mainly diatomaceous ooze/clay including tephra layers, not resemble to pelagic clays discovered in JFAST. Ages of tephra layers were estimated by correlating mineral assemblages and refractive indices of volcanic glasses to Japanese widespread tephras. Averaged sedimentation rates of seaward trench slope, horst, graben, and graben edge are estimated to be 25-30, 6.5-20, 45, 0.9 cm/kyr, respectively. These sedimentation rates imply that sediments on seaward trench slope and horst have been deposited in the last 160-500 kyr, suggesting that entire pelagic sediments, including smectite-rich pelagic clay, have been removed by some reasons in the last 0.5 million years. Possible reason for such modification of sediment is near-trench igneous activity known as petit-spot volcanism (Hirano et al., 2006, Science). The lack of smectite-rich pelagic clay near 39°N of the Japan Trench is consistent with results of tsunami inversions proposing shallow large coseismic slip propagated

  13. Oceanographic cruise Indian Ocean and Java Trench June 1969 (NODC Accession 7100908)

    Data.gov (United States)

    National Oceanic and Atmospheric Administration, Department of Commerce — This report contains oceanographic data which was obtained aboard H.M.A.S DIAMANTINA during an oceanographic cruise in the Java Trench and the Indian Ocean during...

  14. Interim-status groundwater monitoring plan for the 216-B-63 trench

    Energy Technology Data Exchange (ETDEWEB)

    Sweeney, M.D.

    1995-02-09

    This document outlines the groundwater monitoring plan, under RCRA regulations in 40 CFR 265 Subpart F and WAC173-300-400, for the 216-B-63 Trench. This interim status facility is being sampled under detection monitoring criteria and this plan provides current program conditions and requirements.

  15. A Generic Safety Assessment Model for a Trench Type LILW Repository

    International Nuclear Information System (INIS)

    Lee, Youn-Myoung; Choi, Hee-Joo

    2015-01-01

    This program is ready for a total system performance assessment and is able to deterministically and probabilistically evaluate the nuclide release from a repository and farther transport into the geosphere and biosphere under various normal circumstances, disruptive events, and scenarios that can occur after a failure of waste packages with associated uncertainty. Despite the conceptual design of a trench type LILW repository system, all parameter values associated with the repository system were assumed for the time being, and the generic model developed through this study should be helpful because the evaluation of such releases is very important. A simple and effective model for a safety assessment of a conceptual trench repository system, in which an LILW that arises from a nuclear power plant and other sources, has been developed. The computer program based on this model has also been developed as a GoldSim template using the commercial GoldSim development tool

  16. A Generic Safety Assessment Model for a Trench Type LILW Repository

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Youn-Myoung; Choi, Hee-Joo [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2015-05-15

    This program is ready for a total system performance assessment and is able to deterministically and probabilistically evaluate the nuclide release from a repository and farther transport into the geosphere and biosphere under various normal circumstances, disruptive events, and scenarios that can occur after a failure of waste packages with associated uncertainty. Despite the conceptual design of a trench type LILW repository system, all parameter values associated with the repository system were assumed for the time being, and the generic model developed through this study should be helpful because the evaluation of such releases is very important. A simple and effective model for a safety assessment of a conceptual trench repository system, in which an LILW that arises from a nuclear power plant and other sources, has been developed. The computer program based on this model has also been developed as a GoldSim template using the commercial GoldSim development tool.

  17. Subsurface moisture regimes and tracer movement under two types of trench-cap designs for shallow land burial sites

    International Nuclear Information System (INIS)

    Perkins, B.A.; Cokal, E.J.

    1986-03-01

    The Los Alamos work has focused on proper design of shallow land burial (SLB) sites in arid and semiarid regions and on applying corrective measures to existing sites. One of the most important design features affecting the probability of movement of radionuclides in SLB sites is the type of trench cap placed over the waste. The cap influences such interdependent parameters as erosion, water infiltration and percolation, and biointrusion. To obtain experimental data for arid and semiarid sites, two different designs of trench caps, one with topsoil underlain with a cobble/gravel biobarrier and one with topsoil underlain with crushed tuff, were compared with respect to (1) seasonal changes in volumetric soil water content, and (2) downward migration of tracers emplaced directly below each type of trench cap. The causes for the large differences in concentrations found in this experiment need to be investigated further. Problems in environmental modeling and monitoring of arid and semiarid SLB sites because of heterogeneities in the soil profiles and their implications for SLB waste management need to be better understood. More work in trench-cap design and its influence on the many pathways available for mobilization is needed

  18. Double-step annealing and ambient effects on phosphorus implanted emitters in silicon

    International Nuclear Information System (INIS)

    Koji, T.; Tseng, W.F.; Mayer, J.W.; Suganuma, T.

    1979-01-01

    Emitters of npn silicon bipolar transistors have been made by a phosphorus implantation at 50 keV P + to a dose of 1 x 10 16 cm -2 . This was followed by high temperature processes to reduce lattice disorder, to drive-in the phosphorus atoms, and to form oxide layers. The first process step was carried out by using single- and double-step anneals in various ambients (dry N 2 , dry 0 2 and steam) while the drive-in and oxidation steps were common for all structures. Electrical measurements on emitter/base leakage current, low frequency (popcorn) noise and current gain showed that the annealing ambient had a major influence. The transistors with implanted emitters annealed in a dry N 2 ambient are comparable to commercial ones with thermally-diffused emitters. Transmission electron microscopy observations on samples annealed in steam ambients revealed dislocations extending into the sidewall of the emitter/base junction. This sidewell penetration of dislocations is the main origin of the degradation of the emitter/base junction characteristics. (author)

  19. A new arrangement with nonlinear sidewalls for tanker ship storage panels

    Science.gov (United States)

    Ketabdari, M. J.; Saghi, H.

    2013-03-01

    Sloshing phenomenon in a moving container is a complicated free surface flow problem. It has a wide range of engineering applications, especially in tanker ships and Liquefied Natural Gas (LNG) carriers. When the tank in these vehicles is partially filled, it is essential to be able to evaluate the fluid dynamic loads on tank perimeter. Different geometric shapes such as rectangular, cylindrical, elliptical, spherical and circular conical have been suggested for ship storage tanks by previous researchers. In this paper a numerical model is developed based on incompressible and inviscid fluid motion for the liquid sloshing phenomenon. The coupled BEM-FEM is used to solve the governing equations and nonlinear free surface boundary conditions. The results are validated for rectangular container using data obtained for a horizontal periodic sway motion. Using the results of this model a new arrangement of trapezoidal shapes with quadratic sidewalls is suggested for tanker ship storage panels. The suggested geometric shape not only has a maximum surrounded tank volume to the constant available volume, but also reduces the sloshing effects more efficiently than the existing geometric shapes.

  20. Conductive Oxides Trench Structures as Hyperbolic Metamaterials in Mid-infrared Range

    DEFF Research Database (Denmark)

    Takayama, Osamu; Shkondin, Evgeniy; Panah, Mohammad Esmail Aryaee

    ,2]. Moreover plasmonics for mid-infrared offer unique applications such as bio-sensing, thermal imaging and quest for novel materials and structures has been continuing [3]. In this report we show that vertical trench structures made of, for example, aluminum-doped ZnO (AZO) or other transparent conductive...

  1. Fire hazard analysis of the radioactive mixed waste trenchs

    International Nuclear Information System (INIS)

    McDonald, K.M.

    1995-01-01

    This Fire Hazards Analysis (FHA) is intended to assess comprehensively the risk from fire associated with the disposal of low level radioactive mixed waste in trenches within the lined landfills, provided by Project W-025, designated Trench 31 and 34 of the Burial Ground 218-W-5. Elements within the FHA make recommendations for minimizing risk to workers, the public, and the environment from fire during the course of the operation's activity. Transient flammables and combustibles present that support the operation's activity are considered and included in the analysis. The graded FHA contains the following elements: description of construction, protection of essential safety class equipment, fire protection features, description of fire hazards, life safety considerations, critical process equipment, high value property, damage potential--maximum credible fire loss (MCFL) and maximum possible fire loss (MPFL), fire department/brigade response, recovery potential, potential for a toxic, biological and/or radiation incident due to a fire, emergency planning, security considerations related to fire protection, natural hazards (earthquake, flood, wind) impact on fire safety, and exposure fire potential, including the potential for fire spread between fire areas. Recommendations for limiting risk are made in the text of this report and printed in bold type. All recommendations are repeated in a list in Section 18.0

  2. Site-selective dopant profiling of p-n junction specimens in the dual-beam FIB/SEM system

    International Nuclear Information System (INIS)

    Chee, K W A; Beanland, R; Midgley, P A; Humphreys, C J

    2010-01-01

    Results from site-specific dopant profiling in a dual-beam FIB/SEM system are reported. Si specimens containing p-n junctions were milled using Ga + ion beam energies ranging from 30 keV to 2 keV, and analysed in situin the vacuum chamber. We compare the dopant contrast observed when milling a cleaved surface to that obtained from a side-wall of a trench cut using 30 kV Ga + ions, and using successively lower ion beam energies. The latter technique is suitable for site-specific dopant profiling. We find that lower energy ion beam milling significantly improves contrast, but only achieves 50 % of that observed on a freshly-cleaved surface. Furthermore, the contrast on a side-wall previously milled using high energy Ga + ions is less than that of a cleaved surface subjected to the same ion beam energy.

  3. Source of high tsunamis along the southernmost Ryukyu trench inferred from tsunami stratigraphy

    Science.gov (United States)

    Ando, Masataka; Kitamura, Akihisa; Tu, Yoko; Ohashi, Yoko; Imai, Takafumi; Nakamura, Mamoru; Ikuta, Ryoya; Miyairi, Yosuke; Yokoyama, Yusuke; Shishikura, Masanobu

    2018-01-01

    Four paleotsunamis deposits are exposed in a trench on the coastal lowland north of the southern Ryukyu subduction zone trench. Radiocarbon ages on coral and bivalve shells show that the four deposits record tsunamis date from the last 2000 yrs., including a historical tsunami with a maximum run-up of 30 m in 1771, for an average recurrence interval of approximately 600 yrs. Ground fissures in a soil beneath the 1771 tsunami deposit may have been generated by stronger shaking than recorded by historical documents. The repeated occurrence of the paleotsunami deposits supports a tectonic source model on the plate boundary rather than a nontectonic source model, such as submarine landslides. Assuming a thrust model at the subduction zone, the seismic coupling ratio may be as low as 20%.

  4. Effect of radical species density and ion bombardment during ashing of extreme ultralow-κ interlevel dielectric materials

    International Nuclear Information System (INIS)

    Worsley, M. A.; Bent, S. F.; Fuller, N. C. M.; Tai, T. L.; Doyle, J.; Rothwell, M.; Dalton, T.

    2007-01-01

    The significance of ion impact and radical species density on ash-induced modification of an extreme ultralow-κ interlevel dielectric (ILD) material (κ 2 and Ar/N 2 dual frequency capacitive discharges is determined by combining plasma diagnostics, modeling of the ion angular distribution function, and material characterization such as angle resolved x-ray photoelectron spectroscopy. Radical species density was determined by optical emission actinometry under the same conditions and in the same reactor in a previous study by the present authors. ILD modification is observed and correlated with changes in the plasma for a range of pressures (5-60 mTorr), bias powers (0-350 W), and percent Ar in the source gas (0%, 85%). For the Ar/O 2 discharge, extensive modification of the ILD sidewall was observed for significant ion scattering conditions, whereas minimal modification of the ILD sidewall was observed under conditions of minimal or no ion scattering. Further, for an identical increase in the O-radical density (∼ an order of magnitude), a different degree of modification was induced at the ILD trench bottom surface depending on whether pressure or percent Ar was used to increase the radical density. The different degrees of modification seemingly correlated with the relative changes in the ion current for increasing pressure or percent Ar. For the Ar/N 2 discharge, reduced damage of the ILD sidewall and trench bottom surfaces was observed for increasing pressure (increasing N-radical density) and decreasing ion current to both surfaces. It is, thus, proposed that the mechanism for modification of the porous ILD is dominated by the creation of reactive sites by ion impact under the present conditions. A detailed discussion of the results which support this proposal is presented

  5. Determining RUSLE P-factors for stonebunds and trenches in rangeland and cropland, Northern Ethiopia

    Science.gov (United States)

    Taye, Gebeyehu; Poesen, Jean; Vanmaercke, Matthias; Van Wesemael, Bas; Tesfay, Samuel; Teka, Daniel; Nyssen, Jan; Deckers, Jozef; Haregeweyn, Nigussie

    2017-04-01

    The implementation of soil and water conservation (SWC) measures in the Ethiopian highlands is a top priority to reduce soil erosion rates and to enhance the sustainability of agroecosystem. Nonetheless, the effectiveness of many of these measures for different hillslope and land use conditions remains currently poorly understood. As a result, the overall effects of these measures at regional or catchment scale remain hard to quantify. This study addresses this knowledge gap by determining the cover-management (C) and support practice (P) factors of the Revised Universal Soil Loss Equation (RUSLE), for commonly used SWC measures in semi-arid environments (i.e. stone bunds, trenches and a combination of both). Calculations were based on soil loss data collected with runoff plots in Tigray, northern Ethiopia (i.e. 21 runoff plots of 600 to 1000 m2, monitored during 2010, 2011 and 2012). The runoff plots were installed in rangeland and cropland sites corresponding to a gentle (5%), medium (12%) and steep (16%) slope gradients. The C and P factors of the RUSLE were calculated following the recommended standard procedures. Results show that the C-factor for rangeland ranges from 0.31 to 0.98 and from 0.06 to 0.39 for cropland. For rangeland, this large variability is due to variations in vegetation cover caused by grazing. In cropland, C-factors vary with tillage practices and crop types. The calculated P-factors ranged from 0.32 to 0.74 for stone bunds, from 0.07 to 0.65 for trenches and from 0.03 to 0.22 for a combination of both stone bunds and trenches. This variability is partly due to variations in the density of the implemented measures in relation to land use (cropland vs rangeland) and slope angles. However, also annual variations in P factor values are highly significant. Especially trenches showed a very significant decline of effectiveness over time, which is attributable to their reduced static storage capacity as a result of sediment deposition (e.g. for

  6. Design of homogeneous trench-assisted multi-core fibers based on analytical model

    DEFF Research Database (Denmark)

    Ye, Feihong; Tu, Jiajing; Saitoh, Kunimasa

    2016-01-01

    We present a design method of homogeneous trench-assisted multicore fibers (TA-MCFs) based on an analytical model utilizing an analytical expression for the mode coupling coefficient between two adjacent cores. The analytical model can also be used for crosstalk (XT) properties analysis, such as ...

  7. The Characteristics of Turbidite Beds of Southwest Ryukyu Trench Floor: A new Approach From the X-ray Fluorescence Core Scanning Analysis

    Science.gov (United States)

    Hsiung, K. H.; Kanamatsu, T.; Ikehara, K.; Usami, K.; Saito, S.; Murayama, M.

    2017-12-01

    The southwest Ryukyu Trench near Taiwan is an ideal place for source-to-sink studies based on the distinctive sediment transport route between the terrestrial sediment source in Taiwan and the marine sink in the Ryukyu Trench. Using the bathymetric and seismic reflection data, we develop a sediment transport routes for understanding the ultimate sink of the southwest Ryukyu Trench floor. The southwest Ryukyu Trench floor can be regarded as the most distal depositional basin and isolated from the Ryukyu forearc basins. In addition, part of sediment from the proximal sources of the Ryukyu Islands and Yaeyama accretionary prism could be transported to the trench floor. We collected the piston core, PC04, from the southwest Ryukyu Trench floor of 6,147 m water depth in 3.23 m core length from cruise KR15-18, 2015. The coring site locates behind the natural levee of an obvious channel in the Ryukyu trench floor. The PC04 is composed of gray silty clay interbedded with numerous silt layers. Most of the silt layers are less than 2 cm in thickness. Based upon the core observation, X-ray fluorescence core scanning analysis and 14C age determinations, thirty-seven individual and thin beds were determined as turbidites. The results of X-ray fluorescence core scanning analysis provide continuous and high-resolution (1.0 mm of each point) assessment of relative change in the elemental ratios. Ca/Fe is a proxy for the terrigenous component of the sediment, indicating the High Ca and low Fe of each turbidite layers. Zr/Rb ratios of the marine sediments commonly used in the reflection of the original grain size variation. A large part of deep-sea turbidite beds are characterized by high Ca/Fe and Zr/Rb ratio values. These turbidite beds can be linked spatially over a distance of ˜200 km via submarine canyons within the Taiwan orogen. However, it is difficult to be linked temporally to certain events.

  8. In-situ stabilization of radioactively contaminated low-level solid wastes buried in shallow trenches: an assessment

    International Nuclear Information System (INIS)

    Arora, H.S.; Tamura, T.; Boegly, W.J.

    1980-09-01

    The potential effectiveness of materials for in-situ encapsulation of low-level, radioactively contaminated solid waste buried in shallow trenches is enumerated. Cement, clay materials, and miscellaneous sorbents, aqueous and nonaqueous gelling fluids and their combinations are available to solidify contaminated free water in trenches, to fill open voids, and to minimize radionuclide mobility. The success of the grouting technique will depend on the availability of reliable geohydrologic data and laboratory development of a mix with enhanced sorption capacity for dominant radionuclides present in the trenches. A cement-bentonite-based grout mix with low consistency for pumping, several hours controlled rate of hardening, negligible bleeding, and more than 170 kPa (25 psi) compressive strength are a few of the suggested parameters in laboratory mix development. Cost estimates of a cement-bentonite-based grout mix indicate that effective and durable encapsulation can be accomplished at a reasonable cost (about $113 per cubic meter). However, extensive implementation of the method suggests the need for a field demonstration of the method. 53 references

  9. Flow and transport at the Las Cruces trench site: Experiment IIb

    Energy Technology Data Exchange (ETDEWEB)

    Vinson, J.; Hills, R.G. [New Mexico State Univ., Las Cruces, NM (United States); Wierenga, P.J.; Young, M.H. [Arizona Univ., Tucson, AZ (United States). Dept. of Soil and Water Science

    1997-07-01

    The US Nuclear Regulatory Commission (NRC) has been directed by Congress in the Low Level Waste Policy Act of 1980 to develop regulatory guidance and assist the individual states and compacts in siting and assessing future low level radioactive waste (LLW) disposal facilities. Three water flow and solute transport experiments were performed as part of a comprehensive field trench study near Las Cruces, New Mexico to test deterministic and stochastic models of vadose zone flow and transport. This report presents partial results from the third experiment (experiment IIb). Experiments IIa and b were conducted on the North side of the trench, on a plot 1.22 m wide by 12 m long, perpendicular to the trench. The area was drip irrigated during two time periods with water containing a variety of tracers. The advance of the water front during the two irrigation episodes was measured with tensiometers and neutron probes. Solute front positions were determined from soil solution sampling through suction samplers and from disturbed sampling. The results from experiment IIb show predominantly downward water movement through the layered unsaturated soil, as evidenced from neutron probe data and gravimetric sampling. Tritium plumes were only half as deep and half as wide as the water plumes at 310 days after the beginning of experiment IIb. Chromium, applied as Cr(VI), moved a readily as, and similar to tritium, but there was a loss of mass due to reduction of Cr(VI) to Cr(III). Chloride and nitrate, initially present at high concentrations in the soil solution, were displaced by the low concentration irrigation water, resulting in chloride and nitrate concentration distributions that looked like negative images of the tritium distributions. The extensive data presented should serve well as a data base for model testing.

  10. Flow and transport at the Las Cruces trench site: Experiment IIb

    International Nuclear Information System (INIS)

    Vinson, J.; Hills, R.G.; Wierenga, P.J.; Young, M.H.

    1997-07-01

    The US Nuclear Regulatory Commission (NRC) has been directed by Congress in the Low Level Waste Policy Act of 1980 to develop regulatory guidance and assist the individual states and compacts in siting and assessing future low level radioactive waste (LLW) disposal facilities. Three water flow and solute transport experiments were performed as part of a comprehensive field trench study near Las Cruces, New Mexico to test deterministic and stochastic models of vadose zone flow and transport. This report presents partial results from the third experiment (experiment IIb). Experiments IIa and b were conducted on the North side of the trench, on a plot 1.22 m wide by 12 m long, perpendicular to the trench. The area was drip irrigated during two time periods with water containing a variety of tracers. The advance of the water front during the two irrigation episodes was measured with tensiometers and neutron probes. Solute front positions were determined from soil solution sampling through suction samplers and from disturbed sampling. The results from experiment IIb show predominantly downward water movement through the layered unsaturated soil, as evidenced from neutron probe data and gravimetric sampling. Tritium plumes were only half as deep and half as wide as the water plumes at 310 days after the beginning of experiment IIb. Chromium, applied as Cr(VI), moved a readily as, and similar to tritium, but there was a loss of mass due to reduction of Cr(VI) to Cr(III). Chloride and nitrate, initially present at high concentrations in the soil solution, were displaced by the low concentration irrigation water, resulting in chloride and nitrate concentration distributions that looked like negative images of the tritium distributions. The extensive data presented should serve well as a data base for model testing

  11. Sustainable sanitary landfills for neglected small cities in developing countries: The semi-mechanized trench method from Villanueva, Honduras

    Energy Technology Data Exchange (ETDEWEB)

    Oakley, Stewart M., E-mail: soakley@csuchico.edu [Department of Civil Engineering, Chico State University, California State University, Chico, CA 95929 (United States); Jimenez, Ramon, E-mail: rjimenez1958@yahoo.com [Public Works, Municipality of Villanueva, Cortes (Honduras)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Open dumping is the most common form of waste disposal in neglected small cities. Black-Right-Pointing-Pointer Semi-mechanized landfills can be a sustainable option for small cities. Black-Right-Pointing-Pointer We present the theory of design and operation of semi-mechanized landfills. Black-Right-Pointing-Pointer Villanueva, Honduras has operated its semi-mechanized landfill for 15 years. Black-Right-Pointing-Pointer The cost of operation is US$4.60/ton with a land requirement of 0.2m{sup 2}/person-year. - Abstract: Open dumping is the most common practice for the disposal of urban solid wastes in the least developed regions of Africa, Asia and Latin America. Sanitary landfill design and operation has traditionally focused on large cities, but cities with fewer than 50,000 in population can comprise from 6% to 45% of a given country's total population. These thousands of small cities cannot afford to operate a sanitary landfill in the way it is proposed for large cities, where heavy equipment is used to spread and compact the waste in daily cells, and then to excavate, transport and apply daily cover, and leachate is managed with collection and treatment systems. This paper presents an alternative approach for small cities, known as the semi-mechanized trench method, which was developed in Villanueva, Honduras. In the semi-mechanized trench method a hydraulic excavator is used for 1-3 days to dig a trench that will last at least a month before it is filled with waste. Trucks can easily unload their wastes into the trench, and the wastes compact naturally due to semi-aerobic biodegradation, after which the trenches are refilled and covered. The exposed surface area is minimal since only the top surface of the wastes is exposed, the remainder being covered by the sides and bottom of the trench. The surplus material from trench excavation can be valorized for use as engineering fill onsite or off. The landfill in

  12. Sustainable sanitary landfills for neglected small cities in developing countries: The semi-mechanized trench method from Villanueva, Honduras

    International Nuclear Information System (INIS)

    Oakley, Stewart M.; Jimenez, Ramón

    2012-01-01

    Highlights: ► Open dumping is the most common form of waste disposal in neglected small cities. ► Semi-mechanized landfills can be a sustainable option for small cities. ► We present the theory of design and operation of semi-mechanized landfills. ► Villanueva, Honduras has operated its semi-mechanized landfill for 15 years. ► The cost of operation is US$4.60/ton with a land requirement of 0.2m 2 /person-year. - Abstract: Open dumping is the most common practice for the disposal of urban solid wastes in the least developed regions of Africa, Asia and Latin America. Sanitary landfill design and operation has traditionally focused on large cities, but cities with fewer than 50,000 in population can comprise from 6% to 45% of a given country’s total population. These thousands of small cities cannot afford to operate a sanitary landfill in the way it is proposed for large cities, where heavy equipment is used to spread and compact the waste in daily cells, and then to excavate, transport and apply daily cover, and leachate is managed with collection and treatment systems. This paper presents an alternative approach for small cities, known as the semi-mechanized trench method, which was developed in Villanueva, Honduras. In the semi-mechanized trench method a hydraulic excavator is used for 1–3 days to dig a trench that will last at least a month before it is filled with waste. Trucks can easily unload their wastes into the trench, and the wastes compact naturally due to semi-aerobic biodegradation, after which the trenches are refilled and covered. The exposed surface area is minimal since only the top surface of the wastes is exposed, the remainder being covered by the sides and bottom of the trench. The surplus material from trench excavation can be valorized for use as engineering fill onsite or off. The landfill in Villanueva has operated for 15 years, using a total land area of approximately 11 ha for a population that grew from 23,000 to 48

  13. INTRAVAL Phase 2: Modeling testing at the Las Cruces Trench Site

    International Nuclear Information System (INIS)

    Hills, R.G.; Rockhold, M.; Xiang, J.; Scanlon, B.; Wittmeyer, G.

    1994-01-01

    Several field experiments have been performed by scientists from the University of Arizona and New Mexico State University at the Las Cruces Trench Site to provide data tc test deterministic and stochastic models for water flow and solute transport. These experiments were performed in collaboration with INTRAVAL, an international effort toward validation of geosphere models for the transport of radionuclides. During Phase I of INTRAVAL, qualitative comparisons between experimental data and model predictions were made using contour plots of water contents and solute concentrations. Detailed quantitative comparisons were not made. To provide data for more rigorous model testing, a third Las Cruces Trench experiment was designed by scientists from the University of Arizona and New Mexico State University. Modelers from the Center for Nuclear Waste Regulatory Analysis, Massachusetts Institute of Technology, New Mexico State University, Pacific Northwest Laboratory, and the University of Texas provided predictions of water flow and tritium transport to New Mexico State University for analysis. The corresponding models assumed soil characterizations ranging from uniform to deterministically heterogeneous to stochastic. This report presents detailed quantitative comparisons to field data

  14. Coupling intensity and isostatic competition between subducting slab and overriding plate control trench motions and tectonics of the overriding plate

    Science.gov (United States)

    Wu, G.; Moresi, L. N.

    2017-12-01

    Trench motions not only reflect tectonic regimes on the overriding plate but also shed light on the competition between subducting slab and overriding plate, however, major controls over trench advance or retreat and their consequences are still illusive. We use 2D thermo-mechanical experiments to study the problem. We find that the coupling intensity particularly in the uppermost 200 km and the isostatic competition between subducting slab and overriding plate largely determine trench motion and tectonics of in the overriding plate. Coupling intensity is the result of many contributing factors, including frictional coefficient of brittle part of the subducting interface and the viscosity of the ductile part, thermal regime and rheology of the overriding plate, and water contents and magmatic activity in the subducting slab and overriding plate. In this study, we are not concerned with the dynamic evolution of individual controlling parameter but simply use effective media. For instance, we impose simple model parameters such as frictional coefficient and vary the temperature and strain-rate dependent viscosity of the weak layer between the subducting slab and overriding plate. In the coupled end-member case, strong coupling leads to strong corner flow, depth-dependent compression/extension, and mantle return flow on the overriding plate side. It results in fast trench retreat, broad overriding plate extension, and even slab breakoff. In the decoupled end-member case, weak coupling causes much weaker response on the overriding plate side compared with the coupled end-member case, and the subducting slab can be largely viewed as a conveyer belt. We find that the isostatic competition between the subducting slab and overriding plate also has a major control over trench motion, and may better be viewed in 3D models. This is consistent with the findings in previous 3D studies that trench motion is most pronounced close to the slab edge. Here we propose that the

  15. The cost of engineered disposal facilities

    International Nuclear Information System (INIS)

    Mallory, C.W.; Razor, J.E.; Mills, D.

    1987-01-01

    An improved disposal trench was designed, constructed and placed into operation at the Maxey Flats Disposal Site during the period April 1985 through July 1986. With the improved trench design, the waste packages are placed in clusters and the surrounding space is filled with gravel and grouted with a sand/cement mixture to form walls and cells that surround the waste package. The walls provide structural support for a poly-ethylene reinforced soil beam which in turn supports a multi-layer protective cap. About 2,700 drums of waste (20,250 CF) were placed into the trench. The total cost of the improved trench was $193,500 and the unit cost was $9.56 per cubic foot not including the placement of the waste. The engineered features of the trench (i.e., sidewall infiltration barrier, grout backfill and the soil beam) cost $82,600 for a unit cost of $4.08 per cubic foot of waste. This is compared to the cost of concrete cannisters used for radioactive waste disposal. On a production basis the cannisters are estimated to cost about $1,260. Depending upon the type waste, the cost of the cannisters will range from $2 to $12 per cubic foot of waste. The slightly higher cost of the concrete cannisters is offset by certain performance advantages

  16. Evaluation of two stormwater infiltration trenches in central Copenhagen after 15 years of operation

    DEFF Research Database (Denmark)

    Bergman, Maria Kerstin; Hedegaard, Mathilde Jørgensen; Petersen, Mette Fjendbo

    2011-01-01

    to see whether the reduction in performance has continued and to determine how the system performs today. Water levels in the trenches were monitored for almost 4 months, and from this period seven events were selected to analyse the infiltration rate. A comparison with similar analyses on storm......Two stormwater infiltration trenches were installed in 1993 in an area in central Copenhagen. The system was monitored continuously for almost three years after establishment, and a small reduction in performance over that time, possibly due to clogging, was noted. A new study was conducted in 2009...... sequences from the first 3 years of operation shows that the infiltration has decreased since the establishment of the system 15 years ago. The decrease is statistically significant (p

  17. Fabrication of Ni stamp with high aspect ratio, two-leveled, cylindrical microstructures using dry etching and electroplating

    DEFF Research Database (Denmark)

    Petersen, Ritika Singh; Keller, Stephan Sylvest; Hansen, Ole

    2015-01-01

    obtained by defining a reservoir and a separating trench with different depths of 85 and 125 μm, respectively, in a single embossing step. The fabrication of the required two leveled stamp is done using a modified DEEMO (dry etching, electroplating and molding) process. Dry etching using the Bosch process...... and electroplating are optimized to obtain a stamp with smooth stamp surfaces and a positive sidewall profile. Using this stamp, hot embossing is performed successfully with excellent yield and high replication fidelity....

  18. Frost seen on Snow White Trench

    Science.gov (United States)

    2008-01-01

    The Surface Stereo Imager (SSI) on NASA's Phoenix Mars Lander took this shadow-enhanced false color image of the 'Snow White' trench, on the eastern end of Phoenix's digging area. The image was taken on Sol 144, or the 144th day of the mission, Oct. 20, 2008. Temperatures measured on Sol 151, the last day weather data were received, showed overnight lows of minus128 Fahrenheit (minus 89 Celsius) and day time highs in the minus 50 F (minus 46 C) range. The last communication from the spacecraft came on Nov. 2, 2008. The Phoenix Mission is led by the University of Arizona, Tucson, on behalf of NASA. Project management of the mission is by NASA's Jet Propulsion Laboratory, Pasadena, Calif. Spacecraft development is by Lockheed Martin Space Systems, Denver.

  19. Bovine serum albumin adsorption on functionalized porous silicon surfaces

    Science.gov (United States)

    Tay, Li-Lin; Rowell, Nelson L.; Lockwood, David J.; Boukherroub, Rabah

    2004-10-01

    The large surface area within porous Si (pSi) and its strong room temperature photoluminescence (PL) make it an ideal host for biological sensors. In particular, the development of pSi-based optical sensors for DNA, enzyme and other biochemical molecules have become of great interest. Here, we demonstrate that the in-situ monitoring of the pSi PL behaviour can be used as a positive identification of bovine serum albumin (BSA) protein adsorption inside the porous matrix. Electrochemically prepared pSi films were first functionalized with undecylenic acid to produce an organic monolayer covalently attached to the porous silicon surfaces. The acid terminal group also provided favourable BSA binding sites on the pSi matrix sidewalls. In-situ PL spectra showed a gradual red shift (up to 12 meV) in the PL peak energy due to the protein incorporation into the porous matrix. The PL then exhibited a continuous blue shift after saturation of the protein molecules in the pores. This blue shift of the PL peak frequency and a steady increase in the PL intensity is evidence of surface oxidation. Comparing the specular reflectance obtained by Fourier transform infrared spectroscopy (FTIR) before and after BSA incubation confirmed the adsorption of protein in the pSi matrix.

  20. Flexural modeling of the elastic lithosphere at an ocean trench: A parameter sensitivity analysis using analytical solutions

    Science.gov (United States)

    Contreras-Reyes, Eduardo; Garay, Jeremías

    2018-01-01

    The outer rise is a topographic bulge seaward of the trench at a subduction zone that is caused by bending and flexure of the oceanic lithosphere as subduction commences. The classic model of the flexure of oceanic lithosphere w (x) is a hydrostatic restoring force acting upon an elastic plate at the trench axis. The governing parameters are elastic thickness Te, shear force V0, and bending moment M0. V0 and M0 are unknown variables that are typically replaced by other quantities such as the height of the fore-bulge, wb, and the half-width of the fore-bulge, (xb - xo). However, this method is difficult to implement with the presence of excessive topographic noise around the bulge of the outer rise. Here, we present an alternative method to the classic model, in which lithospheric flexure w (x) is a function of the flexure at the trench axis w0, the initial dip angle of subduction β0, and the elastic thickness Te. In this investigation, we apply a sensitivity analysis to both methods in order to determine the impact of the differing parameters on the solution, w (x). The parametric sensitivity analysis suggests that stable solutions for the alternative approach requires relatively low β0 values (rise bulge. The alternative method is a more suitable approach, assuming that accurate geometric information at the trench axis (i.e., w0 and β0) is available.

  1. Fast and low power Michelson interferometer thermo-optical switch on SOI.

    Science.gov (United States)

    Song, Junfeng; Fang, Q; Tao, S H; Liow, T Y; Yu, M B; Lo, G Q; Kwong, D L

    2008-09-29

    We designed and fabricated silicon-on-insulator based Michelson interferometer (MI) thermo-optical switches with deep etched trenches for heat-isolation. Switch power was reduced approximately 20% for the switch with deep etched trenches, and the MI saved approximately 50% power than that of the Mach-Zehnder interferometer. 10.6 mW switch power, approximately 42 micros switch time for the MI with deep trenches, 13.14 mW switch power and approximately 34 micros switch time for the MI without deep trenches were achieved.

  2. How broad and deep is the region of chemical alteration of oceanic plates at trenches?

    Science.gov (United States)

    Ranero, C. R.; Grevemeyer, I.; Barckhausen, U.

    2017-12-01

    Different lines of evidence indicate that oceanic plates are affected by pervasive bending-related deformation approaching ocean trenches. Results from active-seismic work support that deformation provides paths for exchange between hydrosphere and lithosphere, possibly causing chemical alteration of the incoming lithosphere. Much work focused on the potential transformation of peridotite to serpentine in the uppermost mantle of incoming plates, but there is no consensus on the region where it may occur or the intensity of alteration, let alone on limiting factors for the process. Teleseismic (large-great) earthquakes with normal-fault mechanism in the outer rise region have been often called to speculate on the depth of penetration of plate hydration. However, large-great outer-rise earthquakes may be related to stress changes due to slab pull after decoupling along the inter-plate boundary, and not necessarily controlled by bending stresses only. If so, the majority of the time the depth of water percolation may be related to local bending stresses expressed by micro-earthquakes rather than large events. Seismic images and multibeam bathymetry from lithosphere of similar thermal thickness from different trenches display a remarkable variability of the intensity of bending-related deformation along the subduction zones where plate age does not change significantly indicating that the intensity of deformation (not the depth) and perhaps hydration is very variable in space and not controlled by plate age. Seismic images showing hundreds of kilometers perpendicular to the trench into the incoming plate show that the bending-related deformation reaches mantle under the outer rise, well before the lithosphere plunges into the trench and develops the marked bend-faulting fabric observable in bathymetric maps. Thus, alteration occurs in a hundreds-of-km wide area, with deformation intensity related to local characteristics, and deformation depth to plate age.

  3. Hemodynamic transition driven by stent porosity in sidewall aneurysms.

    Science.gov (United States)

    Bouillot, Pierre; Brina, Olivier; Ouared, Rafik; Lovblad, Karl-Olof; Farhat, Mohamed; Pereira, Vitor Mendes

    2015-05-01

    The healing process of intracranial aneurysms (IAs) treated with flow diverter stents (FDSs) depends on the IA flow modifications and on the epithelization process over the neck. In sidewall IA models with straight parent artery, two main hemodynamic regimes with different flow patterns and IA flow magnitude were broadly observed for unstented and high porosity stented IA on one side, and low porosity stented IA on the other side. The hemodynamic transition between these two regimes is potentially involved in thrombosis formation. In the present study, CFD simulations and multi-time lag (MTL) particle imaging velocimetry (PIV) measurements were combined to investigate the physical nature of this transition. Measurable velocity fields and non-measurable shear stress and pressure fields were assessed experimentally and numerically in the aneurysm volume in the presence of stents with various porosities. The two main regimes observed in both PIV and CFD showed typical flow features of shear and pressure driven regimes. In particular, the waveform of the averaged IA velocities was matching both the shear stress waveform at IA neck or the pressure gradient waveform in parent artery. Moreover, the transition between the two regimes was controlled by stent porosity: a decrease of stent porosity leads to an increase (decrease) of pressure differential (shear stress) through IA neck. Finally, a good PIV-CFD agreement was found except in transitional regimes and low motion eddies due to small mismatch of PIV-CFD running conditions. Copyright © 2015 Elsevier Ltd. All rights reserved.

  4. Tectonics and Non-isostatic Topography of the Mariana Trench and Adjacent Plates

    Science.gov (United States)

    Hongyu, L.; Lin, J.; Zhou, Z.; Zhang, F.

    2017-12-01

    Multi-types of geophysical data including multibeam bathymetry, sediment thickness, gravity anomaly, and crustal magnetic age were analyzed to investigate tectonic processes of the Mariana Trench and the surrounding plates. We calculated non-Airy-isostatic topography by removing from the observed bathymetry the effects of sediment loading, thermal subsidence, and Airy local isostatically-compensated topography. The Mariana Trench was found to be associated with a clearly defined zone of negative non-isostatic topography, which was caused by flexural bending of the subducting Pacific plate and with the maximum depth anomaly and flexural bending near the Challenger Deep. In contrast, the Caroline Ridge and Caroline Islands Chain have much more subdued non-isostatic topography, indicating their higher topography is largely compensated by thicker crust. Along the Mariana Trough, the northern and central segments appear to be associated with relatively low magma supply as indicated by the relatively low topography and thin crust. In contrast, the southern Mariana Trough is associated with relatively high magma supply as indicated by the relatively high and smoother topography, an axial high spreading center, and relatively thick crust. The southern end of the Mariana Trough was also found to be associated with positive non-isostatic topographic anomaly, which might be caused by the complex tectonic deformation of the overriding Mariana and Philippine Sea plates and their interaction with the subducting Pacific plate. Analysis further revealed that the southern Mariana Arc, located between the Mariana Trench and Mariana Trough, is associated with positive non-isostatic topographic anomalies, which may be explained by the late stage magmatic loading on the older and thus stronger lithospheric plate of the Mariana volcanic arc.

  5. Studies of quaternary deposits in investigation trench OL-TK19 on the Olkiluoto study site, Eurajoki, SW Finland

    International Nuclear Information System (INIS)

    Huhta, P.

    2013-07-01

    The Quaternary deposits in investigation trench OL-TK19 were studied by the Geological Survey of Finland in October 2012. Samples for grain size determinations were taken from 3 vertical profiles, placed about 20-25 m apart along the trench. Two till units was sampled separately. The profiles extended from the soil surface down to bedrock. The samples were first dried in the laboratory after which they were sieved. In addition, the grain size distribution of the < 63 μm fraction was analyzed with the Sedigraph 5100 instrument. Sedimentological observations of the sampling profiles were documented in field by drawing them on a field observation form and the profiles were photographed using a digital camera. In addition, the excavated section was photographed along its whole length. The till cover in OL-TK19 consists of two parts. The surface layer of the till is oxidized, brownish grey sandy till, whereas the lower layer is unoxidized, grey silty till. Dark grey silty till as in the bottom of investigation trenches OL-TK13 and OL-TK14 was not found in this trench. The till layers in OL-TK19 was deposited in the last flow phase of the Weichselian continental ice. Bedrock striations indicate that the ice moved in a NW-SE direction. The till beds smooth bedrock topography. The tills showed no signs of disturbance related to bedrock movements. (orig.)

  6. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  7. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    Science.gov (United States)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  8. Force Measurement Improvements to the National Transonic Facility Sidewall Model Support System

    Science.gov (United States)

    Goodliff, Scott L.; Balakrishna, Sundareswara; Butler, David; Cagle, C. Mark; Chan, David; Jones, Gregory S.; Milholen, William E., II

    2016-01-01

    The National Transonic Facility is a transonic pressurized cryogenic facility. The development of the high Reynolds number semi-span capability has advanced over the years to include transonic active flow control and powered testing using the sidewall model support system. While this system can be used in total temperatures down to -250Â F for conventional unpowered configurations, it is limited to temperatures above -60Â F when used with powered models that require the use of the high-pressure air delivery system. Thermal instabilities and non-repeatable mechanical arrangements revealed several data quality shortfalls by the force and moment measurement system. Recent modifications to the balance cavity recirculation system have improved the temperature stability of the balance and metric model-to-balance hardware. Changes to the mechanical assembly of the high-pressure air delivery system, particularly hardware that interfaces directly with the model and balance, have improved the repeatability of the force and moment measurement system. Drag comparisons with the high-pressure air system removed will also be presented in this paper.

  9. Observation of copious emission at the fundamental frequency by a Smith-Purcell free-electron laser with sidewalls

    International Nuclear Information System (INIS)

    Gardelle, J.; Modin, P.; Donohue, J. T.

    2012-01-01

    An experiment at microwave frequencies confirms the recent prediction that a Smith-Purcell [S. J. Smith and E. M. Purcell, Phys. Rev. 92, 1069 (1953)] free-electron laser equipped with sidewalls can emit radiation at the frequency of the surface wave. The power output is considerably greater than for the previously observed emission at the second harmonic, in agreement with three-dimensional simulations. The dependence of frequency on beam energy and emission angle is in good agreement with three-dimensional theory and simulations. Provided that a reduction in scale can be achieved, a path is open to coherent Smith-Purcell radiation at terahertz frequency.

  10. Tsunami Numerical Simulation for Hypothetical Giant or Great Earthquakes along the Izu-Bonin Trench

    Science.gov (United States)

    Harada, T.; Ishibashi, K.; Satake, K.

    2013-12-01

    We performed tsunami numerical simulations from various giant/great fault models along the Izu-Bonin trench in order to see the behavior of tsunamis originated in this region and to examine the recurrence pattern of great interplate earthquakes along the Nankai trough off southwest Japan. As a result, large tsunami heights are expected in the Ryukyu Islands and on the Pacific coasts of Kyushu, Shikoku and western Honshu. The computed large tsunami heights support the hypothesis that the 1605 Keicho Nankai earthquake was not a tsunami earthquake along the Nankai trough but a giant or great earthquake along the Izu-Bonin trench (Ishibashi and Harada, 2013, SSJ Fall Meeting abstract). The Izu-Bonin subduction zone has been regarded as so-called 'Mariana-type subduction zone' where M>7 interplate earthquakes do not occur inherently. However, since several M>7 outer-rise earthquakes have occurred in this region and the largest slip of the 2011 Tohoku earthquake (M9.0) took place on the shallow plate interface where the strain accumulation had considered to be a little, a possibility of M>8.5 earthquakes in this region may not be negligible. The latest M 7.4 outer-rise earthquake off the Bonin Islands on Dec. 22, 2010 produced small tsunamis on the Pacific coast of Japan except for the Tohoku and Hokkaido districts and a zone of abnormal seismic intensity in the Kanto and Tohoku districts. Ishibashi and Harada (2013) proposed a working hypothesis that the 1605 Keicho earthquake which is considered a great tsunami earthquake along the Nankai trough was a giant/great earthquake along the Izu-Bonin trench based on the similarity of the distributions of ground shaking and tsunami of this event and the 2010 Bonin earthquake. In this study, in order to examine the behavior of tsunamis from giant/great earthquakes along the Izu-Bonin trench and check the Ishibashi and Harada's hypothesis, we performed tsunami numerical simulations from fault models along the Izu-Bonin trench

  11. Hydrogeologic Characterization Data from the Area 5 Shallow Soil Trenches

    International Nuclear Information System (INIS)

    Bechtel Nevada Geotechnical Sciences

    2005-01-01

    Four shallow soil trenches excavated in the vicinity of the Area 5 Radioactive Waste Management Site at the Nevada Test Site were sampled in 1994 to characterize important physical and hydrologic parameters which can affect the movement of water in the upper few meters of undisturbed alluvium. This report describes the field collection of geologic samples and the results of laboratory analyses made on these samples. This report provides only qualitative analyses and preliminary interpretations

  12. Galvanostatic bottom-up filling of TSV-like trenches: Choline-based leveler containing two quaternary ammoniums

    International Nuclear Information System (INIS)

    Kim, Myung Jun; Seo, Youngran; Kim, Hoe Chul; Lee, Yoonjae; Choe, Seunghoe; Kim, Young Gyu; Cho, Sung Ki; Kim, Jae Jeong

    2015-01-01

    Highlights: • The choline-based leveler having two quaternary ammoniums was synthesized. • The adsorption of this leveler with suppressor and accelerator was examined. • Galvanostatic Cu bottom-up filling was achieved with three-additive system. • The mechanism of gap-filling was elucidated based on the additive adsorption. - Abstract: Through Silicon Via (TSV) technology is essential to accomplish 3-dimensional packaging of electronics. Hence, more reliable and faster TSV filling by Cu electrodeposition is required. Our approach to improve Cu gap-filling in TSV is based on the development of new organic additives for feature filling. Here, we introduce our achievements from the synthesis of choline-based leveler to the feature filling using a synthesized leveler. The choline-based leveler, which includes two quaternary ammoniums at both ends of the molecule, is synthesized from glutaric acid. The characteristics of the choline-based additive are examined by the electrochemical analyses, and it is confirmed that the choline-based leveler shows a convection dependent adsorption behavior, which is essential for leveling. The interactions between the polymeric suppressor, accelerator, and the choline-based leveler are also investigated by changing the convection condition. Using the combination of suppressor, accelerator, and the choline-based leveler, the extreme bottom-up filling of Cu at trenches with dimensions similar to TSV are fulfilled. The mechanism of Cu gap-filling is demonstrated based on the results of electrochemical analyses and feature filling

  13. Evaluation of Proposed New LLW Disposal Activity Disposal of Compacted Job Control Waste, Non-compactible, Non-incinerable Waste, And Other Wasteforms In Slit Trenches

    International Nuclear Information System (INIS)

    WILHITE, ELMER L.

    2000-01-01

    The effect of trench disposal of low-level wasteforms that were not analyzed in the original performance assessment for the E-Area low-level waste facility, but were analyzed in the revised performance assessment is evaluated. This evaluation was conducted to provide a bridge from the current waste acceptance criteria, which are based on the original performance assessment, to those that will be developed from the revised performance assessment. The conclusion of the evaluation is that any waste except for materials that would retain radionuclides more strongly than soil that meets the radionuclide concentration of package limits for trench burial based on the revised performance assessment, and presented in Table 1 of this document, is suitable for trench disposal; provided that, for cellulosic material the current 40 percent restriction is retained. Table 2 of this document lists materials acceptable for trench disposal

  14. Development of edgeless silicon pixel sensors on p-type substrate for the ATLAS high-luminosity upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Calderini, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Dipartimento di Fisica E. Fermi, Universitá di Pisa, Pisa (Italy); Bagolini, A. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Bomben, M. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Boscardin, M. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); Bosisio, L. [Università degli studi di Trieste and INFN-Trieste (Italy); Chauveau, J. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Giacomini, G. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy); La Rosa, A. [Section de Physique (DPNC), Universitè de Geneve, Geneve (Switzerland); Marchiori, G. [Laboratoire de Physique Nucléaire et des Hautes Energies (LPNHE), Paris (France); Zorzi, N. [Fondazione Bruno Kessler, Centro per i Materiali e i Microsistemi (FBK-CMM), Povo di Trento (Italy)

    2014-11-21

    In view of the LHC upgrade for the high luminosity phase (HL-LHC), the ATLAS experiment is planning to replace the inner detector with an all-silicon system. The n-in-p bulk technology represents a valid solution for the modules of most of the layers, given the significant radiation hardness of this option and the reduced cost. The large area necessary to instrument the outer layers will demand to tile the sensors, a solution for which the inefficient region at the border of each sensor needs to be reduced to the minimum size. This paper reports on a joint R and D project by the ATLAS LPNHE Paris group and FBK Trento on a novel n-in-p edgeless planar pixel design, based on the deep-trench process available at FBK.

  15. Omnidirectional excitation of sidewall gap-plasmons in a hybrid gold-nanoparticle/aluminum-nanopore structure

    Directory of Open Access Journals (Sweden)

    Chatdanai Lumdee

    2016-06-01

    Full Text Available The gap-plasmon resonance of a gold nanoparticle inside a nanopore in an aluminum film is investigated in polarization dependent single particle microscopy and spectroscopy. Scattering and transmission measurements reveal that gap-plasmons of this structure can be excited and observed under normal incidence excitation and collection, in contrast to the more common particle-on-a-mirror structure. Correlation of numerical simulations with optical spectroscopy suggests that a local electric field enhancement factor in excess of 50 is achieved under normal incidence excitation, with a hot-spot located near the top surface of the structure. It is shown that the strong field enhancement from this sidewall gap-plasmon mode can be efficiently excited over a broad angular range. The presented plasmonic structure lends itself to implementation in low-cost, chemically stable, easily addressable biochemical sensor arrays providing large optical field enhancement factors.

  16. Band-gap sensitive adsorption of fluorine molecules on sidewalls of carbon nanotubes: an ab initio study

    International Nuclear Information System (INIS)

    Choi, Woon Ih; Park, Sohee; Kim, Tae-Eun; Park, Noejung; Lee, Kwang-Ryeol; Lee, Young Hee; Ihm, Jisoon; Han, Seungwu

    2006-01-01

    We report from ab initio calculations that the band-gap sensitive side-wall functionalization of a carbon nanotube is feasible with the fluorine molecule (F 2 ), which can provide a route to the extraction of semiconducting nanotubes by etching away metallic ones. In the small diameter cases like (11, 0) and (12, 0), the nanotubes are easily functionalized with F 2 regardless of their electronic properties. As the diameter becomes larger, however, the fluorination is favoured on metallic CNTs with smaller activation barriers than those of semiconducting ones. Our results suggest that low-temperature exposure to F 2 molecules in the gas phase can make a dominant portion of fluorinated metallic nanotubes and unfluorinated semiconducting ones. This is consistent with recent experimental reports

  17. Numerical simulation of air distribution in a room with a sidewall jet under benchmark test conditions

    Science.gov (United States)

    Zasimova, Marina; Ivanov, Nikolay

    2018-05-01

    The goal of the study is to validate Large Eddy Simulation (LES) data on mixing ventilation in an isothermal room at conditions of benchmark experiments by Hurnik et al. (2015). The focus is on the accuracy of the mean and rms velocity fields prediction in the quasi-free jet zone of the room with 3D jet supplied from a sidewall rectangular diffuser. Calculations were carried out using the ANSYS Fluent 16.2 software with an algebraic wall-modeled LES subgrid-scale model. CFD results on the mean velocity vector are compared with the Laser Doppler Anemometry data. The difference between the mean velocity vector and the mean air speed in the jet zone, both LES-computed, is presented and discussed.

  18. A Numerically and Experimentally Investigated Structure of the Turbulent Flow Past a Trench with a Separation

    Directory of Open Access Journals (Sweden)

    V. N. Afanasiev

    2017-01-01

    Full Text Available The paper studies the convective heat exchange intensification due to two-dimensional depressions formed on the initially flat surface. This problem is of interest for engineering applications because many different convective surfaces have cavities and depressions of constructive or random occurrence. During flow around a depression the boundary layer separation and its reattachment result in occurring specific phenomena, which have a significant impact on drag and heat exchange.The work involved an experimental study of hydrodynamic and heat characteristics of the turbulent boundary layer formed when there was an external airflow of the flat surface with a single transversal separation trench.The experimental part used an open subsonic low-turbulence wind tunnel operating in suction mode. A numerical simulation involves hydrodynamics and heat exchange parameters analysis via solution of the system of differential equations, which describe momentum and heat transport processes using ANSYS Fluent solver.The experimental data of this study are compared with numerical simulation results obtained by solving the steady Reynolds-averaged Navier-Stokes equations (RANS with a two-parametrical Menter k-ω (MSST turbulence model.The comparison shows that simulation results are in good agreement with experimental data, heat exchange surface profiling by a transversal trench system with or without flow separation does not lead to increasing surface drag and, moreover, at the certain ratios of geometrical parameters (cylindrical trenches with h/S£ 0.5 it can decrease the surface drag. Surface conjugations in these depressions should be smooth without any sharp curves and transitions, which can lead to forming stagnation regions.The reason for raising heat exchange is a spatial non-uniformity of the generated turbulence field. During flow analysis there were two sources of turbulence generation found out, namely a wall (heat exchange surface and a mixing

  19. Design and fabrication of a self-aligned parallel-plate-type silicon micromirror minimizing the effect of misalignment

    International Nuclear Information System (INIS)

    Yoo, Byung-Wook; Jin, Joo-Young; Jang, Yun-Ho; Kim, Yong-Kweon; Park, Jae-Hyoung

    2009-01-01

    This paper describes a self-alignment method whereby a mirror actuation voltage, corresponding to a specific tilting angle, is unvarying in terms of misalignment during fabrication. A deep silicon etching process is proposed to penetrate the top silicon layer (the micromirror layer) and an amorphous silicon layer (the addressing electrode layer) together, through an aluminum mask pattern, in order to minimize the misalignment effect on the micromirror actuation. The size of a fabricated mirror plate is 250 × 250 × 4 µm 3 . A pair of amorphous silicon electrodes under the mirror plate is about half the size of the mirror plate individually. Numerical analysis associated with calculating the pull-in voltage and the bonding misalignment is performed to verify the self-alignment concepts focused upon in this paper. Curves of the applied voltage versus the tilt angle of the self-aligned micromirror are observed using a position sensing detector in order to compare the measurement results with MATLAB analysis of the expected static deflections. Although a 3.7 µm misalignment is found between the mirror plate and the electrodes, in the direction perpendicular to the shallow trench of the electrodes, before the self-alignment process, the measured pull-in voltage has been found to be 103.4 V on average; this differs from the pull-in voltage of a perfectly aligned micromirror by only 0.67%. Regardless of the unpredictable misalignments in repetitive photolithography and bonding, the tilting angles corresponding to the driving voltages are proved to be uniform along the single axis as well as conform to the results of analytical analysis

  20. The Micro Trench Gas Counter

    International Nuclear Information System (INIS)

    Schmitz, J.

    1991-07-01

    A novel design is presented for a gas avalanche chamber with micro-strip gas readout. While existing gaseous microstrip detectors (Micro-strip Gas Counters, Knife edge chambers) have a minimum anode pitch of the order of 100 μm, the pitch of the discussed Micro Trench Gas Counter goes down to 30-50 μm. This leads to a better position resolution and two track separation, and a higher radiation resistivity. Its efficiency and signal speed are expected to be the same as the Microstrip Gas Counter. The energy resolution of the device is expected to be equal to or better than 10 percent for the 55 Fe peak. Since the anode strip dimensions are larger than those in a MSGC, the device may be not as sensitive to discharges and mechanical damage. In this report production of the device is briefly described, and predictions on its operation are made based on electric field calculations and experience with the Microstrip Gas Counter. The authors restrict themselves to the application in High Energy Physics. (author). 10 refs.; 9 figs

  1. Solid-state Memory on Flexible Silicon for Future Electronic Applications

    KAUST Repository

    Ghoneim, Mohamed

    2016-11-01

    Advancements in electronics research triggered a vision of a more connected world, touching new unprecedented fields to improve the quality of our lives. This vision has been fueled by electronic giants showcasing flexible displays for the first time in consumer electronics symposiums. Since then, the scientific and research communities partook on exploring possibilities for making flexible electronics. Decades of research have revealed many routes to flexible electronics, lots of opportunities and challenges. In this work, we focus on our contributions towards realizing a complimentary approach to flexible inorganic high performance electronic memories on silicon. This approach provides a straight forward method for capitalizing on the existing well-established semiconductor infrastructure, standard processes and procedures, and collective knowledge. Ultimately, we focus on understanding the reliability and functionality anomalies in flexible electronics and flexible solid state memory built using the flexible silicon platform. The results of the presented studies show that: (i) flexible devices fabricated using etch-protect-release approach (with trenches included in the active area) exhibit ~19% lower safe operating voltage compared to their bulk counterparts, (ii) they can withstand prolonged bending duration (static stress) but are prone to failure under dynamic stress as in repeated bending and re-flattening, (iii) flexible 3D FinFETs exhibit ~10% variation in key properties when exposed to out-of-plane bending stress and out-of-plane stress does not resemble the well-studied in-plane stress used in strain engineering, (iv) resistive memories can be achieved on flexible silicon and their basic resistive property is preserved but other memory functionalities (retention, endurance, speed, memory window) requires further investigations, (v) flexible silicon based PZT ferroelectric capacitors exhibit record polarization, capacitance, and endurance (1 billion

  2. Oceanographic cruise: Coral Sea, Arafura Sea, and Java Trench, April - May 1969 (NODC Accession 7100914)

    Data.gov (United States)

    National Oceanic and Atmospheric Administration, Department of Commerce — This report contains oceanographic data which was obtained aboard HMAS DIAMANTINA during an oceanographic cruise in the Coral Sea, Arafura Sea, and Java Trench...

  3. Low-Level Burial Grounds dangerous waste permit application: Request for exemption from lined trench requirements and from land disposal restrictions for residual liquid at 218-E-12B Burial Ground Trench 94

    International Nuclear Information System (INIS)

    1992-10-01

    This document has been prepared and is being submitted to the respective agencies to satisfy three objectives of the US Department of Energy (DOE) Richland Field Office (DOE-RL) concerning Trench 94 of the 218-E-12B Burial Ground. The 218-E-12B Burial Ground is located in the 200 East Area of the Hanford Facility. Figure 1-1 shows the general location of the Hanford Site. The 218-E-12B Burial Ground is one of eight burial grounds included in the Low-Level Burial Grounds (LLBG), a treatment, storage and/or disposal (TSD) unit. Decommissioned, defueled naval submarine reactor compartments (SRCs) contain radioactivity caused by exposure of structural components to neutrons during normal operation of the submarines. After all the alternatives were evaluated in the US Department of the Navy 1984 environmental impact statement (EIS) (USN 1984), land burial of the SRCs was selected as the preferred disposal option. The SRCs currently are sent to Trench 94 of the 218-E-12B Burial Ground. In addition to radioactivity, the SRCs disposed in. The DOE-RL's three objectives in preparing and submitting this document are as follows. Request from Ecology an exemption from dangerous waste landfill liner and leachate collection and removal system (hereinafter referred to as liner/leachate system) requirements for Trench 94 of the 218-E-12B Burial Ground. Petition Ecology to exempt residual liquid in the SRCs from land disposal restrictions. Obtain EPA Region 10 review and comment on the request to Ecology for exemption from liner/leachate system requirements

  4. First fabrication of a silicon vertical JFET for power distribution in high energy physics applications

    Science.gov (United States)

    Fernández-Martínez, Pablo; Flores, D.; Hidalgo, S.; Quirion, D.; Durà, R.; Ullán, M.

    2018-01-01

    A new vertical JFET transistor has been recently developed at the IMB-CNM, taking advantage of a deep-trenched 3D technology to achieve vertical conduction and low switch-off voltage. The silicon V-JFET transistors were mainly conceived to work as rad-hard protection switches for the renewed HV powering scheme (HV-MUX) of the ATLAS upgraded tracker. This work presents the features of the first batch of V-JFETs produced at the IMB-CNM clean room, together with the results of a full pre-irradiation characterization of the fabricated prototypes. Details of the technological process are provided and the outcome quality is also evaluated with the aid of reverse engineering techniques. Concerning the electrical performance of the prototypes, promising results were obtained, already meeting most of the HV-MUX specifications, both at room and below-zerotemperatures.

  5. Surface radiological investigation of Trench 5 in Waste Area Grouping 7 at Oak Ridge National Laboratory, Oak Ridge, Tennessee

    International Nuclear Information System (INIS)

    Goff, D.D.

    1991-08-01

    A surface radiological investigation of areas encompassing Trench 5 on the Oak Ridge Reservation (ORR) was conducted from May 1990 through November 1990. This survey was led by the author, assisted by various members of the Measurement Applications and Development (MAD) group of the Health and Safety Research Division (HASRD) of Oak Ridge National Laboratory (ORNL) in Oak Ridge, Tennessee. The purpose of the investigation was to determine the presence, nature, and extent of surface radiological contamination at Trench 5, the Homogeneous Reactor Experiment fuel wells, and surrounding areas. Based on the data obtained in the field, interim corrective measures were recommended to limit human exposure to radioactivity and to minimize insult to the environment. It should be stressed that this project was not intended to be a complete site characterization but rather to be a preliminary investigation into the potential contamination problem that might exist as a result of past operations at Trench 5

  6. The impact of trench defects in InGaN/GaN light emitting diodes and implications for the “green gap” problem

    Energy Technology Data Exchange (ETDEWEB)

    Massabuau, F. C.-P., E-mail: fm350@cam.ac.uk; Oehler, F.; Pamenter, S. K.; Thrush, E. J.; Kappers, M. J.; Humphreys, C. J.; Oliver, R. A. [Department of Materials Science and Metallurgy, University of Cambridge, 22 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Davies, M. J.; Dawson, P. [Photon Science Institute, School of Physics and Astronomy, Alan Turing Building, University of Manchester, Manchester M13 9PL (United Kingdom); Kovács, A.; Dunin-Borkowski, R. E. [Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons, Forschungszentrum Jülich GmbH, Leo-Brandt- Straße, D-52425 Jülich (Germany); Williams, T.; Etheridge, J. [Monash Centre for Electron Microscopy, Monash University, Clayton Campus, VIC 3800 (Australia); Hopkins, M. A.; Allsopp, D. W. E. [Department of Electronic and Electrical Engineering, University of Bath, Bath BA2 7AY (United Kingdom)

    2014-09-15

    The impact of trench defects in blue InGaN/GaN light emitting diodes (LEDs) has been investigated. Two mechanisms responsible for the structural degradation of the multiple quantum well (MQW) active region were identified. It was found that during the growth of the p-type GaN capping layer, loss of part of the active region enclosed within a trench defect occurred, affecting the top-most QWs in the MQW stack. Indium platelets and voids were also found to form preferentially at the bottom of the MQW stack. The presence of high densities of trench defects in the LEDs was found to relate to a significant reduction in photoluminescence and electroluminescence emission efficiency, for a range of excitation power densities and drive currents. This reduction in emission efficiency was attributed to an increase in the density of non-radiative recombination centres within the MQW stack, believed to be associated with the stacking mismatch boundaries which form part of the sub-surface structure of the trench defects. Investigation of the surface of green-emitting QW structures found a two decade increase in the density of trench defects, compared to its blue-emitting counterpart, suggesting that the efficiency of green-emitting LEDs may be strongly affected by the presence of these defects. Our results are therefore consistent with a model that the “green gap” problem might relate to localized strain relaxation occurring through defects.

  7. The impact of trench defects in InGaN/GaN light emitting diodes and implications for the “green gap” problem

    International Nuclear Information System (INIS)

    Massabuau, F. C.-P.; Oehler, F.; Pamenter, S. K.; Thrush, E. J.; Kappers, M. J.; Humphreys, C. J.; Oliver, R. A.; Davies, M. J.; Dawson, P.; Kovács, A.; Dunin-Borkowski, R. E.; Williams, T.; Etheridge, J.; Hopkins, M. A.; Allsopp, D. W. E.

    2014-01-01

    The impact of trench defects in blue InGaN/GaN light emitting diodes (LEDs) has been investigated. Two mechanisms responsible for the structural degradation of the multiple quantum well (MQW) active region were identified. It was found that during the growth of the p-type GaN capping layer, loss of part of the active region enclosed within a trench defect occurred, affecting the top-most QWs in the MQW stack. Indium platelets and voids were also found to form preferentially at the bottom of the MQW stack. The presence of high densities of trench defects in the LEDs was found to relate to a significant reduction in photoluminescence and electroluminescence emission efficiency, for a range of excitation power densities and drive currents. This reduction in emission efficiency was attributed to an increase in the density of non-radiative recombination centres within the MQW stack, believed to be associated with the stacking mismatch boundaries which form part of the sub-surface structure of the trench defects. Investigation of the surface of green-emitting QW structures found a two decade increase in the density of trench defects, compared to its blue-emitting counterpart, suggesting that the efficiency of green-emitting LEDs may be strongly affected by the presence of these defects. Our results are therefore consistent with a model that the “green gap” problem might relate to localized strain relaxation occurring through defects.

  8. Project clean city: joint trenching for urban facilities; Projeto cidade limpa: vala tecnica para instalacoes urbanas - sistema infavias

    Energy Technology Data Exchange (ETDEWEB)

    Silva, Aloisio Pereira da [Companhia de Gas de Santa Catarina (SCGAS), Florianopolis, SC (Brazil)

    2012-07-01

    This study aims to propose a join trench for urban facilities, using concepts related to planning and urban cadastre, sustainability of resource use, and mainly focus on safety during construction, operation and maintenance. The model proposes the replacement of individual construction of facilities of natural gas, potable water, telephone, data transmission and electricity (low and medium voltage) by a system that encompasses all of these facilities in a trench, the deployment may also occur in the same period or if necessary, or at different times, creating flexibility in the system. The security of facilities due to the operational interface between the concessionaires and clients will be ensured by the protected by reinforced concrete structure, designed using the concept of 'fail-safing', derived from the Toyota Production System. The joint trench model was designed from the perspective of sustainability and technological innovation for your future use of the new design of power supply, through the concept of 'Smart Grid' with the use of bidirectional power system transmission and distribution, in decentralized model for generating electricity through cogeneration systems with natural gas. (author)

  9. Field evaluation of two shallow land burial trench cap designs for long-term stabilization and closure of waste repositories at Los Alamos, New Mexico

    International Nuclear Information System (INIS)

    Nyhan, J.; Drennon, B.; Hakonson, T.

    1989-02-01

    The results from several field experiments on methods to control soil erosion, biointrusion, and water infiltration were used to design and test a burial site cover which improves the ability of the disposal site to isolate the wastes. The performance of the improved cover design in managing water and biota at the disposal site was compared with a more conventional design widely used in the industry. The conventional trench cover design consists of 15 cm of sandy loam topsoil over 75 cm of sandy silt backfill, whereas the improved trench cover design consists of 75 cm of topsoil over a minimum of 25 cm of gravel and 90 cm of river cobble. Each plot was lined with an impermeable liner to allow for mass balance calculation of water dynamics and contains hydrologic tracer ions (iodide and bromide) to demonstrate movement of water through the various zones of the trench cap. Cesium was emplaced beneath the trench cap to indicate root penetration through the trench cap, observed by sampling plant samples collected on the plots and assaying them for cesium. The field data are summarized and discussed in terms of its usefulness for waste management decisions. 67 refs., 44 figs., 4 tabs

  10. Mantle Serpentinization near the Central Mariana Trench Constrained by Ocean Bottom Surface Wave Observations

    Science.gov (United States)

    Cai, C.; Wiens, D. A.; Lizarralde, D.; Eimer, M. O.; Shen, W.

    2017-12-01

    We investigate the crustal and uppermost mantle seismic structure across the Mariana trench by jointly inverting Rayleigh wave phase and group velocities from ambient noise and longer period phase velocities from Helmholtz tomography of teleseismic waveforms. We use data from a temporary deployment in 2012-2013, consisting of 7 island-based stations and 20 broadband ocean bottom seismographs, as well as data from the USGS Northern Mariana Islands Seismograph Network. To avoid any potential bias from the starting model, we use a Bayesian Monte-Carlo algorithm to invert for the azimuthally-averaged SV-wave velocity at each node. This method also allows us to apply prior constraints on crustal thickness and other parameters in a systematic way, and to derive formal estimates of velocity uncertainty. The results show the development of a low velocity zone within the incoming plate beginning about 80 km seaward of the trench axis, consistent with the onset of bending faults from bathymetry and earthquake locations. The maximum depth of the velocity anomaly increases towards the trench, and extends to about 30 km below the seafloor. The low velocities persist after the plate is subducted, as a 20-30 km thick low velocity layer with a somewhat smaller velocity reduction is imaged along the top of the slab beneath the forearc. An extremely low velocity zone is observed beneath the serpentine seamounts in the outer forearc, consistent with 40% serpentinization in the forearc mantle wedge. Azimuthal anisotropy results show trench parallel fast axis within the incoming plate at uppermost mantle depth (2%-4% anisotropy). All these observations suggest the velocity reduction in the incoming plate prior to subduction results from both serpentinized normal faults and water-filled cracks. Water is expelled from the cracks early in subduction, causing a modest increase in the velocity of the subducting mantle, and moves upward and causes serpentinization of the outer forearc

  11. Silicone metalization

    Energy Technology Data Exchange (ETDEWEB)

    Maghribi, Mariam N. (Livermore, CA); Krulevitch, Peter (Pleasanton, CA); Hamilton, Julie (Tracy, CA)

    2008-12-09

    A system for providing metal features on silicone comprising providing a silicone layer on a matrix and providing a metal layer on the silicone layer. An electronic apparatus can be produced by the system. The electronic apparatus comprises a silicone body and metal features on the silicone body that provide an electronic device.

  12. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  13. Light extinction and scattering from individual and arrayed high-aspect-ratio trenches in metal

    DEFF Research Database (Denmark)

    Roberts, Alexander; Søndergaard, Thomas; Chirumamilla, Manohar

    2016-01-01

    for a two-dimensional scatterer. We construct a simple resonator model which predicts the wavelength-dependent extinction, scattering, and absorption cross section of the trench and compare the model findings with full numerical simulations. Both extinction and scattering cross sections are mainly...

  14. Calcareous nannofossil biostratigraphy and geochronology of Neogene trench-slope cover sediments in the south Boso Peninsula, central Japan: Implications for the development of a shallow accretionary complex

    Science.gov (United States)

    Chiyonobu, Shun; Yamamoto, Yuzuru; Saito, Saneatsu

    2017-07-01

    The geological structure and calcareous nannofossil biostratigraphy of the Middle to Late Miocene trench-slope succession in the southern Boso Peninsula, central Japan, were examined to obtain chronological constraints on the accretion and formation of the trench-slope architecture. As a result, trench-slope cover sediments (Kinone and Amatsu Formations) are clearly distinguishable from the Early Miocene Hota accretionary complex (Hota Group). The Hota accretionary complex was deposited below the carbonate compensation depth (CCD) and was affected by intense shearing, forming an east-west trending and south-verging fold and thrust belt. In contrast, the trench-slope cover sediments basically have a homoclinal dip, except at the northern rim where they are bounded by fault contact. They contain many species of calcareous nannofossils and foraminifers, which are indicative of their depositional environment above the CCD, and they show shallowing-upward sedimentary structures. Biostratigraphy revealed that the depositional age of the trench-slope sediments is ca. 15-5.5 Ma, suggesting that there is an approximately 2 myr hiatus beween the Miura Group and the underlying accretionary prism. Based on these results, the age of accretion of the Hota Group is inferred to be between ca. 17-15 Ma, and the group is covered by trench-slope sediments overlain on it after ca. 15 Ma. The timing of accretion and the age of the trench-slope basin tend to be younger southward of the Boso Peninsula. The accretionary system of the Boso Peninsula apparently developed in two stages, in the Middle Miocene and in the Late Miocene to Pliocene.

  15. Seismic Structure of the Oceanic Plate Entering the Central Part of the Japan Trench Obtained from Ocean-Bottom Seismic Data

    Science.gov (United States)

    Ohira, A.; Kodaira, S.; Fujie, G.; No, T.; Nakamura, Y.; Miura, S.

    2017-12-01

    In trench-outer rise regions, the normal faults develop due to the bending of the incoming plate, which cause numerous normal-faulting earthquakes and systematic structural variations toward trenches. In addition to the effects on the bend-related normal fault, structural variations which are interpreted to be attributed to pseudofaults, a fracture zone, and petit-spot volcanic activities are observed in the oceanic plate entering the central part of the Japan Trench, off Miyagi. In May-June 2017, to understand detail structural variations and systematic structural changes of the oceanic plate toward the trench, we conducted an active-source seismic survey off Miyagi using R/V Kaimei, a new research vessel of JAMSTEC. Along a 100 km-long seismic profile which is approximately perpendicular to the trench axis, we deployed 40 ocean-bottom seismometers at intervals of 2 km and fired a large airgun array (total volume 10,600 cubic inches) with 100 m shooting intervals. Multi-channel seismic reflection data were also collected along the profile. On OBS records we observed refractions from the sedimentary layer and the oceanic crust (Pg), wide-angle reflections from the crust-mantle boundary (PmP), and refractions from the uppermost mantle (Pn). Pg is typically observed clearly at near offsets (approximately 20 km) but it highly attenuates at far offsets (> 20 km). A triplication of Pg-PmP-Pn with strong amplitudes is observed at ranges from 30 km to 60 km offsets. Pn is typically weak and its apparent velocity is approximately 8 km/sec. High attenuation of Pg and weak Pn may indicate the complex crustal structure related to petit-spot volcanic activities and/or a fracture zone, which are recognized in bathymetry data around the profile.

  16. Plasma non-uniformity in a symmetric radiofrequency capacitively-coupled reactor with dielectric side-wall: a two dimensional particle-in-cell/Monte Carlo collision simulation

    Science.gov (United States)

    Liu, Yue; Booth, Jean-Paul; Chabert, Pascal

    2018-02-01

    A Cartesian-coordinate two-dimensional electrostatic particle-in-cell/Monte Carlo collision (PIC/MCC) plasma simulation code is presented, including a new treatment of charge balance at dielectric boundaries. It is used to simulate an Ar plasma in a symmetric radiofrequency capacitively-coupled parallel-plate reactor with a thick (3.5 cm) dielectric side-wall. The reactor size (12 cm electrode width, 2.5 cm electrode spacing) and frequency (15 MHz) are such that electromagnetic effects can be ignored. The dielectric side-wall effectively shields the plasma from the enhanced electric field at the powered-grounded electrode junction, which has previously been shown to produce locally enhanced plasma density (Dalvie et al 1993 Appl. Phys. Lett. 62 3207-9 Overzet and Hopkins 1993 Appl. Phys. Lett. 63 2484-6 Boeuf and Pitchford 1995 Phys. Rev. E 51 1376-90). Nevertheless, enhanced electron heating is observed in a region adjacent to the dielectric boundary, leading to maxima in ionization rate, plasma density and ion flux to the electrodes in this region, and not at the reactor centre as would otherwise be expected. The axially-integrated electron power deposition peaks closer to the dielectric edge than the electron density. The electron heating components are derived from the PIC/MCC simulations and show that this enhanced electron heating results from increased Ohmic heating in the axial direction as the electron density decreases towards the side-wall. We investigated the validity of different analytical formulas to estimate the Ohmic heating by comparing them to the PIC results. The widespread assumption that a time-averaged momentum transfer frequency, v m , can be used to estimate the momentum change can cause large errors, since it neglects both phase and amplitude information. Furthermore, the classical relationship between the total electron current and the electric field must be used with caution, particularly close to the dielectric edge where the (neglected

  17. Noncontact evaluation for interface states by photocarrier counting

    Science.gov (United States)

    Furuta, Masaaki; Shimizu, Kojiro; Maeta, Takahiro; Miyashita, Moriya; Izunome, Koji; Kubota, Hiroshi

    2018-03-01

    We have developed a noncontact measurement method that enables in-line measurement and does not have any test element group (TEG) formation. In this method, the number of photocarriers excited from the interface states are counted which is called “photocarrier counting”, and then the energy distribution of the interface states density (D it) is evaluated by spectral light excitation. In our previous experiment, the method used was a preliminary contact measurement method at the oxide on top of the Si wafer. We developed, at this time, a D it measurement method as a noncontact measurement with a gap between the probes and the wafer. The shallow trench isolation (STI) sidewall has more localized interface states than the region under the gate electrode. We demonstrate the noncontact measurement of trapped carriers from interface states using wafers of three different crystal plane orientations. The demonstration will pave the way for evaluating STI sidewall interface states in future studies.

  18. Interface charge trapping induced flatband voltage shift during plasma-enhanced atomic layer deposition in through silicon via

    Science.gov (United States)

    Li, Yunlong; Suhard, Samuel; Van Huylenbroeck, Stefaan; Meersschaut, Johan; Van Besien, Els; Stucchi, Michele; Croes, Kristof; Beyer, Gerald; Beyne, Eric

    2017-12-01

    A Through Silicon Via (TSV) is a key component for 3D integrated circuit stacking technology, and the diameter of a TSV keeps scaling down to reduce the footprint in silicon. The TSV aspect ratio, defined as the TSV depth/diameter, tends to increase consequently. Starting from the aspect ratio of 10, to improve the TSV sidewall coverage and reduce the process thermal budget, the TSV dielectric liner deposition process has evolved from sub-atmospheric chemical vapour deposition to plasma-enhanced atomic layer deposition (PE-ALD). However, with this change, a strong negative shift in the flatband voltage is observed in the capacitance-voltage characteristic of the vertical metal-oxide-semiconductor (MOS) parasitic capacitor formed between the TSV copper metal and the p-Si substrate. And, no shift is present in planar MOS capacitors manufactured with the same PE-ALD oxide. By comparing the integration process of these two MOS capacitor structures, and by using Elastic Recoil Detection to study the elemental composition of our films, it is found that the origin of the negative flatband voltage shift is the positive charge trapping at the Si/SiO2 interface, due to the positive PE-ALD reactants confined to the narrow cavity of high aspect ratio TSVs. This interface charge trapping effect can be effectively mitigated by high temperature annealing. However, this is limited in the real process due to the high thermal budget. Further investigation on liner oxide process optimization is needed.

  19. Hydrologic behaviour of stormwater infiltration trenches in a central urban area during 2 3/4 years of operation

    DEFF Research Database (Denmark)

    Warnaars, E.; Larsen, A.V.; Jacobsen, P.

    1999-01-01

    overflow. Analyses of falling water tables after rain indicated slight clogging, but this effect is less important than the general lack of knowledge about soil permeability for normal design situations. The results indicate that the stormwater infiltration in central urban areas with compressed soils...... an initial soil survey. Although the two trenches are placed close to each other they function rather differently, corresponding to effective soil permeabilities of 2.10(-6) m/s in one trench and a factor 10 smaller in the other. During 23/4 years of measuring 89 events were recorded, of which 7 caused...

  20. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.

    2015-06-18

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  1. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo

    2015-01-01

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  2. Integration, gap formation, and sharpening of III-V heterostructure nanowires by selective etching

    DEFF Research Database (Denmark)

    Kallesoe, C.; Mølhave, Kristian; Larsen, K. F.

    2010-01-01

    Epitaxial growth of heterostructure nanowires allows for the definition of narrow sections with specific semiconductor composition. The authors demonstrate how postgrowth engineering of III-V heterostructure nanowires using selective etching can form gaps, sharpening of tips, and thin sections...... lithography is used for deposition of catalyst particles on trench sidewalls and the lateral growth of III-V nanowires is achieved from such catalysts. The selectivity of a bromine-based etch on gallium arsenide segments in gallium phosphide nanowires is examined, using a hydrochloride etch to remove the III...

  3. Preliminary design of a biological treatment facility for trench water from a low-level radioactive waste disposal area at West Valley, New York

    Energy Technology Data Exchange (ETDEWEB)

    Rosten, R.; Malkumus, D. [Pacific Nuclear, Inc. (United States); Sonntag, T. [New York State Energy Research and Development Authority, NY (United States); Sundquist, J. [Ecology and Environment, Inc. (United States)

    1993-03-01

    The New York State Energy Research and Development Authority (NYSERDA) owns and manages a State-Licensed Low-Level Radioactive Waste Disposal Area (SDA) at West Valley, New York. Water has migrated into the burial trenches at the SDA and collected there, becoming contaminated with radionuclides and organic compounds. The US Environmental Protection Agency issued an order to NYSERDA to reduce the levels of water in the trenches. A treatability study of the contaminated trench water (leachate) was performed and determined the best available technology to treat the leachate and discharge the effluent. This paper describes the preliminary design of the treatment facility that incorporates the bases developed in the leachate treatability study.

  4. Development of ecologically safe method for main oil and gas pipeline trenching

    Directory of Open Access Journals (Sweden)

    Akhmedov Asvar Mikdadovich

    2014-05-01

    Full Text Available Constructive, technical and technological reliability of major pipeline ensures ecological safety on different stages of life circle - beginning with project preparation activities up to the end of major pipeline operation. Even in the process of transition into new life circle stage, no matter if the pipeline needs major repairs or reconstruction, such technical and technological solutions should be found, which would preserve ecological stability of nature-anthropogenic system. Development of ecology protection technologies of construction, reconstruction and major repairs of main pipelines is of great importance not only for a region, but ensures ecological safety across the globe. The article presents a new way of trenching the main oil and gas pipeline, preservation and increase of ecological safety during its service. The updated technological plan is given in the paper for overhaul of the main oil and gas pipeline using the new technology of pipeline trenching. The suggested technical solution contributes to environment preservation with the help of deteriorating shells - the shells’ material decomposes into environment-friendly components: carbon dioxide, water and humus. The quantity of polluting agents in the atmosphere decreases with the decrease of construction term and quantity of technical equipment.

  5. Investigation on proper materials of a liner system for trench type disposal facilities of radioactive wastes from research, industrial and medical facilities

    International Nuclear Information System (INIS)

    Nakata, Hisakazu; Amazawa, Hiroya; Sakai, Akihiro; Arikawa, Masanobu; Sakamoto, Yoshiaki

    2011-08-01

    The Low-level Radioactive Waste Disposal Project Center of Japan Atomic Energy Agency will settle on near surface disposal facilities with and without engineered barriers for radioactive wastes from research, industrial and medical facilities. Both of them are so called 'concrete pit type' and 'trench type', respectively. The technical standard of constructing and operating a disposal facility based on 'Law for the Regulations of Nuclear Source Material, Nuclear Fuel Material and Reactors' have been regulated partly by referring to that of 'Waste Management and Public Cleansing Law'. This means that the concrete pit type and the trench type disposal facility resemble an isolated type for specified industrial wastes and a non leachate controlled type final disposal site for stable industrial wastes, respectively. On the other, We plan to design a disposal facility with a liner system corresponding to a leachate controlled type final disposal site on a crucial assumption that radioactive wastes other than stable industrial wastes to be disposed into the trench type disposal facility is generated. By current nuclear related regulations in Japan, There are no technical standard of constructing the disposal facility with the liner system referring to that of 'Waste Management and Public Cleansing Law'. We investigate the function of the liner system in order to design a proper liner system for the trench type disposal facility. In this report, We investigated liner materials currently in use by actual leachate controlled type final disposal sites in Japan. Thereby important items such as tensile strength, durability from a view point of selecting proper liner materials were studied. The items were classified into three categories according to importance. We ranked proper liner materials for the trench type disposal facility by evaluating the important items per material. As a result, high density polyethylene(HDPE) of high elasticity type polymetric sheet was selected

  6. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    Science.gov (United States)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  7. The construction for remediation work of contaminated water at Fukushima Daiichi Nuclear Power Plant. Closure work of seawater piping trench and screen pump chamber

    International Nuclear Information System (INIS)

    Hibi, Yasuki; Yanai, Shuji; Nishikori, Kazumasa; Soma, Yu

    2016-01-01

    In the seawater piping trench of Fukushima Daiichi Nuclear Power Plant, highly contaminated water was stagnating, which flowed in from the reactor building and turbine building affected by the tsunami caused by the Tohoku Pacific Ocean Earthquake. Although the screen pump chamber, adjacent to the seawater piping trench, escaped from the inflow and retention of contaminated water, it was exposed to the leakage risk of contaminated water from the seawater piping trench. As measures against these conditions, the following emergency work was applied: (1) contaminated water replacement and removal operation based on the implantation of fillers into the seawater piping trench, and (2) closure operation of the screen pump chamber by implanting fillers into the screen pump chamber. In face of these operations, long-distance underwater flow special filler, high workable concrete, and underwater non-separation concrete were developed and used. The implantation of the long-distance underwater-flow special fillers into the seawater piping trench was successfully completed by filling to the tunnel top without gap and without water head difference, and by preventing the occurrence of movement or water path formation of the fillers in the initial curing process. Other fillers were also able to be implanted as planned. The leakage risk of contaminated water to the periphery could be suppressed to a large extent by this work. (A.O.)

  8. Role of Edge Inclination in an Optical Microdisk Resonator for Label-Free Sensing

    OpenAIRE

    Gandolfi, Davide; Ramiro-Manzano, Fernando; Rebollo, Francisco Javier Aparicio; Ghulinyan, Mher; Pucker, Georg; Pavesi, Lorenzo

    2015-01-01

    In this paper, we report on the measurement and modeling of enhanced optical refractometric sensors based on whispering gallery modes. The devices under test are optical microresonators made of silicon nitride on silicon oxide, which differ in their sidewall inclination angle. In our approach, these microresonators are vertically coupled to a buried waveguide with the aim of creating integrated and cost-effective devices. Device modeling shows that the optimization of the devic...

  9. Draft Level 1 Remedial Investigation Work Plan: 316-3 waste disposal trenches

    International Nuclear Information System (INIS)

    1987-09-01

    This work plan describes the work to be performed for the initial level of site characterization for the 316.3 Trenches at the Hanford Site. This initial site characterization effort will include a review of existing environmental contamination data for the 300 Area as well as collection and analysis of environmental samples to better characterize subsurface contamination at the site. 7 refs., 10 figs., 7 tabs

  10. Three-dimensional nanometrology of microstructures by replica molding and large-range atomic force microscopy

    DEFF Research Database (Denmark)

    Stöhr, Frederik; Michael-Lindhard, Jonas; Simons, Hugh

    2015-01-01

    cavities. We investigated cylindrical deep reactive ion etched cavities in silicon wafers and determined the radius of curvature (ROC) of the sidewalls as a function of depth. Statistical analysis verified the reliability and reproducibility of the replication procedure. The mean ROC was determined as (6.......32 ± 0.06) lm, i.e., with 1% accuracy, while the ROC linearly increases by (0.52 ± 0.03) lm from the top to the bottom of the sidewalls. Nanometer sized surface defects are also well replicated. In addition, the method allows combining multiple features from differently processed wafers into a single...... sample, accelerating characterization in process optimization tasks. To access the sidewall shape samples needed to be cleaved. The method was applied to study X-ray refractive optics, whose performance is crucially affected by their three dimensional shapes....

  11. Installation and instrumentation of a test-trench facility in the unsaturated zone at the Idaho National Engineering Laboratory

    International Nuclear Information System (INIS)

    Lewis, B.D.

    1984-01-01

    Two simulated waste trenches have been constructed just north of the Radioactive Waste Management Complex (RWMC) at the Idaho National Engineering Laboratory. Sections of culvert occupy part of these trenches and are accessible through vertical caissons. These structures therefore allow personnel access for installing instrumentation, maintenance, and observation. Instrumented simulated waste containers will occupy the remainder of the trenches, in order that soil-moisture migration may be observed in relation to waste container forms. The installation will be used to determine, under actual and simulated conditions at a shallow land-burial site in an arid environment, typical soil-moisture content, unsaturated hydraulic conductivity, matric potential, soil-moisture flux, and soil-moisture velocity. The information will be collected using instrumentation located in disturbed and undisturbed soils, simulated waste containers, and the underlying basalt layer. Therefore, data collected from the facility will (a) help characterize the hydrogeologic and geochemical properties of the surficial sediments, (b) contribute to understanding the hydrogeologic phenomena associated with buried waste (including leachate formation and radionuclide migration), (c) provide information on water and solute movement at the sediment/basalt interface, and (d) be used in a radionuclide migration model

  12. Project clean city: joint trenching for urban facilities; Projeto cidade limpa: vala tecnica para instalacoes urbanas - sistema infavias

    Energy Technology Data Exchange (ETDEWEB)

    Silva, Aloisio Pereira da [Companhia de Gas de Santa Catarina (SCGAS), Florianopolis, SC (Brazil)

    2012-07-01

    This study aims to propose a join trench for urban facilities, using concepts related to planning and urban cadastre, sustainability of resource use, and mainly focus on safety during construction, operation and maintenance. The model proposes the replacement of individual construction of facilities of natural gas, potable water, telephone, data transmission and electricity (low and medium voltage) by a system that encompasses all of these facilities in a trench, the deployment may also occur in the same period or if necessary, or at different times, creating flexibility in the system. The security of facilities due to the operational interface between the concessionaires and clients will be ensured by the protected by reinforced concrete structure, designed using the concept of 'fail-safing', derived from the Toyota Production System. The joint trench model was designed from the perspective of sustainability and technological innovation for your future use of the new design of power supply, through the concept of 'Smart Grid' with the use of bidirectional power system transmission and distribution, in decentralized model for generating electricity through cogeneration systems with natural gas. (author)

  13. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  14. Ripple formation on Si surfaces during plasma etching in Cl2

    Science.gov (United States)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  15. Evaluating potential chlorinated methanes degradation mechanisms and treatments in interception trenches filled with concrete-based construction wastes

    Science.gov (United States)

    Rodríguez-Fernandez, Diana; Torrentó, Clara; Rosell, Mònica; Audí-Miró, Carme; Soler, Albert

    2014-05-01

    A complex mixture of chlorinated organic compounds is located in an unconfined carbonated bedrock aquifer with low permeability in a former industrial area next to Barcelona (NE Spain). The site exhibited an especially high complexity due to the presence of multiple contaminant sources, wide variety of pollutants (mainly chlorinated ethenes but also chlorinated methanes) and unknown system of fractures (Palau et al., 2014). Interception trenches were installed in the place of the removed pollution sources and were filled with construction wastes with the aim of retaining and treating the accumulated contaminated recharge water before reaching the aquifer. Recycled concrete-based aggregates from a construction and demolition waste recycling plant were used to maintain alkaline conditions in the water accumulated in the trenches (pH 11.6±0.3) and thus induce chloroform (CF) degradation by alkaline hydrolysis. An efficacy of around 30-40% CF degradation in the interception trenches was calculated from the significant and reproducible CF carbon isotopic fractionation (-53±3o obtained in batch experiments (Torrentó et al., 2014). Surprisingly, although hydrolysis of carbon tetrachloride (CT) is extremely slow, a significant CT carbon isotopic enrichment was also observed in the trenches. The laboratory experiments verified the low capability of concrete to hydrolyze the CT and showed the high adsorption of CT on the concrete particles (73% after 50 days) with invariability in its δ13C values. Therefore, the significant CT isotopic fractionation observed in the interception trenches could point out the occurrence of other degradation processes distinct than alkaline hydrolysis. Geochemical speciation modelling using the code PHREEQC showed that water collected at the trenches is supersaturated with respect to several iron oxy-hydroxides and therefore, CT degradation processes related to these iron minerals cannot be discarded. In addition, the combination of alkaline

  16. Subduction of a buoyant plateau at the Manila Trench: Tomographic evidence and geodynamic implications

    Science.gov (United States)

    Fan, Jianke; Zhao, Dapeng; Dong, Dongdong

    2016-02-01

    We determined P-wave tomographic images by inverting a large number of arrival-time data from 2749 local earthquakes and 1462 teleseismic events, which are used to depict the three-dimensional morphology of the subducted Eurasian Plate along the northern segment of the Manila Trench. Dramatic changes in the dip angle of the subducted Eurasian Plate are revealed from the north to the south, being consistent with the partial subduction of a buoyant plateau beneath the Luzon Arc. Slab tears may exist along the edges of the buoyant plateau within the subducted plate induced by the plateau subduction, and the subducted lithosphere may be absent at depths greater than 250 km at ˜19°N and ˜21°N. The subducted buoyant plateau is possibly oriented toward NW-SE, and the subducted plate at ˜21°N is slightly steeper than that at ˜19°N. These results may explain why the western and eastern volcanic chains in the Luzon Arc are separated by ˜50 km at ˜18°N, whereas they converge into a single volcanic chain northward, which may be related to the oblique subduction along the Manila Trench caused by the northwestern movement of the Philippine Sea Plate. A low-velocity zone is revealed at depths of 20-200 km beneath the Manila Accretionary Prism at ˜22°N, suggesting that the subduction along the Manila Trench may stop there and the collision develops northward. The Taiwan Orogeny may originate directly from the subduction of the buoyant plateau, because the initial time of the Taiwan Orogeny is coincident with that of the buoyant plateau subduction.

  17. Production of electronic grade lunar silicon by disproportionation of silicon difluoride

    Science.gov (United States)

    Agosto, William N.

    1993-01-01

    Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.

  18. The GaN trench gate MOSFET with floating islands: High breakdown voltage and improved BFOM

    Science.gov (United States)

    Shen, Lingyan; Müller, Stephan; Cheng, Xinhong; Zhang, Dongliang; Zheng, Li; Xu, Dawei; Yu, Yuehui; Meissner, Elke; Erlbacher, Tobias

    2018-02-01

    A novel GaN trench gate (TG) MOSFET with P-type floating islands (FLI) in drift region, which can suppress the electric field peak at bottom of gate trench during the blocking state and prevent premature breakdown in gate oxide, is proposed and investigated by TCAD simulations. The influence of thickness, position, doping concentration and length of the FLI on breakdown voltage (BV) and specific on-resistance (Ron_sp) is studied, providing useful guidelines for design of this new type of device. Using optimized parameters for the FLI, GaN FLI TG-MOSFET obtains a BV as high as 2464 V with a Ron_sp of 3.0 mΩ cm2. Compared to the conventional GaN TG-MOSFET with the same structure parameters, the Baliga figure of merit (BFOM) is enhanced by 150%, getting closer to theoretical limit for GaN devices.

  19. Interpretation of vadose zone monitoring system data near Engineered Trench 1

    Energy Technology Data Exchange (ETDEWEB)

    Flach, G. P. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Whiteside, T. S. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL)

    2016-12-12

    The E-Area Vadose Zone Monitoring System (VZMS) includes lysimeter sampling points at many locations alongside and angling beneath the Engineered Trench #1 (ET1) disposal unit footprint. The sampling points for ET1 were selected for this study because collectively they showed consistently higher tritium (H-3) concentrations than lysimeters associated with other trench units. The VZMS tritium dataset for ET1 from 2001 through 2015 comprises concentrations at or near background levels at approximately half of locations through time, concentrations up to about 600 pCi/mL at a few locations, and concentrations at two locations that have exceeded 1000 pCi/mL. The highest three values through 2015 were 6472 pCi/mL in 2014 and 4533 pCi/mL in 2013 at location VL-17, and 3152 pCi/mL in 2007 at location VL-15. As a point of reference, the drinking water standard for tritium and a DOE Order 435.1 performance objective in the saturated zone at the distant 100-meter facility perimeter is 20 pCi/mL. The purpose of this study is to assess whether these elevated concentrations are indicative of a general trend that could challenge 2008 E-Area Performance Assessment (PA) conclusions, or are isolated perturbations that when considered in the context of an entire disposal unit would support PA conclusions.

  20. AMS measurement of {sup 10}Be concentrations in marine sediments from Chile Trench at the TANDAR laboratory

    Energy Technology Data Exchange (ETDEWEB)

    Rodrigues, D., E-mail: darodrig@tandar.cnea.gov.ar [Departamento de Física Experimental, Laboratorio TANDAR, GIyA, Comisión Nacional de Energía Atómica, Av. Gral. Paz 1499, B1650KNA San Martín (Argentina); CONICET, Av. Rivadavia 1917, C1033AAJ Buenos Aires (Argentina); Arazi, A. [Departamento de Física Experimental, Laboratorio TANDAR, GIyA, Comisión Nacional de Energía Atómica, Av. Gral. Paz 1499, B1650KNA San Martín (Argentina); CONICET, Av. Rivadavia 1917, C1033AAJ Buenos Aires (Argentina); Fernández Niello, J.O. [Departamento de Física Experimental, Laboratorio TANDAR, GIyA, Comisión Nacional de Energía Atómica, Av. Gral. Paz 1499, B1650KNA San Martín (Argentina); CONICET, Av. Rivadavia 1917, C1033AAJ Buenos Aires (Argentina); Instituto de Investigación e Ingeniería Ambiental, Universidad Nacional de San Martín, 25 de Mayo y Francia, B1650BWA San Martín, Buenos Aires (Argentina); Martí, G.V. [Departamento de Física Experimental, Laboratorio TANDAR, GIyA, Comisión Nacional de Energía Atómica, Av. Gral. Paz 1499, B1650KNA San Martín (Argentina); and others

    2017-03-15

    The {sup 10}Be/{sup 9}Be ratios in marine sediments samples from the Southern Chile Trench have been measured using accelerator mass spectrometry (AMS). The samples were measured at the TANDAR accelerator, where the discrimination of the {sup 10}Be radionuclides was achieved by means of a passive absorber in front of an ionization chamber. This setup along with the high voltage available, provided a complete suppression of the {sup 10}B isobar interference. The obtained values for the {sup 10}Be concentrations, of the order of 10{sup 9} atoms/g, are the first {sup 10}Be measurements from the Southern Chile Trench and offer an excellent tracer to quantitatively study the recycling of sediments in Andean magmas.

  1. The role of geophysical ERT method to evaluate the leakproofness of diapragm wall of deep foundation trenches on the example of the construction of retail and office complex in Lublin, Poland

    Directory of Open Access Journals (Sweden)

    Pacanowski Grzegorz

    2014-12-01

    Full Text Available This paper addresses the problem of assessing the leakproofness of the bottom of a deep foundation trench, secured by cavity wall, using geophysical methods of electrical resistivity tomography. The study was conducted on a large construction project in Lublin, in a place where there are complicated soil-water conditions: the groundwater level is above the proposed depth of foundation trench, the subsoil is heterogeneous, and there are karsted and weathered carbonate sediments with confined aquifer below the bottom of the trench. A hydraulic fracture occurred at the bottom of the trench during the engineering works, which caused the water flow into the trench. In order to recognize the soil-water conditions the first stage of geophysical measurements of electrical resistivity tomography (ERT was made. The applied methodology allowed to determine the extent of the hydraulic fracture zone within the bottom of foundation trench. In order to assess the leakproofness of Diaphragm Wall the geophysical ERT measurements were repeated (stage 2 A clear reduction in the value of the electrical resistivity of soils in the area of hydraulic fracture was caused by clay injection. The results of ERT measurements are discussed and graphically presented.

  2. Studies of quaternary deposits in investigation trench OL-TK17 on the Olkiluoto study site, Eurajoki, SW Finland

    International Nuclear Information System (INIS)

    Huhta, P.

    2010-06-01

    The Quaternary deposits in investigation trench OL-TK17 were studied by the Geological Survey of Finland in summer 2009. Samples for grain size determinations were taken from 13 vertical profiles, placed about 25 m apart along the trench, where bedrock didn't reach surface. Profiles 5 and 6 were done by the side of the trench because of that. Each till bed was sampled separately. The profiles extended from the soil surface down to bedrock. The samples were first dried in the laboratory after which they were sieved. In addition, the grain size distribution of the < 63 μm fraction was analyzed with the Sedigraph 5100 instrument. Sedimentological observations of the sampling profiles were documented in field by drawing them on a field observation form and the profiles were photographed using a digital camera. In addition, the excavated section was photographed along its whole length. The till cover in OL-TK17 consists of two parts. The surface layer of the upper till is oxidized, brown till, whereas the lower layer is unoxidized, grey till. The lower till has been preserved in bedrock depressions. The lower till is compact, dark grey silty till with more than 19 % of clay-size material (under 0.002 mm). The upper till in OL-TK17 was deposited in the last flow phase of the Weichselian continental ice. Bedrock striations indicate that the ice moved in a WNW-ESE direction. The till beds level out the bedrock topography. Weathered, broken bedrock was found in two places at the bottom of the trench near sampling profiles 08, 09 and 10. No signs of postglacial faults were detected either in the tills or in the exposed bedrock. The tills showed no signs of disturbance related to bedrock movements, only icepressed cracks were found in the lower silty compact till over broken bedrock. (orig.)

  3. Colloidal characterization of ultrafine silicon carbide and silicon nitride powders

    Science.gov (United States)

    Whitman, Pamela K.; Feke, Donald L.

    1986-01-01

    The effects of various powder treatment strategies on the colloid chemistry of aqueous dispersions of silicon carbide and silicon nitride are examined using a surface titration methodology. Pretreatments are used to differentiate between the true surface chemistry of the powders and artifacts resulting from exposure history. Silicon nitride powders require more extensive pretreatment to reveal consistent surface chemistry than do silicon carbide powders. As measured by titration, the degree of proton adsorption from the suspending fluid by pretreated silicon nitride and silicon carbide powders can both be made similar to that of silica.

  4. Review of corrective measures to stabilize subsidence in shallow-land burial trenches

    International Nuclear Information System (INIS)

    Roop, R.D.; Staub, W.P.; Hunsaker, D.B. Jr.; Ketelle, R.H.; Lee, D.W.; Pin, F.G.; Witten, A.J.

    1983-05-01

    Shallow-land burial of low-level radioactive wastes is frequently followed by subsidence: the slumping, cave-in, or depression of the trench's surface. This report describes and evaluates the measures proposed for correcting subsidence, including roller compaction, grouting, explosives, surcharging, falling mass, pile driving, in situ incineration, and accelerated decomposition. Subsidence, which has occurred at all the major waste disposal sites, has two major causes: filling of packing voids (spaces between waste containers) and filling of interior voids (spaces within containers). Four additional mechanisms also contribute to subsidence: collapse of trench walls, chemical and biological degradation, soil consolidation, and shrink and swell phenomena. Corrective measures for subsidence are evaluated on three criteria: effectiveness, applicability, and cost. The evaluation indicates that one method, falling mass, is considered to be effective, widely applicable, and relatively low in cost, suggesting that this would be the most generally useful technique and would yield the greatest payoff from further development and field trials. There are many uncertainties associated with the cost and effectiveness of corrective measures which can best be resolved by experimental field demonstrations. Site-specific analyses for each disposal area are recommended, to determine which techniques are appropriate and to evaluate the overall desirability of applying corrective measures

  5. 300 Area Process Trenches Postclosure Plan

    International Nuclear Information System (INIS)

    Badden, J.W.

    1998-05-01

    The 300 Area Process Trenches (300 APT) certified closure under a modified closure option and in compliance with Condition II.K.3 oft he Hartford Facility Dangerous Waste Permit (Penit) (Ecology 1994). Modified closure has been determined to be the appropriate closure option for this unit due to groundwater that remains contaminated from past operations at the 300 APT. Corrective actions required for dangerous waste constituents remaining in groundwater will occur pursuant to the 300 APT Resource Conservation and Recovery Act (RCRA) Final Status Facility Ground Water Monitoring Plan, the Hanford Site Wide Dangerous Waste Permit, and in conjunction with the Comprehensive Environmental Response, Compensation, and Liability Act (CERCLA) remedial actions at the 300-FF-5 Groundwater Operable Unit (OU) pursuant to the Record of Decision (ROD) (EPA 1996). This postclosure plan identifies the modified closure actions required at the unit under postclosure care. It contains a description of the unit, past closure actions, and postclosure care requirements subject to compliance under the Permit (condition II.K.3)

  6. Characterization of shallow trench isolation CMP process and its application

    Science.gov (United States)

    Li, Helen; Zhang, ChunLei; Liu, JinBing; Liu, ZhengFang; Chen, Kuang Han; Gbondo-Tugbawa, Tamba; Ding, Hua; Li, Flora; Lee, Brian; Gower-Hall, Aaron; Chiu, Yang-Chih

    2016-03-01

    Chemical mechanical polishing (CMP) has been a critical enabling technology in shallow trench isolation (STI), which is used in current integrated circuit fabrication process to accomplish device isolation. Excessive dishing and erosion in STI CMP processes, however, create device yield concerns. This paper proposes characterization and modeling techniques to address a variety of concerns in STI CMP. In the past, majority of CMP publications have been addressed on interconnect layers in backend- of-line (BEOL) process. However, the number of CMP steps in front-end-of-line (FEOL) has been increasing in more advanced process techniques like 3D-FinFET and replacement metal gate, as a results incoming topography induced by FEOL CMP steps can no longer be ignored as the topography accumulates and stacks up across multiple CMP steps and eventually propagating to BEOL layers. In this paper, we first discuss how to characterize and model STI CMP process. Once STI CMP model is developed, it can be used for screening design and detect possible manufacturing weak spots. We also work with process engineering team to establish hotspot criteria in terms of oxide dishing and nitride loss. As process technologies move from planar transistor to 3D transistor like FinFet and multi-gate, it is important to accurately predict topography in FEOL CMP processes. These incoming topographies when stacked up can have huge impact in BEOL copper processes, where copper pooling becomes catastrophic yield loss. A calibration methodology to characterize STI CMP step is developed as shown in Figure 1; moreover, this STI CMP model is validated from silicon data collected from product chips not used in calibration stage. Additionally, wafer experimental setup and metrology plan are instrumental to an accurate model with high predictive power. After a model is generated, spec limits and threshold to establish hotspots criteria can be defined. Such definition requires working closely with foundry

  7. Initial formulation results for in situ grouting of a waste trench at ORNL Site No. 6

    International Nuclear Information System (INIS)

    Tallent, O.K.; McDaniel, E.W.; Spence, R.D.; Godsey, T.T.

    1987-01-01

    An investigation is being conducted by the Chemical Technology Division to assist the Environmental Sciences Division in developing a grout formulation for use in testing in situ grouting in a waste trench at ORNL Site 6. This final report satisfies the milestone of Subtack 12 entitled, ''Low Level Waste (LLW) Trench Grouting Assessment,'' which was initially issued as RAP-86-7, December 31, 1985. Grouts prepared from dry-solid blends containing Type I Portland cement, ASTM Class C or Class F fly ash, and bentonite, mixed water at ratios of 10 to 15 lb/gal, were evaluated. The grouts prepared with ASTM Class C fly ash exhibited significantly better properties than those prepared with ASTM Class F fly ash. The grouts containing ASTM Class C fly ash satisfy tentative performance criteria for the project. 8 refs., 7 tabs

  8. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  9. Porous silicon: silicon quantum dots for photonic applications

    International Nuclear Information System (INIS)

    Pavesi, L.; Guardini, R.

    1996-01-01

    Porous silicon formation and structure characterization are briefly illustrated. Its luminescence properties rae presented and interpreted on the basis of exciton recombination in quantum dot structures: the trap-controlled hopping mechanism is used to describe the recombination dynamics. Porous silicon application to photonic devices is considered: porous silicon multilayer in general, and micro cavities in particular are described. The present situation in the realization of porous silicon LEDs is considered, and future developments in this field of research are suggested. (author). 30 refs., 30 figs., 13 tabs

  10. Etching patterns on the micro‐ and nanoscale

    DEFF Research Database (Denmark)

    Michael-Lindhard, Jonas; Herstrøm, Berit; Stöhr, Frederik

    2014-01-01

    ‐ray beam down to a spot size of some 100 nm, the sidewalls of the cavities etched down to 300 μm into a silicon wafer must be perfectly straight and normal to the surface and have minimum roughness.The range of possible applications of the silicon etches is greatly extended if combined with electroplating...... and polymer injection molding. High precision patterns of, for instance microfluidic devices, are etched intosilicon which is then electroplated with nickel that will serve as a stamp in the polymer injection molding tool where thousands of devices may be replicated. In addition to silicon and its derived...

  11. Biological intrusion of low-level-waste trench covers

    Science.gov (United States)

    Hakonson, T. E.; Gladney, E. S.

    The long-term integrity of low-level waste shallow land burialsites is dependent on the interaction of physical, chemical, and biological factors that modify the waste containment system. The need to consider biological processes as being potentially important in reducing the integrity of waste burial site cover treatment is demonstrated. One approach to limiting biological intrusion through the waste cover is to apply a barrier within the profile to limit root and animal penetration with depth. Experiments in the Los Alamos Experimental Engineered Test Facility were initiated to develop and evaluate biological barriers that are effective in minimizing intrusion into waste trenches. The experiments that are described employ four different candidate barrier materials of geologic origin. Experimental variables that will be evaluated, in addition to barrier type, are barrier depth and sil overburden depth.

  12. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  13. Closure Report for Corrective Action Unit 404: Roller Coaster Sewage Lagoons and North Disposal Trench, Tonopah Test Range, Nevada with ROTC 1, Revision 0

    Energy Technology Data Exchange (ETDEWEB)

    Lynn Kidman

    1998-09-01

    This Closure Report provides the documentation for closure of the Roller Coaster Sewage Lagoons and North Disposal Trench Comective Action Unit (CAU) 404. CAU 404 consists of the Roller Coaster Sewage Lagoons (Corrective Action Site [CAS] TA-03-O01-TA-RC) and the North Disposal Trench (CAS TA-21-001-TA-RC). The site is located on the Tonopah Test Range, approximately 225 kilometers (km) (140 miles [mi]) northwest ofLas Vegas, Nevada. . The sewage lagoons received ~quid sanitary waste horn the Operation Roller Coaster Man Camp in 1963 and debris from subsequent range and construction cleanup activities. The debris and ordnance was subsequently removed and properly dispos~, however, pesticides were detected in soil samples born the bottom of the lagoons above the U,S. Environmental Protection Agency Region IX Prelimimuy Remediation Goals (EPA 1996). . The North Disposal Trench was excavated in 1963. Debris from the man camp and subsequent range and construction cleanup activities was placed in the trench. Investigation results indicated that no constituents of concern were detected in soil samples collected from the trench. Remedial alternative proposed in the Comctive Action Decision Document (CADD) fm the site was “Covering” (DOE, 1997a). The Nevada Division of”Enviromnental Protection (NDEP)-approved Correction Action Plan (CAP) proposed the “Covering” niethodology (1997b). The closure activities were completed in accorhce with the approwil CAP and consisted of baclctllling the sewage lagoons and disposal trench, constructing/planting an engineered/vegetative cover in the area of the sewage lagoons and dikposal trencQ installing a perimeter fence and signs, implementing restrictions on fi~e use, and preparing a Post-Closure Monitoring Plan. “ Since closure activities. for CAU 404 have been completed in accordance with the Nevada Division of Environmental Protection-approved CAP (DOE, 1997b) as documented in this Closure Report, the U.S. Department of

  14. Response of Microbial Community Function to Fluctuating Geochemical Conditions within a Legacy Radioactive Waste Trench Environment.

    Science.gov (United States)

    Vázquez-Campos, Xabier; Kinsela, Andrew S; Bligh, Mark W; Harrison, Jennifer J; Payne, Timothy E; Waite, T David

    2017-09-01

    During the 1960s, small quantities of radioactive materials were codisposed with chemical waste at the Little Forest Legacy Site (Sydney, Australia) in 3-meter-deep, unlined trenches. Chemical and microbial analyses, including functional and taxonomic information derived from shotgun metagenomics, were collected across a 6-week period immediately after a prolonged rainfall event to assess the impact of changing water levels upon the microbial ecology and contaminant mobility. Collectively, results demonstrated that oxygen-laden rainwater rapidly altered the redox balance in the trench water, strongly impacting microbial functioning as well as the radiochemistry. Two contaminants of concern, plutonium and americium, were shown to transition from solid-iron-associated species immediately after the initial rainwater pulse to progressively more soluble moieties as reducing conditions were enhanced. Functional metagenomics revealed the potentially important role that the taxonomically diverse microbial community played in this transition. In particular, aerobes dominated in the first day, followed by an increase of facultative anaerobes/denitrifiers at day 4. Toward the mid-end of the sampling period, the functional and taxonomic profiles depicted an anaerobic community distinguished by a higher representation of dissimilatory sulfate reduction and methanogenesis pathways. Our results have important implications to similar near-surface environmental systems in which redox cycling occurs. IMPORTANCE The role of chemical and microbiological factors in mediating the biogeochemistry of groundwaters from trenches used to dispose of radioactive materials during the 1960s is examined in this study. Specifically, chemical and microbial analyses, including functional and taxonomic information derived from shotgun metagenomics, were collected across a 6-week period immediately after a prolonged rainfall event to assess how changing water levels influence microbial ecology and

  15. Ship motion effects in CTD-data from weakly stratified waters of the Puerto Rico trench

    NARCIS (Netherlands)

    van Haren, H.

    2015-01-01

    Shipborne SBE 911plus Conductivity Temperature Depth (CTD)-casts have been made to maximum 7220 m in the Puerto Rico Trench (PRT). In PRT-waters from 5500 m and deeper and specifically below the 6500 m transition to the hadal-zone, the vertical density stratification is found very weak, with

  16. Geochemistry of silicon isotopes

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Tiping; Li, Yanhe; Gao, Jianfei; Hu, Bin [Chinese Academy of Geological Science, Beijing (China). Inst. of Mineral Resources; Jiang, Shaoyong [China Univ. of Geosciences, Wuhan (China).

    2018-04-01

    Silicon is one of the most abundant elements in the Earth and silicon isotope geochemistry is important in identifying the silicon source for various geological bodies and in studying the behavior of silicon in different geological processes. This book starts with an introduction on the development of silicon isotope geochemistry. Various analytical methods are described and compared with each other in detail. The mechanisms of silicon isotope fractionation are discussed, and silicon isotope distributions in various extraterrestrial and terrestrial reservoirs are updated. Besides, the applications of silicon isotopes in several important fields are presented.

  17. Microstructural analysis in the depth direction of a heteroepitaxial AlN thick film grown on a trench-patterned template by nanobeam X-ray diffraction

    Science.gov (United States)

    Shida, K.; Takeuchi, S.; Tohei, T.; Miyake, H.; Hiramatsu, K.; Sumitani, K.; Imai, Y.; Kimura, S.; Sakai, A.

    2018-04-01

    This work quantitatively assessed the three-dimensional distribution of crystal lattice distortions in an epitaxial AlN thick film grown on a trench-patterned template, using nanobeam X-ray diffraction. Position-dependent ω-2θ-φ mapping clearly demonstrated local tilting, spacing and twisting of lattice planes as well as fluctuations in these phenomena on a sub-micrometer scale comparable to the pitch of the trench-and-terrace patterning. Analysis of the crystal lattice distortion in the depth direction was performed using a newly developed method in which the X-ray nanobeam diffracted from the sample surface to specific depths can be selectively detected by employing a Pt wire profiler. This technique generated depth-resolved ω-2θ-φ maps confirming that fluctuations in lattice plane tilting and spacing greatly depend on the dislocation distribution and the history of the AlN epitaxial growth on the trench-patterned structure. It was also found that both fluctuations were reduced on approaching the AlN surface and, in particular, were sharply reduced at specific depths in the terrace regions. These sharp reductions are attributed to the formation of sacrificial zones with degraded crystal quality around the trenches and possibly lead to raising the crystal quality near the surface of the AlN film.

  18. Simulation of new p-type strip detectors with trench to enhance the charge multiplication effect in the n-type electrodes

    International Nuclear Information System (INIS)

    Fernández-Martínez, P.; Pellegrini, G.; Balbuena, J.P.; Quirion, D.; Hidalgo, S.; Flores, D.; Lozano, M.; Casse, G.

    2011-01-01

    This paper shows the simulation results of new p-type strip detectors with trench electrodes to enhance the charge multiplication effect in the irradiated detector. The new design includes baby microstrip detectors (area=1 cm 2 ) with a strip pitch of 80 μm and p-stop isolation structures. The strip has a 5 μm-wide trench along all its length, filled and doped with polysilicon to create a deep N + contact into the material bulk. The trench depth can be varied in order to study the influence of the electric field on the charge multiplication effect in heavily irradiated samples. Some alternative designs have also been studied to establish a comparison between various structures using different technologies. Simulation reproduce the electrical behaviour under different irradiation conditions, taking into account the damage accumulated after irradiation with neutrons and protons with several fluence values. The investigation of these effects provides important indications on the ability of this modified electrode geometry to control and optimise the charge multiplication effect, in order to fully recover the collection efficiency of heavily irradiated microstrip detectors, at reasonable bias voltage compatible with the voltage feed limitation of the CERN SLHC experiments.

  19. Paleoseismology of the Nephi Segment of the Wasatch Fault Zone, Juab County, Utah - Preliminary Results From Two Large Exploratory Trenches at Willow Creek

    Science.gov (United States)

    Machette, Michael N.; Crone, Anthony J.; Personius, Stephen F.; Mahan, Shannon; Dart, Richard L.; Lidke, David J.; Olig, Susan S.

    2007-01-01

    In 2004, we identified a small parcel of U.S. Forest Service land at the mouth of Willow Creek (about 5 km west of Mona, Utah) that was suitable for trenching. At the Willow Creek site, which is near the middle of the southern strand of the Nephi segment, the WFZ has vertically displaced alluvial-fan deposits >6-7 m, forming large, steep, multiple-event scarps. In May 2005, we dug two 4- to 5-m-deep backhoe trenches at the Willow Creek site, identified three colluvial wedges in each trench, and collected samples of charcoal and A-horizon organic material for AMS (acceleration mass spectrometry) radiocarbon dating, and sampled fine-grained eolian and colluvial sediment for luminescence dating. The trenches yielded a stratigraphic assemblage composed of moderately coarse-grained fluvial and debris-flow deposits and discrete colluvial wedges associated with three faulting events (P1, P2, and P3). About one-half of the net vertical displacement is accommodated by monoclinal tilting of fan deposits on the hanging-wall block, possibly related to massive ductile landslide deposits that are present beneath the Willow Creek fan. The timing of the three surface-faulting events is bracketed by radiocarbon dates and results in a much different fault chronology and higher slip rates than previously considered for this segment of the Wasatch fault zone.

  20. Silicon heterojunction transistor

    International Nuclear Information System (INIS)

    Matsushita, T.; Oh-uchi, N.; Hayashi, H.; Yamoto, H.

    1979-01-01

    SIPOS (Semi-insulating polycrystalline silicon) which is used as a surface passivation layer for highly reliable silicon devices constitutes a good heterojunction for silicon. P- or B-doped SIPOS has been used as the emitter material of a heterojunction transistor with the base and collector of silicon. An npn SIPOS-Si heterojunction transistor showing 50 times the current gain of an npn silicon homojunction transistor has been realized by high-temperature treatments in nitrogen and low-temperature annealing in hydrogen or forming gas