WorldWideScience

Sample records for silicon substrate electronic

  1. Electron-spin-resonance study of radiation-induced paramagnetic defects in oxides grown on (100) silicon substrates

    International Nuclear Information System (INIS)

    Kim, Y.Y.; Lenahan, P.M.

    1988-01-01

    We have used electron-spin resonance to investigate radiation-induced point defects in Si/SiO 2 structures with (100) silicon substrates. We find that the radiation-induced point defects are quite similar to defects generated in Si/SiO 2 structures grown on (111) silicon substrates. In both cases, an oxygen-deficient silicon center, the E' defect, appears to be responsible for trapped positive charge. In both cases trivalent silicon (P/sub b/ centers) defects are primarily responsible for radiation-induced interface states. In earlier electron-spin-resonance studies of unirradiated (100) substrate capacitors two types of P/sub b/ centers were observed; in oxides prepared in three different ways only one of these centers, the P/sub b/ 0 defect, is generated in large numbers by ionizing radiation

  2. Stretchable and foldable silicon-based electronics

    KAUST Repository

    Cavazos Sepulveda, Adrian Cesar

    2017-03-30

    Flexible and stretchable semiconducting substrates provide the foundation for novel electronic applications. Usually, ultra-thin, flexible but often fragile substrates are used in such applications. Here, we describe flexible, stretchable, and foldable 500-μm-thick bulk mono-crystalline silicon (100) “islands” that are interconnected via extremely compliant 30-μm-thick connectors made of silicon. The thick mono-crystalline segments create a stand-alone silicon array that is capable of bending to a radius of 130 μm. The bending radius of the array does not depend on the overall substrate thickness because the ultra-flexible silicon connectors are patterned. We use fracture propagation to release the islands. Because they allow for three-dimensional monolithic stacking of integrated circuits or other electronics without any through-silicon vias, our mono-crystalline islands can be used as a “more-than-Moore” strategy and to develop wearable electronics that are sufficiently robust to be compatible with flip-chip bonding.

  3. Stretchable and foldable silicon-based electronics

    KAUST Repository

    Cavazos Sepulveda, Adrian Cesar; Diaz Cordero, M. S.; Carreno, Armando Arpys Arevalo; Nassar, Joanna M.; Hussain, Muhammad Mustafa

    2017-01-01

    Flexible and stretchable semiconducting substrates provide the foundation for novel electronic applications. Usually, ultra-thin, flexible but often fragile substrates are used in such applications. Here, we describe flexible, stretchable, and foldable 500-μm-thick bulk mono-crystalline silicon (100) “islands” that are interconnected via extremely compliant 30-μm-thick connectors made of silicon. The thick mono-crystalline segments create a stand-alone silicon array that is capable of bending to a radius of 130 μm. The bending radius of the array does not depend on the overall substrate thickness because the ultra-flexible silicon connectors are patterned. We use fracture propagation to release the islands. Because they allow for three-dimensional monolithic stacking of integrated circuits or other electronics without any through-silicon vias, our mono-crystalline islands can be used as a “more-than-Moore” strategy and to develop wearable electronics that are sufficiently robust to be compatible with flip-chip bonding.

  4. A convenient way of manufacturing silicon nanotubes on a silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Changchang; Cheng, Heming; Liu, Xiang, E-mail: liuxiang@ahut.edu.cn

    2016-07-01

    A convenient approach of preparing silicon nanotubes (SiNTs) on a silicon substrate is described in this work in detail. Firstly, a porous silicon (PSi) slice is prepared by a galvanic displacement reaction. Then it is put into aqueous solutions of 20% (w%) ammonium fluoride and 2.5 mM cobalt nitrate for a predetermined time. The cobalt ions are reduced and the resulted cobalt particles are deposited on the PSi slice. After the cobalt particles are removed with 5 M nitric acid a plenty of SiNTs come out and exhibit disorderly on the silicon substrate, which are illustrated by scanning electron microscopy (SEM). The compositions of the SiNTs are examined by energy-dispersive X-ray spectroscopy. Based on the SEM images, a suggested mechanism is put forward to explain the generation of the SiNTs on the PSi substrate. - Highlights: • A facile approach of preparing silicon nano tubes was invented. • The experimental results demonstrated the strong reducibility of Si-H{sub x} species. • It provided a new way of manufacturing silicon-contained hybrids.

  5. Transformational silicon electronics

    KAUST Repository

    Rojas, Jhonathan Prieto

    2014-02-25

    In today\\'s traditional electronics such as in computers or in mobile phones, billions of high-performance, ultra-low-power devices are neatly integrated in extremely compact areas on rigid and brittle but low-cost bulk monocrystalline silicon (100) wafers. Ninety percent of global electronics are made up of silicon. Therefore, we have developed a generic low-cost regenerative batch fabrication process to transform such wafers full of devices into thin (5 μm), mechanically flexible, optically semitransparent silicon fabric with devices, then recycling the remaining wafer to generate multiple silicon fabric with chips and devices, ensuring low-cost and optimal utilization of the whole substrate. We show monocrystalline, amorphous, and polycrystalline silicon and silicon dioxide fabric, all from low-cost bulk silicon (100) wafers with the semiconductor industry\\'s most advanced high-κ/metal gate stack based high-performance, ultra-low-power capacitors, field effect transistors, energy harvesters, and storage to emphasize the effectiveness and versatility of this process to transform traditional electronics into flexible and semitransparent ones for multipurpose applications. © 2014 American Chemical Society.

  6. Hot-Electron Bolometer Mixers on Silicon-on-Insulator Substrates for Terahertz Frequencies

    Science.gov (United States)

    Skalare, Anders; Stern, Jeffrey; Bumble, Bruce; Maiwald, Frank

    2005-01-01

    A terahertz Hot-Electron Bolometer (HEB) mixer design using device substrates based on Silicon-On-Insulator (SOI) technology is described. This substrate technology allows very thin chips (6 pm) with almost arbitrary shape to be manufactured, so that they can be tightly fitted into a waveguide structure and operated at very high frequencies with only low risk for power leakages and resonance modes. The NbTiN-based bolometers are contacted by gold beam-leads, while other beamleads are used to hold the chip in place in the waveguide test fixture. The initial tests yielded an equivalent receiver noise temperature of 3460 K double-sideband at a local oscillator frequency of 1.462 THz and an intermediate frequency of 1.4 GHz.

  7. Study of thickness and uniformity of oxide passivation with DI-O3 on silicon substrate for electronic and photonic applications

    Science.gov (United States)

    Sharma, Mamta; Hazra, Purnima; Singh, Satyendra Kumar

    2018-05-01

    Since the beginning of semiconductor fabrication technology evolution, clean and passivated substrate surface is one of the prime requirements for fabrication of Electronic and optoelectronic device fabrication. However, as the scale of silicon circuits and device architectures are continuously decreased from micrometer to nanometer (from VLSI to ULSI technology), the cleaning methods to achieve better wafer surface qualities has raised research interests. The development of controlled and uniform silicon dioxide is the most effective and reliable way to achieve better wafer surface quality for fabrication of electronic devices. On the other hand, in order to meet the requirement of high environment safety/regulatory standards, the innovation of cleaning technology is also in demand. The controlled silicon dioxide layer formed by oxidant de-ionized ozonated water has better uniformity. As the uniformity of the controlled silicon dioxide layer is improved on the substrate, it enhances the performance of the devices. We can increase the thickness of oxide layer, by increasing the ozone time treatment. We reported first time to measurement of thickness of controlled silicon dioxide layer and obtained the uniform layer for same ozone time.

  8. Mechanically flexible optically transparent porous mono-crystalline silicon substrate

    KAUST Repository

    Rojas, Jhonathan Prieto; Syed, Ahad A.; Hussain, Muhammad Mustafa

    2012-01-01

    For the first time, we present a simple process to fabricate a thin (≥5μm), mechanically flexible, optically transparent, porous mono-crystalline silicon substrate. Relying only on reactive ion etching steps, we are able to controllably peel off a thin layer of the original substrate. This scheme is cost favorable as it uses a low-cost silicon <100> wafer and furthermore it has the potential for recycling the remaining part of the wafer that otherwise would be lost and wasted during conventional back-grinding process. Due to its porosity, it shows see-through transparency and potential for flexible membrane applications, neural probing and such. Our process can offer flexible, transparent silicon from post high-thermal budget processed device wafer to retain the high performance electronics on flexible substrates. © 2012 IEEE.

  9. Photonic integration and photonics-electronics convergence on silicon platform

    CERN Document Server

    Liu, Jifeng; Baba, Toshihiko; Vivien, Laurent; Xu, Dan-Xia

    2015-01-01

    Silicon photonics technology, which has the DNA of silicon electronics technology, promises to provide a compact photonic integration platform with high integration density, mass-producibility, and excellent cost performance. This technology has been used to develop and to integrate various photonic functions on silicon substrate. Moreover, photonics-electronics convergence based on silicon substrate is now being pursued. Thanks to these features, silicon photonics will have the potential to be a superior technology used in the construction of energy-efficient cost-effective apparatuses for various applications, such as communications, information processing, and sensing. Considering the material characteristics of silicon and difficulties in microfabrication technology, however, silicon by itself is not necessarily an ideal material. For example, silicon is not suitable for light emitting devices because it is an indirect transition material. The resolution and dynamic range of silicon-based interference de...

  10. Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Naderi, N., E-mail: naderi.phd@gmail.com [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2013-03-05

    Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated

  11. Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates

    International Nuclear Information System (INIS)

    Naderi, N.; Hashim, M.R.

    2013-01-01

    Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated

  12. Selective growth of carbon nanotube on silicon substrates

    Institute of Scientific and Technical Information of China (English)

    ZOU Xiao-ping; H. ABE; T. SHIMIZU; A. ANDO; H. TOKUMOT; ZHU Shen-ming; ZHOU Hao-shen

    2006-01-01

    The carbon nanotube (CNT) growth of iron oxide-deposited trench-patterns and the locally-ordered CNT arrays on silicon substrate were achieved by simple thermal chemical vapor deposition(STCVD) of ethanol vapor. The CNTs were uniformly synthesized with good selectivity on trench-patterned silicon substrates. This fabrication process is compatible with currently used semiconductor-processing technologies,and the carbon-nanotube fabrication process can be widely applied for the development of electronic devices using carbon-nanotube field emitters as cold cathodes and can revolutionize the area of field-emitting electronic devices. The site-selective growth of CNT from an iron oxide nanoparticle catalyst patterned were also achieved by drying-mediated self-assembly technique. The present method offers a simple and cost-effective method to grow carbon nanotubes with self-assembled patterns.

  13. Design and simulation of a novel GaN based resonant tunneling high electron mobility transistor on a silicon substrate

    International Nuclear Information System (INIS)

    Chowdhury, Subhra; Biswas, Dhrubes; Chattaraj, Swarnabha

    2015-01-01

    For the first time, we have introduced a novel GaN based resonant tunneling high electron mobility transistor (RTHEMT) on a silicon substrate. A monolithically integrated GaN based inverted high electron mobility transistor (HEMT) and a resonant tunneling diode (RTD) are designed and simulated using the ATLAS simulator and MATLAB in this study. The 10% Al composition in the barrier layer of the GaN based RTD structure provides a peak-to-valley current ratio of 2.66 which controls the GaN based HEMT performance. Thus the results indicate an improvement in the current–voltage characteristics of the RTHEMT by controlling the gate voltage in this structure. The introduction of silicon as a substrate is a unique step taken by us for this type of RTHEMT structure. (paper)

  14. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa

    2014-08-28

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  15. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto

    2014-01-01

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  16. Process Simulation and Characterization of Substrate Engineered Silicon Thin Film Transistor for Display Sensors and Large Area Electronics

    International Nuclear Information System (INIS)

    Hashmi, S M; Ahmed, S

    2013-01-01

    Design, simulation, fabrication and post-process qualification of substrate-engineered Thin Film Transistors (TFTs) are carried out to suggest an alternate manufacturing process step focused on display sensors and large area electronics applications. Damage created by ion implantation of Helium and Silicon ions into single-crystalline n-type silicon substrate provides an alternate route to create an amorphized region responsible for the fabrication of TFT structures with controllable and application-specific output parameters. The post-process qualification of starting material and full-cycle devices using Rutherford Backscattering Spectrometry (RBS) and Proton or Particle induced X-ray Emission (PIXE) techniques also provide an insight to optimize the process protocols as well as their applicability in the manufacturing cycle

  17. High performance multilayered nano-crystalline silicon/silicon-oxide light-emitting diodes on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Darbari, S; Shahmohammadi, M; Mortazavi, M; Mohajerzadeh, S [Thin Film and Nano-Electronic Laboratory, School of ECE, University of Tehran, Tehran (Iran, Islamic Republic of); Abdi, Y [Nano-Physics Research Laboratory, Department of Physics, University of Tehran, Tehran (Iran, Islamic Republic of); Robertson, M; Morrison, T, E-mail: mohajer@ut.ac.ir [Department of Physics, Acadia University, Wolfville, NS (Canada)

    2011-09-16

    A low-temperature hydrogenation-assisted sequential deposition and crystallization technique is reported for the preparation of nano-scale silicon quantum dots suitable for light-emitting applications. Radio-frequency plasma-enhanced deposition was used to realize multiple layers of nano-crystalline silicon while reactive ion etching was employed to create nano-scale features. The physical characteristics of the films prepared using different plasma conditions were investigated using scanning electron microscopy, transmission electron microscopy, room temperature photoluminescence and infrared spectroscopy. The formation of multilayered structures improved the photon-emission properties as observed by photoluminescence and a thin layer of silicon oxy-nitride was then used for electrical isolation between adjacent silicon layers. The preparation of light-emitting diodes directly on glass substrates has been demonstrated and the electroluminescence spectrum has been measured.

  18. Investigation of carbon nanotube-containing film on silicon substrates and its tribological behavior

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Zhiyong [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Cheng, Xianhua, E-mail: xhcheng@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2015-11-15

    Highlights: • CNT-containing film was self-assembled on silicon substrates. • CNTs are strongly bonded with the substrates by chemical combination between La and oxygen-containing functional groups. • CNT-containing film has excellent friction reduction, load-carrying capacity and anti-wear ability. - Abstract: Carbon nanotubes (CNTs) were functionalized with Lanthanum (La) modifier and appropriate acid-treatment methods. CNT-containing film was deposited on silicon substrates via a self-assembly process. The formation and microstructure of La treated CNTs and CNT-containing film were characterized by high-resolution transmission electron microscopy (HRTEM), scanning electron microscopy (SEM), X-ray photoelectron spectrometry (XPS) and water contact angle (WCA). Its tribological properties were evaluated with a UMT-2MT reciprocating friction tester. The results show that CNTs were adsorbed on silicon substrates by means of chemically bonding between La and oxygen-containing functional groups. The friction coefficient of the silicon substrates is reduced from 0.87 to 0.12 after the deposition of CNT-containing film on its surface. CNT-containing film shows excellent antiwear, friction reducing ability and load-carrying capacity due to excellent mechanical and self-lubrication properties of CNTs.

  19. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  20. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  1. Silicon nitride and intrinsic amorphous silicon double antireflection coatings for thin-film solar cells on foreign substrates

    International Nuclear Information System (INIS)

    Li, Da; Kunz, Thomas; Wolf, Nadine; Liebig, Jan Philipp; Wittmann, Stephan; Ahmad, Taimoor; Hessmann, Maik T.; Auer, Richard; Göken, Mathias; Brabec, Christoph J.

    2015-01-01

    Hydrogenated intrinsic amorphous silicon (a-Si:H) was investigated as a surface passivation method for crystalline silicon thin film solar cells on graphite substrates. The results of the experiments, including quantum efficiency and current density-voltage measurements, show improvements in cell performance. This improvement is due to surface passivation by an a-Si:H(i) layer, which increases the open circuit voltage and the fill factor. In comparison with our previous work, we have achieved an increase of 0.6% absolute cell efficiency for a 40 μm thick 4 cm 2 aperture area on the graphite substrate. The optical properties of the SiN x /a-Si:H(i) stack were studied using spectroscopic ellipsometer techniques. Scanning transmission electron microscopy inside a scanning electron microscope was applied to characterize the cross section of the SiN x /a-Si:H(i) stack using focus ion beam preparation. - Highlights: • We report a 10.8% efficiency for thin-film silicon solar cell on graphite. • Hydrogenated intrinsic amorphous silicon was applied for surface passivation. • SiN x /a-Si:H(i) stacks were characterized by spectroscopic ellipsometer techniques. • Cross-section micrograph was obtained by scanning transmission electron microscopy. • Quantum efficiency and J-V measurements show improvements in the cell performance

  2. Vertically etched silicon nano-rods as a sensitive electron detector

    International Nuclear Information System (INIS)

    Hajmirzaheydarali, M; Akbari, M; Soleimani-Amiri, S; Sadeghipari, M; Shahsafi, A; Akhavan Farahani, A; Mohajerzadeh, S

    2015-01-01

    We have used vertically etched silicon nano-rods to realize electron detectors suitable for scanning electron microscopes. The results of deep etching of silicon nano-structures are presented to achieve highly ordered arrays of nano-rods. The response of the electron detector to energy of the primary electron beam and the effects of various sizes and materials has been investigated, indicating its high sensitivity to secondary and back-scattered electrons. The miniaturized structure of this electron detector allows it to be placed in the vicinity of the specimen to improve the resolution and contrast. This detector collects electrons and converts the electron current to voltage directly by means of n-doped silicon nano-rods on a p-type silicon substrate. Silicon nano-rods enhance the surface-to-volume ratio of the detector as well as improving the yield of electron detection. The use of nano-structures and silicon nanowires as an electron detector has led to higher sensitivities than with micro-structures. (paper)

  3. Indium-bump-free antimonide superlattice membrane detectors on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zamiri, M., E-mail: mzamiri@chtm.unm.edu, E-mail: skrishna@chtm.unm.edu; Klein, B.; Schuler-Sandy, T.; Dahiya, V.; Cavallo, F. [Center for High Technology Materials, Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, New Mexico 87106 (United States); Myers, S. [SKINfrared, LLC, Lobo Venture Lab, 801 University Blvd., Suite 10, Albuquerque, New Mexico 87106 (United States); Krishna, S., E-mail: mzamiri@chtm.unm.edu, E-mail: skrishna@chtm.unm.edu [Center for High Technology Materials, Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, New Mexico 87106 (United States); SKINfrared, LLC, Lobo Venture Lab, 801 University Blvd., Suite 10, Albuquerque, New Mexico 87106 (United States)

    2016-02-29

    We present an approach to realize antimonide superlattices on silicon substrates without using conventional Indium-bump hybridization. In this approach, PIN superlattices are grown on top of a 60 nm Al{sub 0.6}Ga{sub 0.4}Sb sacrificial layer on a GaSb host substrate. Following the growth, the individual pixels are transferred using our epitaxial-lift off technique, which consists of a wet-etch to undercut the pixels followed by a dry-stamp process to transfer the pixels to a silicon substrate prepared with a gold layer. Structural and optical characterization of the transferred pixels was done using an optical microscope, scanning electron microscopy, and photoluminescence. The interface between the transferred pixels and the new substrate was abrupt, and no significant degradation in the optical quality was observed. An Indium-bump-free membrane detector was then fabricated using this approach. Spectral response measurements provided a 100% cut-off wavelength of 4.3 μm at 77 K. The performance of the membrane detector was compared to a control detector on the as-grown substrate. The membrane detector was limited by surface leakage current. The proposed approach could pave the way for wafer-level integration of photonic detectors on silicon substrates, which could dramatically reduce the cost of these detectors.

  4. Aligned three-dimensional prismlike magnesium nanostructures realized onto silicon substrate

    International Nuclear Information System (INIS)

    Zhang Kaili; Rossi, Carole; Tenailleau, Christophe; Alphonse, Pierre

    2008-01-01

    A simple approach is proposed to realize three-dimensional (3D) prismlike Mg nanostructures, which has several advantages over previous investigations such as suitable for mass production, reduced impurities, tailored dimensions, and easier integration into microsystem. 3D Mg nanostructures are realized onto silicon substrate using a conventional thermal evaporator, where the incident angle of Mg vapor flux with respect to the substrate surface normal is fixed at 88 deg. The as-prepared 3D Mg nanostructures are characterized by scanning electron microscopy, x-ray diffraction, energy dispersive x-ray analysis, transmission electron microscopy, high-resolution transmission electron microscopy, and surface area measurement

  5. Design and Fabrication of Silicon-on-Silicon-Carbide Substrates and Power Devices for Space Applications

    Directory of Open Access Journals (Sweden)

    Gammon P.M.

    2017-01-01

    Full Text Available A new generation of power electronic semiconductor devices are being developed for the benefit of space and terrestrial harsh-environment applications. 200-600 V lateral transistors and diodes are being fabricated in a thin layer of silicon (Si wafer bonded to silicon carbide (SiC. This novel silicon-on-silicon-carbide (Si/SiC substrate solution promises to combine the benefits of silicon-on-insulator (SOI technology (i.e device confinement, radiation tolerance, high and low temperature performance with that of SiC (i.e. high thermal conductivity, radiation hardness, high temperature performance. Details of a process are given that produces thin films of silicon 1, 2 and 5 μm thick on semi-insulating 4H-SiC. Simulations of the hybrid Si/SiC substrate show that the high thermal conductivity of the SiC offers a junction-to-case temperature ca. 4× less that an equivalent SOI device; reducing the effects of self-heating, and allowing much greater power density. Extensive electrical simulations are used to optimise a 600 V laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOSFET implemented entirely within the silicon thin film, and highlight the differences between Si/SiC and SOI solutions.

  6. Flexible and tunable silicon photonic circuits on plastic substrates

    Science.gov (United States)

    Chen, Yu; Li, Huan; Li, Mo

    2012-09-01

    Flexible microelectronics has shown tremendous promise in a broad spectrum of applications, especially those that cannot be addressed by conventional microelectronics in rigid materials and constructions. These unconventional yet important applications range from flexible consumer electronics to conformal sensor arrays and biomedical devices. A recent paradigm shift in implementing flexible electronics is to physically transfer highly integrated devices made in high-quality, crystalline semiconductors on to plastic substrates. Here we demonstrate a flexible form of silicon photonics using the transfer-and-bond fabrication method. Photonic circuits including interferometers and resonators have been transferred onto flexible plastic substrates with preserved functionalities and performance. By mechanically deforming, the optical characteristics of the devices can be tuned reversibly over a remarkably large range. The demonstration of the new flexible photonic systems based on the silicon-on-plastic (SOP) platform could open the door to many future applications, including tunable photonics, optomechanical sensors and biomechanical and bio-photonic probes.

  7. Helium ion beam induced electron emission from insulating silicon nitride films under charging conditions

    Science.gov (United States)

    Petrov, Yu. V.; Anikeva, A. E.; Vyvenko, O. F.

    2018-06-01

    Secondary electron emission from thin silicon nitride films of different thicknesses on silicon excited by helium ions with energies from 15 to 35 keV was investigated in the helium ion microscope. Secondary electron yield measured with Everhart-Thornley detector decreased with the irradiation time because of the charging of insulating films tending to zero or reaching a non-zero value for relatively thick or thin films, respectively. The finiteness of secondary electron yield value, which was found to be proportional to electronic energy losses of the helium ion in silicon substrate, can be explained by the electron emission excited from the substrate by the helium ions. The method of measurement of secondary electron energy distribution from insulators was suggested, and secondary electron energy distribution from silicon nitride was obtained.

  8. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  9. Electrical response of electron selective atomic layer deposited TiO2‑x heterocontacts on crystalline silicon substrates

    Science.gov (United States)

    Ahiboz, Doğuşcan; Nasser, Hisham; Aygün, Ezgi; Bek, Alpan; Turan, Raşit

    2018-04-01

    Integration of oxygen deficient sub-stoichiometric titanium dioxide (TiO2‑x) thin films as the electron transporting-hole blocking layer in solar cell designs are expected to reduce fabrication costs by eliminating high temperature processes while maintaining high conversion efficiencies. In this paper, we conducted a study to reveal the electrical properties of TiO2‑x thin films grown on crystalline silicon (c-Si) substrates by atomic layer deposition (ALD) technique. Effect of ALD substrate temperature, post deposition annealing, and doping type of the c-Si substrate on the interface states and TiO2‑x bulk properties were extracted by performing admittance (C-V, G-V) and current-voltage (J-V) measurements. Moreover, the asymmetry in C-V and J-V measurements between the p-n type and n-n TiO2‑x-c-Si heterojunction types were examined and the electron transport selectivity of TiO2‑x was revealed.

  10. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    International Nuclear Information System (INIS)

    Abdulgafour, H.I.; Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J.

    2011-01-01

    Research highlights: → Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. → Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. → The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. → This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 μm and from 217-229 nm to 0.6-0.7 μm, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  11. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    Energy Technology Data Exchange (ETDEWEB)

    Abdulgafour, H.I., E-mail: hind_alshaikh@yahoo.com [School of Physics, University Sains Malaysia 11800 Penang (Malaysia); Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J. [School of Physics, University Sains Malaysia 11800 Penang (Malaysia)

    2011-05-05

    Research highlights: > Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. > Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. > The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. > This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 {mu}m and from 217-229 nm to 0.6-0.7 {mu}m, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  12. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  13. Intercalation of metals and silicon at the interface of epitaxial graphene and its substrates

    International Nuclear Information System (INIS)

    Huang Li; Xu Wen-Yan; Que Yan-De; Mao Jin-Hai; Meng Lei; Pan Li-Da; Li Geng; Wang Ye-Liang; Du Shi-Xuan; Gao Hong-Jun; Liu Yun-Qi

    2013-01-01

    Intercalations of metals and silicon between epitaxial graphene and its substrates are reviewed. For metal intercalation, seven different metals have been successfully intercalated at the interface of graphene/Ru(0001) and form different intercalated structures. Meanwhile, graphene maintains its original high quality after the intercalation and shows features of weakened interaction with the substrate. For silicon intercalation, two systems, graphene on Ru(0001) and on Ir(111), have been investigated. In both cases, graphene preserves its high quality and regains its original superlative properties after the silicon intercalation. More importantly, we demonstrate that thicker silicon layers can be intercalated at the interface, which allows the atomic control of the distance between graphene and the metal substrates. These results show the great potential of the intercalation method as a non-damaging approach to decouple epitaxial graphene from its substrates and even form a dielectric layer for future electronic applications. (topical review - low-dimensional nanostructures and devices)

  14. Spectroellipsometric detection of silicon substrate damage caused by radiofrequency sputtering of niobium oxide

    Science.gov (United States)

    Lohner, Tivadar; Serényi, Miklós; Szilágyi, Edit; Zolnai, Zsolt; Czigány, Zsolt; Khánh, Nguyen Quoc; Petrik, Péter; Fried, Miklós

    2017-11-01

    Substrate surface damage induced by deposition of metal atoms by radiofrequency (rf) sputtering or ion beam sputtering onto single-crystalline silicon (c-Si) surface has been characterized earlier by electrical measurements. The question arises whether it is possible to characterize surface damage using spectroscopic ellipsometry (SE). In our experiments niobium oxide layers were deposited by rf sputtering on c-Si substrates in gas mixture of oxygen and argon. Multiple angle of incidence spectroscopic ellipsometry measurements were performed, a four-layer optical model (surface roughness layer, niobium oxide layer, native silicon oxide layer and ion implantation-amorphized silicon [i-a-Si] layer on a c-Si substrate) was created in order to evaluate the spectra. The evaluations yielded thicknesses of several nm for the i-a-Si layer. Better agreement could be achieved between the measured and the generated spectra by inserting a mixed layer (with components of c-Si and i-a-Si applying the effective medium approximation) between the silicon oxide layer and the c-Si substrate. High depth resolution Rutherford backscattering (RBS) measurements were performed to investigate the interface disorder between the deposited niobium oxide layer and the c-Si substrate. Atomic resolution cross-sectional transmission electron microscopy investigation was applied to visualize the details of the damaged subsurface region of the substrate.

  15. Barrier layer arrangement for conductive layers on silicon substrates

    International Nuclear Information System (INIS)

    Hung, L.S.; Agostinelli, J.A.

    1990-01-01

    This patent describes a circuit element comprised of a silicon substrate and a conductive layer located on the substrate. It is characterized in that the conductive layer consists essentially of a rare earth alkaline earth copper oxide and a barrier layer triad is interposed between the silicon substrate and the conductive layer comprised of a first triad layer located adjacent the silicon substrate consisting essentially of silica, a third triad layer remote from the silicon substrate consisting essentially of a least one Group 4 heavy metal oxide, and a second triad layer interposed between the first and third triad layers consisting essentially of a mixture of silica and at lease one Group 4 heavy metal oxide

  16. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  17. Characterization of defects in hydrogenated amorphous silicon deposited on different substrates by capacitance techniques

    International Nuclear Information System (INIS)

    Darwich, R.; Roca i Cabarrocas, P.

    2011-01-01

    Hydrogenated amorphous silicon (a-Si:H) thin films deposited on crystalline silicon and Corning glass substrate were analyzed using different capacitance techniques. The distribution of localized states and some electronic properties were studied using the temperature, frequency and bias dependence of the Schottky barrier capacitance and deep level transient spectroscopy. Our results show that the distribution of the gap states depends on the type of substrate. We have found that the films deposited on c-Si substrate represent only one positively charged or prerelaxed neutral deep state and one interface state, while the films deposited on glass substrate have one interface state and three types of deep defect states, positively or prerelaxed neutral, neutral and negatively charged.

  18. Bidisperse silica nanoparticles close-packed monolayer on silicon substrate by three step spin method

    Science.gov (United States)

    Khanna, Sakshum; Marathey, Priyanka; Utsav, Chaliawala, Harsh; Mukhopadhyay, Indrajit

    2018-05-01

    We present the studies on the structural properties of monolayer Bidisperse silica (SiO2) nanoparticles (BDS) on Silicon (Si-100) substrate using spin coating technique. The Bidisperse silica nanoparticle was synthesised by the modified sol-gel process. Nanoparticles on the substrate are generally assembled in non-close/close-packed monolayer (CPM) form. The CPM form is obtained by depositing the colloidal suspension onto the silicon substrate using complex techniques. Here we report an effective method for forming a monolayer of bidisperse silica nanoparticle by three step spin coating technique. The samples were prepared by mixing the monodisperse solutions of different particles size 40 and 100 nm diameters. The bidisperse silica nanoparticles were self-assembled on the silicon substrate forming a close-packed monolayer film. The scanning electron microscope images of bidisperse films provided in-depth film structure of the film. The maximum surface coverage obtained was around 70-80%.

  19. Superhydrophobic SERS substrates based on silicon hierarchical nanostructures

    Science.gov (United States)

    Chen, Xuexian; Wen, Jinxiu; Zhou, Jianhua; Zheng, Zebo; An, Di; Wang, Hao; Xie, Weiguang; Zhan, Runze; Xu, Ningsheng; Chen, Jun; She, Juncong; Chen, Huanjun; Deng, Shaozhi

    2018-02-01

    Silicon nanostructures have been cultivated as promising surface enhanced Raman scattering (SERS) substrates in terms of their low-loss optical resonance modes, facile functionalization, and compatibility with today’s state-of-the-art CMOS techniques. However, unlike their plasmonic counterparts, the electromagnetic field enhancements induced by silicon nanostructures are relatively small, which restrict their SERS sensing limit to around 10-7 M. To tackle this problem, we propose here a strategy for improving the SERS performance of silicon nanostructures by constructing silicon hierarchical nanostructures with a superhydrophobic surface. The hierarchical nanostructures are binary structures consisted of silicon nanowires (NWs) grown on micropyramids (MPs). After being modified with perfluorooctyltriethoxysilane (PFOT), the nanostructure surface shows a stable superhydrophobicity with a high contact angle of ˜160°. The substrate can allow for concentrating diluted analyte solutions into a specific area during the evaporation of the liquid droplet, whereby the analytes are aggregated into a small volume and can be easily detected by the silicon nanostructure SERS substrate. The analyte molecules (methylene blue: MB) enriched from an aqueous solution lower than 10-8 M can be readily detected. Such a detection limit is ˜100-fold lower than the conventional SERS substrates made of silicon nanostructures. Additionally, the detection limit can be further improved by functionalizing gold nanoparticles onto silicon hierarchical nanostructures, whereby the superhydrophobic characteristics and plasmonic field enhancements can be combined synergistically to give a detection limit down to ˜10-11 M. A gold nanoparticle-functionalized superhydrophobic substrate was employed to detect the spiked melamine in liquid milk. The results showed that the detection limit can be as low as 10-5 M, highlighting the potential of the proposed superhydrophobic SERS substrate in

  20. Novel method of separating macroporous arrays from p-type silicon substrate

    International Nuclear Information System (INIS)

    Peng Bobo; Wang Fei; Liu Tao; Yang Zhenya; Wang Lianwei; Fu, Ricky K. Y.; Chu, Paul K.

    2012-01-01

    This paper presents a novel method to fabricate separated macroporous silicon using a single step of photo-assisted electrochemical etching. The method is applied to fabricate silicon microchannel plates in 100 mm p-type silicon wafers, which can be used as electron multipliers and three-dimensional Li-ion microbatteries. Increasing the backside illumination intensity and decreasing the bias simultaneously can generate additional holes during the electrochemical etching which will create lateral etching at the pore tips. In this way the silicon microchannel can be separated from the substrate when the desired depth is reached, then it can be cut into the desired shape by using a laser cutting machine. Also, the mechanism of lateral etching is proposed. (semiconductor materials)

  1. Substrate and Passivation Techniques for Flexible Amorphous Silicon-Based X-ray Detectors.

    Science.gov (United States)

    Marrs, Michael A; Raupp, Gregory B

    2016-07-26

    Flexible active matrix display technology has been adapted to create new flexible photo-sensing electronic devices, including flexible X-ray detectors. Monolithic integration of amorphous silicon (a-Si) PIN photodiodes on a flexible substrate poses significant challenges associated with the intrinsic film stress of amorphous silicon. This paper examines how altering device structuring and diode passivation layers can greatly improve the electrical performance and the mechanical reliability of the device, thereby eliminating one of the major weaknesses of a-Si PIN diodes in comparison to alternative photodetector technology, such as organic bulk heterojunction photodiodes and amorphous selenium. A dark current of 0.5 pA/mm² and photodiode quantum efficiency of 74% are possible with a pixelated diode structure with a silicon nitride/SU-8 bilayer passivation structure on a 20 µm-thick polyimide substrate.

  2. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  3. Biofunctionalization on Alkylated Silicon Substrate Surfaces via “Click” Chemistry

    OpenAIRE

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J.; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-01-01

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the non-oxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3...

  4. Technology for the compatible integration of silicon detectors with readout electronics

    International Nuclear Information System (INIS)

    Zimmer, G.

    1984-01-01

    Compatible integration of detectors and readout electronics on the same silicon substrate is of growing interest. As the methods of microelectronics technology have already been adapted for detector fabrication, a common technology basis for detectors and readout electronics is available. CMOS technology exhibits most attractive features for the compatible realization of readout electronics when advanced LSI processing steps are combined with detector requirements. The essential requirements for compatible integration are the availability of high resistivity (100)-oriented single crystalline silicon substrate, the formation of suitably doped areas for MOS circuits and the isolation of the low voltage circuit from the detector operated at much higher supply voltage. Junction isolation as a first approach based on present production technology and dielectric isolation based on an advanced SOI-LSI technology are discussed as the most promising solutions for present and future applications, respectively. (orig.)

  5. Wet-chemical passivation of atomically flat and structured silicon substrates for solar cell application

    Science.gov (United States)

    Angermann, H.; Rappich, J.; Korte, L.; Sieber, I.; Conrad, E.; Schmidt, M.; Hübener, K.; Polte, J.; Hauschild, J.

    2008-04-01

    Special sequences of wet-chemical oxidation and etching steps were optimised with respect to the etching behaviour of differently oriented silicon to prepare very smooth silicon interfaces with excellent electronic properties on mono- and poly-crystalline substrates. Surface photovoltage (SPV) and photoluminescence (PL) measurements, atomic force microscopy (AFM) and scanning electron microscopy (SEM) investigations were utilised to develop wet-chemical smoothing procedures for atomically flat and structured surfaces, respectively. Hydrogen-termination as well as passivation by wet-chemical oxides were used to inhibit surface contamination and native oxidation during the technological processing. Compared to conventional pre-treatments, significantly lower micro-roughness and densities of surface states were achieved on mono-crystalline Si(100), on evenly distributed atomic steps, such as on vicinal Si(111), on silicon wafers with randomly distributed upside pyramids, and on poly-crystalline EFG ( Edge-defined Film-fed- Growth) silicon substrates. The recombination loss at a-Si:H/c-Si interfaces prepared on c-Si substrates with randomly distributed upside pyramids was markedly reduced by an optimised wet-chemical smoothing procedure, as determined by PL measurements. For amorphous-crystalline hetero-junction solar cells (ZnO/a-Si:H(n)/c-Si(p)/Al) with textured c-Si substrates the smoothening procedure results in a significant increase of short circuit current Isc, fill factor and efficiency η. The scatter in the cell parameters for measurements on different cells is much narrower, as compared to conventional pre-treatments, indicating more well-defined and reproducible surface conditions prior to a-Si:H emitter deposition and/or a higher stability of the c-Si surface against variations in the a-Si:H deposition conditions.

  6. Characterization of nanostructured CuO-porous silicon matrixformed on copper coated silicon substrate via electrochemical etching

    International Nuclear Information System (INIS)

    Naddaf, M.; Mrad, O.; Al-Zier, A.

    2015-01-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak (blue) PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.(author)

  7. Synthesis of silicon nanocomposite for printable photovoltaic devices on flexible substrate

    Science.gov (United States)

    Odo, E. A.; Faremi, A. A.

    2017-06-01

    Renewed interest has been established in the preparation of silicon nanoparticles for electronic device applications. In this work, we report on the production of silicon powders using a simple ball mill and of silicon nanocomposite ink for screen-printable photovoltaic device on a flexible substrate. Bulk single crystalline silicon was milled for 25 h in the ball mill. The structural properties of the produced silicon nanoparticles were investigated using X-ray diffraction (XRD) and transmission electron microscopy. The results show that the particles remained highly crystalline, though transformed from their original single crystalline state to polycrystalline. The elemental composition using energy dispersive X-ray florescence spectroscopy (EDXRF) revealed that contamination from iron (Fe) and chromium (Cr) of the milling media and oxygen from the atmosphere were insignificant. The size distribution of the nanoparticles follows a lognormal pattern that ranges from 60 nm to about 1.2 μm and a mean particle size of about 103 nm. Electrical characterization of screen-printed PN structures of the nanocomposite formed by embedding the powder into a suitable water-soluble polymer on Kapton sheet reveals an enhanced photocurrent transport resulting from photo-induced carrier generation in the depletion region with energy greater that the Schottky barrier height at the metal-composite interface.

  8. Gold nanoparticle growth control - Implementing novel wet chemistry method on silicon substrate

    KAUST Repository

    Al-Ameer, Ammar

    2013-04-01

    Controlling particle size, shape, nucleation, and self-assembly on surfaces are some of the main challenges facing electronic device fabrication. In this work, growth of gold nanoparticles over a wide range of sizes was investigated by using a novel wet chemical method, where potassium iodide is used as the reducing solution and gold chloride as the metal precursor, on silicon substrates. Four parameters were studied: soaking time, solution temperature, concentration of the solution of gold chloride, and surface pre-treatment of the substrate. Synthesized nanoparticles were then characterized using scanning electron microscopy (SEM). The precise control of the location and order of the grown gold overlayer was achieved by using focused ion beam (FIB) patterning of a silicon surface, pre-treated with potassium iodide. By varying the soaking time and temperature, different particle sizes and shapes were obtained. Flat geometrical shapes and spherical shapes were observed. We believe, that the method described in this work is potentially a straightforward and efficient way to fabricate gold contacts for microelectronics. © 2013 IEEE.

  9. Integrating integrated circuit chips on paper substrates using inkjet printed electronics

    CSIR Research Space (South Africa)

    Bezuidenhout, Petrone H

    2016-11-01

    Full Text Available This paper investigates the integration of silicon and paper substrates using rapid prototyping inkjet printed electronics. Various Dimatix DMP-2831 material printer settings and adhesives are investigated. The aim is to robustly and effectively...

  10. Characterization of nanostructured CuO-porous silicon matrix formed on copper-coated silicon substrate via electrochemical etching

    Science.gov (United States)

    Naddaf, M.; Mrad, O.; Al-zier, A.

    2014-06-01

    A pulsed anodic etching method has been utilized for nanostructuring of a copper-coated p-type (100) silicon substrate, using HF-based solution as electrolyte. Scanning electron microscopy reveals the formation of a nanostructured matrix that consists of island-like textures with nanosize grains grown onto fiber-like columnar structures separated with etch pits of grooved porous structures. Spatial micro-Raman scattering analysis indicates that the island-like texture is composed of single-phase cupric oxide (CuO) nanocrystals, while the grooved porous structure is barely related to formation of porous silicon (PS). X-ray diffraction shows that both the grown CuO nanostructures and the etched silicon layer have the same preferred (220) orientation. Chemical composition obtained by means of X-ray photoelectron spectroscopic (XPS) analysis confirms the presence of the single-phase CuO on the surface of the patterned CuO-PS matrix. As compared to PS formed on the bare silicon substrate, the room-temperature photoluminescence (PL) from the CuO-PS matrix exhibits an additional weak `blue' PL band as well as a blue shift in the PL band of PS (S-band). This has been revealed from XPS analysis to be associated with the enhancement in the SiO2 content as well as formation of the carbonyl group on the surface in the case of the CuO-PS matrix.

  11. High quality silicon-based substrates for microwave and millimeter wave passive circuits

    Science.gov (United States)

    Belaroussi, Y.; Rack, M.; Saadi, A. A.; Scheen, G.; Belaroussi, M. T.; Trabelsi, M.; Raskin, J.-P.

    2017-09-01

    Porous silicon substrate is very promising for next generation wireless communication requiring the avoidance of high-frequency losses originating from the bulk silicon. In this work, new variants of porous silicon (PSi) substrates have been introduced. Through an experimental RF performance, the proposed PSi substrates have been compared with different silicon-based substrates, namely, standard silicon (Std), trap-rich (TR) and high resistivity (HR). All of the mentioned substrates have been fabricated where identical samples of CPW lines have been integrated on. The new PSi substrates have shown successful reduction in the substrate's effective relative permittivity to values as low as 3.7 and great increase in the substrate's effective resistivity to values higher than 7 kΩ cm. As a concept proof, a mm-wave bandpass filter (MBPF) centred at 27 GHz has been integrated on the investigated substrates. Compared with the conventional MBPF implemented on standard silicon-based substrates, the measured S-parameters of the PSi-based MBPF have shown high filtering performance, such as a reduction in insertion loss and an enhancement of the filter selectivity, with the joy of having the same filter performance by varying the temperature. Therefore, the efficiency of the proposed PSi substrates has been well highlighted. From 1994 to 1995, she was assistant of physics at (USTHB), Algiers . From 1998 to 2011, she was a Researcher at characterization laboratory in ionized media and laser division at the Advanced Technologies Development Center. She has integrated the Analog Radio Frequency Integrated Circuits team as Researcher since 2011 until now in Microelectronic and Nanotechnology Division at Advanced Technologies Development Center (CDTA), Algiers. She has been working towards her Ph.D. degree jointly at CDTA and Ecole Nationale Polytechnique, Algiers, since 2012. Her research interest includes fabrication and characterization of microwave passive devices on porous

  12. Porous Silicon Covered with Silver Nanoparticles as Surface-Enhanced Raman Scattering (SERS) Substrate for Ultra-Low Concentration Detection.

    Science.gov (United States)

    Kosović, Marin; Balarin, Maja; Ivanda, Mile; Đerek, Vedran; Marciuš, Marijan; Ristić, Mira; Gamulin, Ozren

    2015-12-01

    Microporous and macro-mesoporous silicon templates for surface-enhanced Raman scattering (SERS) substrates were produced by anodization of low doped p-type silicon wafers. By immersion plating in AgNO3, the templates were covered with silver metallic film consisting of different silver nanostructures. Scanning electron microscopy (SEM) micrographs of these SERS substrates showed diverse morphology with significant difference in an average size and size distribution of silver nanoparticles. Ultraviolet-visible-near-infrared (UV-Vis-NIR) reflection spectroscopy showed plasmonic absorption at 398 and 469 nm, which is in accordance with the SEM findings. The activity of the SERS substrates was tested using rhodamine 6G (R6G) dye molecules and 514.5 nm laser excitation. Contrary to the microporous silicon template, the SERS substrate prepared from macro-mesoporous silicon template showed significantly broader size distribution of irregular silver nanoparticles as well as localized surface plasmon resonance closer to excitation laser wavelength. Such silver morphology has high SERS sensitivity that enables ultralow concentration detection of R6G dye molecules up to 10(-15) M. To our knowledge, this is the lowest concentration detected of R6G dye molecules on porous silicon-based SERS substrates, which might even indicate possible single molecule detection.

  13. Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon

    Science.gov (United States)

    Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca

    2018-06-01

    Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.

  14. Study on defects and impurities in cast-grown polycrystalline silicon substrates for solar cells

    International Nuclear Information System (INIS)

    Arafune, K.; Sasaki, T.; Wakabayashi, F.; Terada, Y.; Ohshita, Y.; Yamaguchi, M.

    2006-01-01

    We focused on the defects and impurities in polycrystalline silicon substrates, which deteriorate solar cell efficiency. Comparison of the minority carrier lifetime with the grain size showed that the region with short minority carrier lifetimes did not correspond to the region with small grains. Conversely, the minority carrier lifetime decreased as the etch-pit density (EPD) increased, suggesting that the minority carrier lifetime is strongly affected by the EPD. Electron beam induced current measurements revealed that a combination of grain boundaries and point defects had high recombination activity. Regarding impurities, the interstitial oxygen concentration was relatively low compared with that in a Czochralski-grown silicon substrate, the total carbon concentration exceeded the solubility limit of silicon melt. X-ray microprobe fluorescence measurements revealed a large amount of iron in the regions where there were many etch-pits and grain boundaries with etch-pits. X-ray absorption near edge spectrum analysis revealed trapped iron in the form of oxidized iron

  15. Recent results from the development of silicon detectors with integrated electronics

    Energy Technology Data Exchange (ETDEWEB)

    Dalla Betta, G.-F. E-mail: dallabe@dit.unitn.it; Boscardin, M.; Batignani, G.; Bettarini, S.; Bisogni, M.G.; Bosisio, L.; Carpinelli, M.; Ciacchi, M.; Dittongo, S.; Forti, F.; Giorgi, M.; Gregori, P.; Manghisoni, M.; Novelli, M.; Piemonte, C.; Rachevskaia, I.; Rama, M.; Ratti, L.; Re, V.; Ronchin, S.; Sandrelli, F.; Simi, G.; Speziali, V.; Rosso, V.; Traversi, G.; Zorzi, N

    2004-02-01

    In the past few years we have developed a technological process allowing for the fabrication of radiation detectors with integrated electronics on high-resistivity silicon substrates. We report on some recent results relevant to the process optimisation and to device/circuit characterization.

  16. Recent results from the development of silicon detectors with integrated electronics

    International Nuclear Information System (INIS)

    Dalla Betta, G.-F.; Boscardin, M.; Batignani, G.; Bettarini, S.; Bisogni, M.G.; Bosisio, L.; Carpinelli, M.; Ciacchi, M.; Dittongo, S.; Forti, F.; Giorgi, M.; Gregori, P.; Manghisoni, M.; Novelli, M.; Piemonte, C.; Rachevskaia, I.; Rama, M.; Ratti, L.; Re, V.; Ronchin, S.; Sandrelli, F.; Simi, G.; Speziali, V.; Rosso, V.; Traversi, G.; Zorzi, N.

    2004-01-01

    In the past few years we have developed a technological process allowing for the fabrication of radiation detectors with integrated electronics on high-resistivity silicon substrates. We report on some recent results relevant to the process optimisation and to device/circuit characterization

  17. Biofunctionalization on alkylated silicon substrate surfaces via "click" chemistry.

    Science.gov (United States)

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-11-24

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the nonoxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3-dipolar cycloaddition (CuAAC, a "click" reaction) were reported. However, yields of the CuAAC reactions on these monolayer platforms were low. Also, the nonspecific adsorption of proteins on the resultant surfaces remained a major obstacle for many potential biological applications. Herein, we report a new type of "clickable" monolayers grown by selective, photoactivated surface hydrosilylation of α,ω-alkenynes, where the alkynyl terminal is protected with a trimethylgermanyl (TMG) group, on hydrogen-terminated silicon substrates. The TMG groups on the film are readily removed in aqueous solutions in the presence of Cu(I). Significantly, the degermanylation and the subsequent CuAAC reaction with various azides could be combined into a single step in good yields. Thus, oligo(ethylene glycol) (OEG) with an azido tag was attached to the TMG-alkyne surfaces, leading to OEG-terminated surfaces that reduced the nonspecific adsorption of protein (fibrinogen) by >98%. The CuAAC reaction could be performed in microarray format to generate arrays of mannose and biotin with varied densities on the protein-resistant OEG background. We also demonstrated that the monolayer platform could be functionalized with mannose for highly specific capturing of living targets (Escherichia coli expressing fimbriae) onto the silicon substrates.

  18. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  19. Human aortic endothelial cell morphology influenced by topography of porous silicon substrates.

    Science.gov (United States)

    Formentín, Pilar; Catalán, Úrsula; Fernández-Castillejo, Sara; Alba, Maria; Baranowska, Malgorzata; Solà, Rosa; Pallarès, Josep; Marsal, Lluís F

    2015-10-01

    Porous silicon has received much attention because of its optical properties and for its usefulness in cell-based biosensing, drug delivery, and tissue engineering applications. Surface properties of the biomaterial are associated with cell adhesion and with proliferation, migration, and differentiation. The present article analyzes the behavior of human aortic endothelial cells in macro- and nanoporous collagen-modified porous silicon samples. On both substrates, cells are well adhered and numerous. Confocal microscopy and scanning electron microscopy were employed to study the effects of porosity on the morphology of the cells. On macroporous silicon, filopodia is not observed but the cell spreads on the surface, increasing the lamellipodia surface which penetrates the macropore. On nanoporous silicon, multiple filopodia were found to branch out from the cell body. These results demonstrate that the pore size plays a key role in controlling the morphology and growth rate of human aortic endothelial cells, and that these forms of silicon can be used to control cell development in tissue engineering as well as in basic cell biology research. © The Author(s) 2015.

  20. Pulsed Laser Deposition of Zinc Sulfide Thin Films on Silicon: The influence of substrate orientation and preparation on thin film morphology and texture

    OpenAIRE

    Heimdal, Carl Philip J

    2014-01-01

    The effect of orientation and preparation of silicon substrates on the growth morphology and crystalline structure of ZnS thin films deposited by pulsed laser deposition (PLD) has been investigated through scanning electron microscopy (SEM) and grazing incidence x-ray diffraction (GIXRD). ZnS thin films were grown on silicon (100) and (111), on HF-treated and untreated silicon (100) as well as substrates coated with Al, Ge and Au. The ZnS films showed entirely different morphologies for ZnS f...

  1. Monte Carlo simulation of secondary electron images for gold nanorods on the silicon substrate

    Science.gov (United States)

    Zhang, P.

    2018-06-01

    Recently, gold nanorods (Au NRs) have attracted much attention because at a particular photoelectricity the gold nanorods present a characteristic which is different from other types of Au nanomaterials with various shapes. Accurate measurement of aspect ratios does provide very high value of optical property for Au NRs. Monte Carlo (MC) simulation is thought of as the most accurate tool to perform size measurement through extracting structure parameters from the simulated scanning electron microscopy (SEM) image which best matches the experimental one. In this article, a series of MC-simulated secondary electron (SE) images have been taken for Au NRs on a silicon substrate. However, it has already been observed that the two ends of Au NRs in the experimental SEM image is brighter than that of the middle part. It seriously affects the accuracy of size measurement for Au NRs. The purpose of this work is to understand the mechanism underlying this phenomenon through a series of systematical analysis. It was found that the cetyltrimethylammonium bromide (CTAB) which covers the Au NRs indeed can alter the contrast of Au NRs compared to that without CTAB covering. However, SEs emitting from CTAB are not the reason for the abnormal brightness at the two ends of NRs. This work reveals that the charging effect might be the leading cause for this phenomenon.

  2. Fabrication of a novel silicon single electron transistor for Si:P quantum computer devices

    International Nuclear Information System (INIS)

    Angus, S.J.; Smith, C.E.A.; Gauja, E.; Dzurak, A.S.; Clark, R.G.; Snider, G.L.

    2004-01-01

    Full text: Quantum computation relies on the successful measurement of quantum states. Single electron transistors (SETs) are known to be able to perform fast and sensitive charge measurements of solid state qubits. However, due to their sensitivity, SETs are also very susceptible to random charge fluctuations in a solid-state materials environment. In previous dc transport measurements, silicon-based SETs have demonstrated greater charge stability than A1/A1 2 O 3 SETs. We have designed and fabricated a novel silicon SET architecture for a comparison of the noise characteristics of silicon and aluminium based devices. The silicon SET described here is designed for controllable and reproducible low temperature operation. It is fabricated using a novel dual gate structure on a silicon-on-insulator substrate. A silicon quantum wire is formed in a 100nm thick high-resistivity superficial silicon layer using reactive ion etching. Carriers are induced in the silicon wire by a back gate in the silicon substrate. The tunnel barriers are created electrostatically, using lithographically defined metallic electrodes (∼40nm width). These tunnel barriers surround the surface of the quantum wire, thus producing excellent electrostatic confinement. This architecture provides independent control of tunnel barrier height and island occupancy, thus promising better control of Coulomb blockade oscillations than in previously investigated silicon SETs. The use of a near intrinsic silicon substrate offers compatibility with Si:P qubits in the longer term

  3. Electronic transport through organophosphonate monolayers on silicon/silicon dioxide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bora, Achyut; Pathak, Anshuma; Tornow, Marc [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Liao, Kung-Ching; Schwartz, Jeffrey [Department of Chemistry, Princeton University, NJ (United States); Cattani-Scholz, Anna; Abstreiter, Gerhard [Walter Schottky Institut, TU Muenchen (Germany)

    2011-07-01

    Understanding the electronic transport through layered systems of organic functional layers on semiconductor surfaces is of major importance for future applications in nanoelectronics, photovoltaics and sensors. We have prepared self-assembled monolayers (SAMs) of 9,10-diphenyl-2,6-diphosphono-anthracene and 11-hydroxyundecyl phosphonic acid precursors on highly p-doped silicon surfaces coated with a 1 nm SiO{sub 2} layer. Contact angle, AFM and ellipsometry evidenced the homogeneity of the formed SAMs, and their thickness was determined to be 0.82{+-}0.07 nm and 1.13{+-}0.09 nm, respectively. We provided large area electrical contacts on top of the SAMs by a hanging Hg drop electrode. The measured I-V characteristics revealed an enhanced conductance of the aromatic vs. the aliphatic compounds, with current densities of the order of 10 A/m{sup 2} and 0.01 A/m{sup 2}, at 0.5 V, respectively. We analyzed the data in terms of non-resonant tunneling through the combined oxide-SAM barrier and found good qualitative agreement up to 0.2 V bias. Preliminary measurements on organized bilayers of anthracene bisphosphonates that were grown using techniques of coordination chemistry are discussed, too.

  4. Aan der Waals terminated silicon(111) surfaces and interfaces. Preparation, morphology, and electronic properties

    International Nuclear Information System (INIS)

    Fritsche, R.

    2004-01-01

    The aim of this thesis is the implementation of the concept of the quasi-van der Waals epitaxy as a new perspective for the integration of reactive and lattice-defect fitted materials into the silicon technology. The experimental characterization of this approach pursues in two subsequent sections. First the chemical and electronic passivation of a three-dimensional substrate (silicon) is studied by means of an ultrathin buffer layer from the material class of the layered-lattice chalcogenides (GaSe). The substrate surface (Si(111):GaSe) modified in this way possesses an inert van der Waals surface and serves in the following as base for the deposition of the against the non-passivated substrate really reactive and lattice-defect fitted materials (II-VI-compound semiconductors and metals) The characterization of the electronic and chemical properties of the surfaces and interfaces pursues with highly resolved photoelectron spectroscopy (SXPS). The results are supplemented by the characterization of the morphology by the diffraction of low-energy electrons (LEED) and the scanning tunnel microscopy (STM)

  5. Characterization of self-assembled monolayers (SAMs) on silicon substrate comparative with polymer substrate for Escherichia coli O157:H7 detection

    International Nuclear Information System (INIS)

    Moldovan, Carmen; Mihailescu, Carmen; Stan, Dana; Ruta, Lavinia; Iosub, Rodica; Gavrila, Raluca; Purica, Munizer; Vasilica, Schiopu

    2009-01-01

    This article presents the characterization of two substrates, silicon and polymer coated with gold, that are functionalized by mixed self-assembled monolayers (SAMs) in order to efficiently immobilize the anti-Escherichia coli O157:H7 polyclonal purified antibody. A biosurface functionalized by SAMs (self-assembled monolayers) technique has been developed. Immobilization of goat anti-E. coli O157:H7 antibody was performed by covalently bonding of thiolate mixed self-assembled monolayers (SAMs) realized on two substrates: polymer coated with gold and silicon coated with gold. The F(ab') 2 fragments of the antibodies have been used for eliminating nonspecific bindings between the Fc portions of antibodies and the Fc receptor on cells. The properties of the monolayers and the biofilm formatted with attached antibody molecules were analyzed at each step using infrared spectroscopy (FTIR-ATR), atomic force microscopy (AFM), scanning electron microscopy (SEM) and cyclic voltammetry (CV). In our study the gold-coated silicon substrates approach yielded the best results. These experimental results revealed the necessity to investigate each stage of the immobilization process taking into account in the same time the factors that influence the chemistry of the surface and the further interactions as well and also provide a solid basis for further studies aiming at elaborating sensitive and specific immunosensor or a microarray for the detection of E. coli O157:H7.

  6. Characterization of self-assembled monolayers (SAMs) on silicon substrate comparative with polymer substrate for Escherichia coli O157:H7 detection

    Energy Technology Data Exchange (ETDEWEB)

    Moldovan, Carmen, E-mail: carmen.moldovan@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Mihailescu, Carmen, E-mail: carmen_mihail28@yahoo.com [University of Bucharest, 90-92 Sos Panduri, Bucharest (Romania); Stan, Dana, E-mail: dana_stan2005@yahoo.com [DDS Diagnostic, 1 Segovia Street, Bucharest (Romania); Ruta, Lavinia, E-mail: laviniacoco@yahoo.com [University of Bucharest, 90-92 Sos Panduri, Bucharest (Romania); Iosub, Rodica, E-mail: rodica.iosub@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Gavrila, Raluca, E-mail: raluca.gavrila@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Purica, Munizer, E-mail: munizer.purica@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania); Vasilica, Schiopu, E-mail: vasilica.schiopu@imt.ro [National Institute for R and D in Microtechnologies, IMT-Bucharest, 126A Erou Iancu Nicolae, 077190 Bucharest (Romania)

    2009-08-30

    This article presents the characterization of two substrates, silicon and polymer coated with gold, that are functionalized by mixed self-assembled monolayers (SAMs) in order to efficiently immobilize the anti-Escherichia coli O157:H7 polyclonal purified antibody. A biosurface functionalized by SAMs (self-assembled monolayers) technique has been developed. Immobilization of goat anti-E. coli O157:H7 antibody was performed by covalently bonding of thiolate mixed self-assembled monolayers (SAMs) realized on two substrates: polymer coated with gold and silicon coated with gold. The F(ab'){sub 2} fragments of the antibodies have been used for eliminating nonspecific bindings between the Fc portions of antibodies and the Fc receptor on cells. The properties of the monolayers and the biofilm formatted with attached antibody molecules were analyzed at each step using infrared spectroscopy (FTIR-ATR), atomic force microscopy (AFM), scanning electron microscopy (SEM) and cyclic voltammetry (CV). In our study the gold-coated silicon substrates approach yielded the best results. These experimental results revealed the necessity to investigate each stage of the immobilization process taking into account in the same time the factors that influence the chemistry of the surface and the further interactions as well and also provide a solid basis for further studies aiming at elaborating sensitive and specific immunosensor or a microarray for the detection of E. coli O157:H7.

  7. Heterogenous integration of a thin-film GaAs photodetector and a microfluidic device on a silicon substrate

    International Nuclear Information System (INIS)

    Song, Fuchuan; Xiao, Jing; Udawala, Fidaali; Seo, Sang-Woo

    2011-01-01

    In this paper, heterogeneous integration of a III–V semiconductor thin-film photodetector (PD) with a microfluidic device is demonstrated on a SiO 2 –Si substrate. Thin-film format of optical devices provides an intimate integration of optical functions with microfluidic devices. As a demonstration of a multi-material and functional system, the biphasic flow structure in the polymeric microfluidic channels was co-integrated with a III–V semiconductor thin-film PD. The fluorescent drops formed in the microfluidic device are successfully detected with an integrated thin-film PD on a silicon substrate. The proposed three-dimensional integration structure is an alternative approach to combine optical functions with microfluidic functions on silicon-based electronic functions.

  8. Photoconductivity relaxation and electron transport in macroporous silicon structures

    Directory of Open Access Journals (Sweden)

    L.A. Karachevtseva

    2017-12-01

    Full Text Available Kinetics and temperature dependence of photoconductivity were measured in macroporous silicon at 80…300 K after light illumination with the wavelength 0.9 μm. The influence of mechanisms of the charge carrier transport through the macropore surface barrier on the kinetics of photoconductivity at various temperatures was investigated. The kinetics of photoconductivity distribution in macroporous silicon and Si substrate has been calculated using the finite-difference time-domain method. The maximum of photoconductivity has been found both in the layer of macroporous silicon and in the monocrystalline substrate. The kinetics of photoconductivity distribution in macroporous silicon showed rapid relaxation of the photoconductivity maximum in the layer of macroporous silicon and slow relaxation of it in the monocrystalline substrate.

  9. Nanosized graphene sheets enhanced photoelectric behavior of carbon film on p-silicon substrate

    Science.gov (United States)

    Yang, Lei; Hu, Gaijuan; Zhang, Dongqing; Diao, Dongfeng

    2016-07-01

    We found that nanosized graphene sheets enhanced the photoelectric behavior of graphene sheets embedded carbon (GSEC) film on p-silicon substrate, which was deposited under low energy electron irradiation in electron cyclotron resonance plasma. The GSEC/p-Si photodiode exhibited good photoelectric performance with photoresponsivity of 206 mA/W, rise and fall time of 2.2, and 4.3 μs for near-infrared (850 nm) light. The origin of the strong photoelectric behavior of GSEC film was ascribed to the appearance of graphene nanosheets, which led to higher barrier height and photoexcited electron-collection efficiency. This finding indicates that GSEC film has the potential for photoelectric applications.

  10. Solution growth of microcrystalline silicon on amorphous substrates

    Energy Technology Data Exchange (ETDEWEB)

    Heimburger, Robert

    2010-07-05

    This work deals with low-temperature solution growth of micro-crystalline silicon on glass. The task is motivated by the application in low-cost solar cells. As glass is an amorphous material, conventional epitaxy is not applicable. Therefore, growth is conducted in a two-step process. The first step aims at the spatial arrangement of silicon seed crystals on conductive coated glass substrates, which is realized by means of vapor-liquid-solid processing using indium as the solvent. Seed crystals are afterwards enlarged by applying a specially developed steady-state solution growth apparatus. This laboratory prototype mainly consists of a vertical stack of a silicon feeding source and the solvent (indium). The growth substrate can be dipped into the solution from the top. The system can be heated to a temperature below the softening point of the utilized glass substrate. A temperature gradient between feeding source and growth substrate promotes both, supersaturation and material transport by solvent convection. This setup offers advantages over conventional liquid phase epitaxy at low temperatures in terms of achievable layer thickness and required growth times. The need for convective solute transport to gain the desired thickness of at least 50 {mu}m is emphasized by equilibrium calculations in the binary system indium-silicon. Material transport and supersaturation conditions inside the utilized solution growth crucible are analyzed. It results that the solute can be transported from the lower feeding source to the growth substrate by applying an appropriate heating regime. These findings are interpreted by means of a hydrodynamic analysis of fluid flow and supporting FEM simulation. To ensure thermodynamic stability of all materials involved during steady-state solution growth, the ternary phase equilibrium between molybdenum, indium and silicon at 600 C was considered. Based on the obtained results, the use of molybdenum disilicide as conductive coating

  11. Influence of calcium and silicon supplementation into Pleurotus ostreatus substrates on quality of fresh and canned mushrooms.

    Science.gov (United States)

    Thongsook, T; Kongbangkerd, T

    2011-08-01

    Supplements of gypsum (calcium source), pumice (silicon source) and pumice sulfate (silicon and calcium source) into substrates for oyster mushrooms (Pleurotus ostreatus) were searched for their effects on production as well as qualities of fresh and canned mushrooms. The addition of pumice up to 30% had no effect on total yield, size distribution and cap diameters. The supplementation of gypsum at 10% decreased the total yield; and although gypsum at 5% did not affect total yield, the treatment increased the proportion of large-sized caps. High content (>10%) of pumice sulfate resulted in the lower yield. Calcium and silicon contents in the fruit bodies were not influenced by supplementations. The centrifugal drip loss values and solid content of fresh mushrooms, and the percentage of weight gained and firmness of canned mushrooms, cultivated in substrates supplemented with gypsum, pumice and pumice sulfate were significantly (p≤0.05) higher than those of the control. Scanning electron micrographs revealed the more compacted hyphae of mushroom stalks supplemented with silicon and/or calcium after heat treatment, compared to the control. Supplementation of P. ostreatus substrates with 20% pumice was the most practical treatment because it showed no effect on yield and the most cost-effective.

  12. Investigation of the interface region between a porous silicon layer and a silicon substrate

    International Nuclear Information System (INIS)

    Lee, Ki-Won; Park, Dae-Kyu; Kim, Young-You; Shin, Hyun-Joon

    2005-01-01

    Atomic force microscopy (AFM) measurement and X-ray diffraction (XRD) analysis were performed to investigate the physical and structural characteristics of the interface region between a porous silicon layer and a silicon substrate. We discovered that, when anodization time was increased under a constant current density, the Si crystallites in the interface region became larger and formed different lattice parameters than observed in the porous silicon layer. Secondary ion mass spectrometry (SIMS) analysis also revealed that the Si was more concentrated in the interface region than in the porous silicon layer. These results were interpreted by the deficiency of the HF solution in reaching to the interface through the pores during the porous silicon formation

  13. Silicon based nanogap device for investigating electronic transport through 12 nm long oligomers

    DEFF Research Database (Denmark)

    Strobel, S.; Albert, E.; Csaba, G.

    2009-01-01

    We have fabricated vertical nanogap electrode devices based on Silicon-on-Insulator (SOI) substrates for investigating the electronic transport properties of long, conjugated molecular wires. Our nanogap electrode devices comprise smooth metallic contact pairs situated at the sidewall of an SOI s...

  14. Fabrication of High-Frequency pMUT Arrays on Silicon Substrates

    DEFF Research Database (Denmark)

    Pedersen, Thomas; Zawada, Tomasz; Hansen, Karsten

    2010-01-01

    A novel technique based on silicon micromachining for fabrication of linear arrays of high-frequency piezoelectric micromachined ultrasound transducers (pMUT) is presented. Piezoelectric elements are formed by deposition of lead zirconia titanate into etched features of a silicon substrate...

  15. Polycystalline silicon thin films for electronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Jaeger, Christian Claus

    2012-01-15

    with an activation energy of E{sub A}{sup poly-Si}=1.1 eV. By long-lasting tempering or a short high-temperature step finally the stable layer configuration substrate/Al+Si islands(hillocks)/poly-Si can be reached (E{sub A}{sup hillocks}=2.4 eV). The further main topic of this thesis is the study of the applicability of the poly-silicon layers fabricated by means of the ALILE and R-ALILE process for electronic applications. First thin-film transistors were studied. Additionally thin-film solar cells with microcrystalline silicon as absorber material on polycrystalline R-ALILE seed layers were fabricated. Finally the suitedness of the fabricated poly-silicon layers for crytographic applications were studied.

  16. Multifunctional epitaxial systems on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  17. Mapping boron in silicon solar cells using electron energy-loss spectroscopy

    DEFF Research Database (Denmark)

    in the energies of plasmon peaks in the low loss region [5]. We use these approaches to characterize both a thick n-p junction and the 10-nm-thick p-doped layer of a working solar cell. [1] U. Kroll, C. Bucher, S. Benagli, I. Schönbächler, J. Meier, A. Shah, J. Ballutaud, A. Howling, Ch. Hollenstein, A. Büchel, M......Amorphous silicon solar cells typically consist of stacked layers deposited on plastic or metallic substrates making sample preparation for transmission electron microscopy (TEM) difficult. The amorphous silicon layer - the active part of the solar cell - is sandwiched between 10-nm-thick n- and p...... resolution using TEM is highly challenging [3]. Recently, scanning TEM (STEM) combined with electron energy-loss spectroscopy (EELS) and spherical aberration-correction has allowed the direct detection of dopant concentration of 10^20cm-3 in 65-nm-wide silicon devices [4]. Here, we prepare TEM samples...

  18. Fabrication of double-dot single-electron transistor in silicon nanowire

    International Nuclear Information System (INIS)

    Jo, Mingyu; Kaizawa, Takuya; Arita, Masashi; Fujiwara, Akira; Ono, Yukinori; Inokawa, Hiroshi; Choi, Jung-Bum; Takahashi, Yasuo

    2010-01-01

    We propose a simple method for fabricating Si single-electron transistors (SET) with coupled dots by means of a pattern-dependent-oxidation (PADOX) method. The PADOX method is known to convert a small one-dimensional Si wire formed on a silicon-on-insulator (SOI) substrate into a SET automatically. We fabricated a double-dot Si SET when we oxidized specially designed Si nanowires formed on SOI substrates. We analyzed the measured electrical characteristics by fitting the measurement and simulation results and confirmed the double-dot formation and the position of the two dots in the Si wire.

  19. Nanosized graphene sheets enhanced photoelectric behavior of carbon film on p-silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Lei; Hu, Gaijuan; Zhang, Dongqing [Key Laboratory of Education Ministry for Modern Design and Rotor-Bearing System, School of Mechanical Engineering, Xi' an Jiaotong University, Xi' an 710049 (China); Diao, Dongfeng, E-mail: dfdiao@szu.edu.cn [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China)

    2016-07-18

    We found that nanosized graphene sheets enhanced the photoelectric behavior of graphene sheets embedded carbon (GSEC) film on p-silicon substrate, which was deposited under low energy electron irradiation in electron cyclotron resonance plasma. The GSEC/p-Si photodiode exhibited good photoelectric performance with photoresponsivity of 206 mA/W, rise and fall time of 2.2, and 4.3 μs for near-infrared (850 nm) light. The origin of the strong photoelectric behavior of GSEC film was ascribed to the appearance of graphene nanosheets, which led to higher barrier height and photoexcited electron-collection efficiency. This finding indicates that GSEC film has the potential for photoelectric applications.

  20. Nanosized graphene sheets enhanced photoelectric behavior of carbon film on p-silicon substrate

    International Nuclear Information System (INIS)

    Yang, Lei; Hu, Gaijuan; Zhang, Dongqing; Diao, Dongfeng

    2016-01-01

    We found that nanosized graphene sheets enhanced the photoelectric behavior of graphene sheets embedded carbon (GSEC) film on p-silicon substrate, which was deposited under low energy electron irradiation in electron cyclotron resonance plasma. The GSEC/p-Si photodiode exhibited good photoelectric performance with photoresponsivity of 206 mA/W, rise and fall time of 2.2, and 4.3 μs for near-infrared (850 nm) light. The origin of the strong photoelectric behavior of GSEC film was ascribed to the appearance of graphene nanosheets, which led to higher barrier height and photoexcited electron-collection efficiency. This finding indicates that GSEC film has the potential for photoelectric applications.

  1. Power electronics substrate for direct substrate cooling

    Science.gov (United States)

    Le, Khiet [Mission Viejo, CA; Ward, Terence G [Redondo Beach, CA; Mann, Brooks S [Redondo Beach, CA; Yankoski, Edward P [Corona, CA; Smith, Gregory S [Woodland Hills, CA

    2012-05-01

    Systems and apparatus are provided for power electronics substrates adapted for direct substrate cooling. A power electronics substrate comprises a first surface configured to have electrical circuitry disposed thereon, a second surface, and a plurality of physical features on the second surface. The physical features are configured to promote a turbulent boundary layer in a coolant impinged upon the second surface.

  2. Substrate temperature dependence of microcrystallinity in plasma-deposited, boron-doped hydrogenated silicon alloys

    International Nuclear Information System (INIS)

    Rajeswaran, G.; Kampas, F.J.; Vanier, P.E.; Sabatini, R.L.; Tafto, J.

    1983-01-01

    The glow-discharge decomposition of silane diluted in hydrogen using diborane as a dopant results in the deposition of p-type microcrystalline silicon films at relatively low temperatures. The conductivity of these films is critically dependent on the substrate temperature when the ratio of silane flow rate to total gas flow rate is 1%. Electron micrographs show that highly conducting films contain numerous clusters of 2.5-nm crystallites that are embedded in an amorphous medium

  3. Intrinsic gettering of nickel impuriy deep levels in silicon substrate ...

    African Journals Online (AJOL)

    The intrinsic gettering of nickel impurity in p-type silicon substrate has been investigated. The density of electrically active nickel in intentionally contaminated silicon was determined before and after oxygen precipitation by means of resistivity measurements. These data, coupled with minority carrier lifetime and infrared ...

  4. Laser annealed HWCVD and PECVD thin silicon films. Electron field emission

    International Nuclear Information System (INIS)

    O'Neill, K.A.; Shaikh, M.Z.; Lyttle, G.; Anthony, S.; Fan, Y.C.; Persheyev, S.K.; Rose, M.J.

    2006-01-01

    Electron Field Emission (FE) properties of various laser annealed thin silicon films on different substrates were investigated. HWCVD microcrystalline and PECVD amorphous silicon films were irradiated with Nd : YAG and XeCl Excimer lasers at varying energy densities. Encouraging FE results were mainly from XeCl Excimer laser processed PECVD and HWCVD films on metal backplanes. FE measurements were complemented by the study of film surface morphology. Geometric field enhancement factors from surface measurements and Fowler-Nordheim Theory (FNT) were compared. FE properties of the films were also found to be particularly influenced by the backplane material

  5. Low-temperature epitaxy of silicon by electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Gorka, B. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany); Dogan, P. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)], E-mail: pinar.dogan@hmi.de; Sieber, I.; Fenske, F.; Gall, S. [Hahn-Meitner-Institut Berlin, Kekulestr. 5, 12489 Berlin (Germany)

    2007-07-16

    In this paper we report on homoepitaxial growth of thin Si films at substrate temperatures T{sub s} = 500-650 deg. C under non-ultra-high vacuum conditions by using electron beam evaporation. Si films were grown at high deposition rates on monocrystalline Si wafers with (100), (110) and (111) orientations. The ultra-violet visible reflectance spectra of the films show a dependence on T{sub s} and on the substrate orientation. To determine the structural quality of the films in more detail Secco etch experiments were carried out. No etch pits were found on the films grown on (100) oriented wafers. However, on films grown on (110) and (111) oriented wafers different types of etch pits could be detected. Films were also grown on polycrystalline silicon (poly-Si) seed layers prepared by an Aluminum-Induced Crystallisation (AIC) process on glass substrates. Electron Backscattering Diffraction (EBSD) shows that the film growth proceeds epitaxially on the grains of the seed layer. But a considerably higher density of extended defects is revealed by Secco etch experiments.

  6. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa

    2013-05-30

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  7. Mechanically flexible optically transparent silicon fabric with high thermal budget devices from bulk silicon (100)

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto; Sevilla, Galo T.

    2013-01-01

    Today’s information age is driven by silicon based electronics. For nearly four decades semiconductor industry has perfected the fabrication process of continuingly scaled transistor – heart of modern day electronics. In future, silicon industry will be more pervasive, whose application will range from ultra-mobile computation to bio-integrated medical electronics. Emergence of flexible electronics opens up interesting opportunities to expand the horizon of electronics industry. However, silicon – industry’s darling material is rigid and brittle. Therefore, we report a generic batch fabrication process to convert nearly any silicon electronics into a flexible one without compromising its (i) performance; (ii) ultra-large-scale-integration complexity to integrate billions of transistors within small areas; (iii) state-of-the-art process compatibility, (iv) advanced materials used in modern semiconductor technology; (v) the most widely used and well-studied low-cost substrate mono-crystalline bulk silicon (100). In our process, we make trenches using anisotropic reactive ion etching (RIE) in the inactive areas (in between the devices) of a silicon substrate (after the devices have been fabricated following the regular CMOS process), followed by a dielectric based spacer formation to protect the sidewall of the trench and then performing an isotropic etch to create caves in silicon. When these caves meet with each other the top portion of the silicon with the devices is ready to be peeled off from the bottom silicon substrate. Release process does not need to use any external support. Released silicon fabric (25 μm thick) is mechanically flexible (5 mm bending radius) and the trenches make it semi-transparent (transparency of 7%). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  8. Mechanical Characterization of Flexible and Stretchable Electronic Substrates

    NARCIS (Netherlands)

    Wang, L.

    2010-01-01

    Conventional IC packages form a rigid shell around silicon IC dies. Their purpose is to provide environmental protection, electrical interconnect and heat dissipation. Despite the fact that majority of current silicon IC?s are realized in a very thin top layer of the silicon substrate (<10µm), the

  9. Vapor phase epitaxy of silicon on meso porous silicon for deposition on economical substrate and low cost photovoltaic application

    International Nuclear Information System (INIS)

    Quoizola, S.

    2003-01-01

    The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)

  10. Thin Single Crystal Silicon Solar Cells on Ceramic Substrates: November 2009 - November 2010

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A.; Ravi, K. V.

    2011-06-01

    In this program we have been developing a technology for fabricating thin (< 50 micrometres) single crystal silicon wafers on foreign substrates. We reverse the conventional approach of depositing or forming silicon on foreign substrates by depositing or forming thick (200 to 400 micrometres) ceramic materials on high quality single crystal silicon films ~ 50 micrometres thick. Our key innovation is the fabrication of thin, refractory, and self-adhering 'handling layers or substrates' on thin epitaxial silicon films in-situ, from powder precursors obtained from low cost raw materials. This 'handling layer' has sufficient strength for device and module processing and fabrication. Successful production of full sized (125 mm X 125 mm) silicon on ceramic wafers with 50 micrometre thick single crystal silicon has been achieved and device process flow developed for solar cell fabrication. Impurity transfer from the ceramic to the silicon during the elevated temperature consolidation process has resulted in very low minority carrier lifetimes and resulting low cell efficiencies. Detailed analysis of minority carrier lifetime, metals analysis and device characterization have been done. A full sized solar cell efficiency of 8% has been demonstrated.

  11. Substrate and p-layer effects on polymorphous silicon solar cells

    Directory of Open Access Journals (Sweden)

    Abolmasov S.N.

    2014-07-01

    Full Text Available The influence of textured transparent conducting oxide (TCO substrate and p-layer on the performance of single-junction hydrogenated polymorphous silicon (pm-Si:H solar cells has been addressed. Comparative studies were performed using p-i-n devices with identical i/n-layers and back reflectors fabricated on textured Asahi U-type fluorine-doped SnO2, low-pressure chemical vapor deposited (LPCVD boron-doped ZnO and sputtered/etched aluminum-doped ZnO substrates. The p-layers were hydrogenated amorphous silicon carbon and microcrystalline silicon oxide. As expected, the type of TCO and p-layer both have a great influence on the initial conversion efficiency of the solar cells. However they have no effect on the defect density of the pm-Si:H absorber layer.

  12. Production of electronic grade lunar silicon by disproportionation of silicon difluoride

    Science.gov (United States)

    Agosto, William N.

    1993-01-01

    Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.

  13. Synthesis and analysis of gold nanoclusters on silicon substrates by ion beams

    International Nuclear Information System (INIS)

    Sood, D.K.; Venkatachalam, D.K.; Bhargava, S.K.; Evans, P.J.

    2005-01-01

    To facilitate the growth of silica nanowires on silicon substrates, two different seeding techniques: 1) ion implantation and 2) chemical deposition of as-synthesised gold colloids have been compared for the formation of catalysing gold nanoclusters. The prepared substrates of both types were analysed using Rutherford backscattering spectrometry at ANSTO to determine the amount of gold and its depth distribution. The topography of the substrates deposited with chemically synthesised gold nanoparticles were studied under SEM. The preliminary ion beam (RBS) analysis has shown ion implantation as a novel technique for seeding Au nanoclusters on silicon substrates facilitating growth of nanowires. This method holds a great potential for using any metal across the periodic table that can act as catalysing seed nanoclusters for nanowire growth. The use of chemical deposition as a seeding technique to deposit as-synthesised gold nanoparticles requires further investigations. RBS results show significant difference in the depth distribution of the gold nanoparticles on silicon substrates seeded by two different techniques. (author). 6 refs., 4 figs

  14. Formation of hexagonal silicon carbide by high energy ion beam irradiation on Si (1 0 0) substrate

    International Nuclear Information System (INIS)

    Bhuyan, H; Favre, M; Valderrama, E; Avaria, G; Chuaqui, H; Mitchell, I; Wyndham, E; Saavedra, R; Paulraj, M

    2007-01-01

    We report the investigation of high energy ion beam irradiation on Si (1 0 0) substrates at room temperature using a low energy plasma focus (PF) device operating in methane gas. The unexposed and ion exposed substrates were characterized by x-ray diffraction, scanning electron microscopy (SEM), photothermal beam deflection, energy-dispersive x-ray analysis and atomic force microscopy (AFM) and the results are reported. The interaction of the pulsed PF ion beams, with characteristic energy in the 60-450 keV range, with the Si surface, results in the formation of a surface layer of hexagonal silicon carbide. The SEM and AFM analyses indicate clear step bunching on the silicon carbide surface with an average step height of 50 nm and a terrace width of 800 nm

  15. Gold Nanoparticles on Functionalized Silicon Substrate under Coulomb Blockade Regime: An Experimental and Theoretical Investigation.

    Science.gov (United States)

    Pluchery, Olivier; Caillard, Louis; Dollfus, Philippe; Chabal, Yves J

    2018-01-18

    Single charge electronics offer a way for disruptive technology in nanoelectronics. Coulomb blockade is a realistic way for controlling the electric current through a device with the accuracy of one electron. In such devices the current exhibits a step-like increase upon bias which reflects the discrete nature of the fundamental charge. We have assembled a double tunnel junction on an oxide-free silicon substrate that exhibits Coulomb staircase characteristics using gold nanoparticles (AuNPs) as Coulomb islands. The first tunnel junction is an insulating layer made of a grafted organic monolayer (GOM) developed for this purpose. The GOM also serves for attaching AuNPs covalently. The second tunnel junction is made by the tip of an STM. We show that this device exhibits reproducible Coulomb blockade I-V curves at 40 K in vacuum. We also show that depending on the doping of the silicon substrate, the whole Coulomb staircase can be adjusted. We have developed a simulation approach based on the orthodox theory that was completed by calculating the bias dependent tunnel barriers and by including an accurate calculation of the band bending. This model accounts for the experimental data and the doping dependence of Coulomb oscillations. This study opens new perspectives toward designing new kind of single electron transistors (SET) based on this dependence of the Coulomb staircase with the charge carrier concentration.

  16. Spin Measurements of an Electron Bound to a Single Phosphorous Donor in Silicon

    Science.gov (United States)

    Luhman, D. R.; Nguyen, K.; Tracy, L. A.; Carr, S. M.; Borchardt, J.; Bishop, N. C.; Ten Eyck, G. A.; Pluym, T.; Wendt, J.; Carroll, M. S.; Lilly, M. P.

    2014-03-01

    The spin of an electron bound to a single donor implanted in silicon is potentially useful for quantum information processing. We report on our efforts to measure and manipulate the spin of an electron bound to a single P donor in silicon. A low number of P donors are implanted using a self-aligned process into a silicon substrate in close proximity to a single-electron-transistor (SET) defined by lithographically patterned polysilicon gates. The SET is used to sense the occupancy of the electron on the donor and for spin read-out. An adjacent transmission line allows the application of microwave pulses to rotate the spin of the electron. We will present data from various experiments designed to exploit these capabilities. This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. The work was supported by Sandia National Laboratories Directed Research and Development Program. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000.

  17. A CMOS-compatible silicon substrate optimization technique and its application in radio frequency crosstalk isolation

    International Nuclear Information System (INIS)

    Li Chen; Liao Huailin; Huang Ru; Wang Yangyuan

    2008-01-01

    In this paper, a complementary metal-oxide semiconductor (CMOS)-compatible silicon substrate optimization technique is proposed to achieve effective isolation. The selective growth of porous silicon is used to effectively suppress the substrate crosstalk. The isolation structures are fabricated in standard CMOS process and then this post-CMOS substrate optimization technique is carried out to greatly improve the performances of crosstalk isolation. Three-dimensional electro-magnetic simulation is implemented to verify the obvious effect of our substrate optimization technique. The morphologies and growth condition of porous silicon fabricated have been investigated in detail. Furthermore, a thick selectively grown porous silicon (SGPS) trench for crosstalk isolation has been formed and about 20dB improvement in substrate isolation is achieved. These results demonstrate that our post-CMOS SGPS technique is very promising for RF IC applications. (cross-disciplinary physics and related areas of science and technology)

  18. Probing photo-carrier collection efficiencies of individual silicon nanowire diodes on a wafer substrate.

    Science.gov (United States)

    Schmitt, S W; Brönstrup, G; Shalev, G; Srivastava, S K; Bashouti, M Y; Döhler, G H; Christiansen, S H

    2014-07-21

    Vertically aligned silicon nanowire (SiNW) diodes are promising candidates for the integration into various opto-electronic device concepts for e.g. sensing or solar energy conversion. Individual SiNW p-n diodes have intensively been studied, but to date an assessment of their device performance once integrated on a silicon substrate has not been made. We show that using a scanning electron microscope (SEM) equipped with a nano-manipulator and an optical fiber feed-through for tunable (wavelength, power using a tunable laser source) sample illumination, the dark and illuminated current-voltage (I-V) curve of individual SiNW diodes on the substrate wafer can be measured. Surprisingly, the I-V-curve of the serially coupled system composed of SiNW/wafers is accurately described by an equivalent circuit model of a single diode and diode parameters like series and shunting resistivity, diode ideality factor and photocurrent can be retrieved from a fit. We show that the photo-carrier collection efficiency (PCE) of the integrated diode illuminated with variable wavelength and intensity light directly gives insight into the quality of the device design at the nanoscale. We find that the PCE decreases for high light intensities and photocurrent densities, due to the fact that considerable amounts of photo-excited carriers generated within the substrate lead to a decrease in shunting resistivity of the SiNW diode and deteriorate its rectification. The PCE decreases systematically for smaller wavelengths of visible light, showing the possibility of monitoring the effectiveness of the SiNW device surface passivation using the shown measurement technique. The integrated device was pre-characterized using secondary ion mass spectrometry (SIMS), TCAD simulations and electron beam induced current (EBIC) measurements to validate the properties of the characterized material at the single SiNW diode level.

  19. GaN-on-silicon high-electron-mobility transistor technology with ultra-low leakage up to 3000 V using local substrate removal and AlN ultra-wide bandgap

    Science.gov (United States)

    Dogmus, Ezgi; Zegaoui, Malek; Medjdoub, Farid

    2018-03-01

    We report on extremely low off-state leakage current in AlGaN/GaN-on-silicon metal–insulator–semiconductor high-electron-mobility transistors (MISHEMTs) up to a high blocking voltage. Remarkably low off-state gate and drain leakage currents below 1 µA/mm up to 3 kV have been achieved owing to the use of a thick in situ SiN gate dielectric under the gate, and a local Si substrate removal technique combined with a cost effective 15-µm-thick AlN dielectric layer followed by a Cu deposition. This result establishes a manufacturable state-of-the-art high-voltage GaN-on-silicon power transistors while maintaining a low specific on-resistance of approximately 10 mΩ·cm2.

  20. Fabrication and characterization of surface barrier detector from commercial silicon substrate

    International Nuclear Information System (INIS)

    Costa, Fabio Eduardo da; Silva, Julio Batista Rodrigues da

    2015-01-01

    This work used 5 silicon substrates, n-type with resistivity between 500-20,000 Ω.cm, with 12 mm diameter and 1 mm thickness, from Wacker - Chemitronic, Germany. To produce the surface barrier detectors, the substrates were first cleaned, then, they were etched with HNO 3 solution. After this, a deposition of suitable materials on the crystal was made, to produce the desired population inversion of the crystal characteristics. The substrates received a 10 mm diameter gold contact in one of the surfaces and a 5 mm diameter aluminum in the other. The curves I x V and the energy spectra for 28 keV and 59 keV, for each of the produced detectors, were measured. From the 5 substrates, 4 of them resulted in detectors and one did not present even diode characteristics. The results showed that the procedures used are suitable to produce detectors with this type of silicon substrates. (author)

  1. Silicon-on-insulator (SOI) active pixel sensors with the photosite implemented in the substrate

    Science.gov (United States)

    Zheng, Xinyu (Inventor); Pain, Bedabrata (Inventor)

    2005-01-01

    Active pixel sensors for a high quality imager are fabricated using a silicon-on-insulator (SOI) process by integrating the photodetectors on the SOI substrate and forming pixel readout transistors on the SOI thin-film. The technique can include forming silicon islands on a buried insulator layer disposed on a silicon substrate and selectively etching away the buried insulator layer over a region of the substrate to define a photodetector area. Dopants of a first conductivity type are implanted to form a signal node in the photodetector area and to form simultaneously drain/source regions for a first transistor in at least a first one of the silicon islands. Dopants of a second conductivity type are implanted to form drain/source regions for a second transistor in at least a second one of the silicon islands. Isolation rings around the photodetector also can be formed when dopants of the second conductivity type are implanted. Interconnections among the transistors and the photodetector are provided to allow signals sensed by the photodetector to be read out via the transistors formed on the silicon islands.

  2. Evaluation of substrate noise suppression method to mitigate crosstalk among trough-silicon vias

    Science.gov (United States)

    Araga, Yuuki; Kikuchi, Katsuya; Aoyagi, Masahiro

    2018-04-01

    Substrate noise from a single through-silicon via (TSV) and the noise attenuation by a substrate tap and a guard ring are clarified. A CMOS test vehicle is designed, and 6-µm-diameter TSVs are manufactured on a 20-µm-thick silicon substrate by the via-last method. An on-chip waveform-capturing circuitry is embedded in the test vehicle to capture transient waveforms of substrate noise. The embedded waveform-capturing circuitry demonstrates small and local noise propagation. Experimental results show increased substrate noise level induced by TSVs and the effectiveness of the substrate tap and guard ring for mitigating the crosstalk among TSVs. An analytical model to explain substrate noise propagation is developed to validate experimental results. Results obtained using the substrate model with a multilayer mesh shows good consistency with experimental results, indicating that the model can be used for examination of noise suppression methods.

  3. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  4. Feasibility studies of microelectrode silicon detectors with integrated electronics

    International Nuclear Information System (INIS)

    Dalla Betta, G.-F.; Batignani, G.; Bettarini, S.; Boscardin, M.; Bosisio, L.; Carpinelli, M.; Dittongo, S.; Forti, F.; Giorgi, M.; Gregori, P.; Lusiani, A.; Manghisoni, M.; Pignatel, G.U.; Rama, M.; Ratti, L.; Re, V.; Sandrelli, F.; Speziali, V.; Svelto, F.; Zorzi, N.

    2002-01-01

    We describe our experience on design and fabrication, on high-resistivity silicon substrates, of microstrip detectors and integrated electronics, devoted to high-energy physics experiments and medical/industrial imaging applications. We report on the full program of our collaboration, with particular regards to the tuning of a new fabrication process, allowing for the production of good quality transistors, while keeping under control the basic detector parameters, such as leakage current. Experimental results on JFET and bipolar transistors are presented, and a microstrip detector with an integrated JFET in source-follower configuration is introduced

  5. Nanopatterned Silicon Substrate Use in Heterojunction Thin Film Solar Cells Made by Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Shao-Ze Tseng

    2014-01-01

    Full Text Available This paper describes a method for fabricating silicon heterojunction thin film solar cells with an ITO/p-type a-Si : H/n-type c-Si structure by radiofrequency magnetron sputtering. A short-circuit current density and efficiency of 28.80 mA/cm2 and 8.67% were achieved. Novel nanopatterned silicon wafers for use in cells are presented. Improved heterojunction cells are formed on a nanopatterned silicon substrate that is prepared with a self-assembled monolayer of SiO2 nanospheres with a diameter of 550 nm used as an etching mask. The efficiency of the nanopattern silicon substrate heterojunction cells was 31.49% greater than that of heterojunction cells on a flat silicon wafer.

  6. Direct growth of vertically aligned carbon nanotubes on silicon substrate by spray pyrolysis of Glycine max oil

    Directory of Open Access Journals (Sweden)

    K. T. Karthikeyan

    2017-11-01

    Full Text Available Vertically aligned carbon nanotubes have been synthesized by spray pyrolysis from Glycine max oil on silicon substrate using ferrocene as catalyst at 650 °C. Glycine max oil, a plant-based hydrocarbon precursor was used as a source of carbon and argon as a carrier gas. The as-grown vertically aligned carbon nanotubes were characterized by scanning electron microscopy, high-resolution transmission electron microscopy, X-ray diffraction, thermogravimetric analysis, and Raman spectroscopy. Scanning electron microscopic images reveal that the dense bundles of aligned carbon nanotubes. High resolution transmission electron microscopy and Raman spectroscopy observations indicate that as-grown aligned carbon nanotubes are well graphitized.

  7. Atomic and electronic structures of novel silicon surface structures

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.H. Jr.

    1997-03-01

    The modification of silicon surfaces is presently of great interest to the semiconductor device community. Three distinct areas are the subject of inquiry: first, modification of the silicon electronic structure; second, passivation of the silicon surface; and third, functionalization of the silicon surface. It is believed that surface modification of these types will lead to useful electronic devices by pairing these modified surfaces with traditional silicon device technology. Therefore, silicon wafers with modified electronic structure (light-emitting porous silicon), passivated surfaces (H-Si(111), Cl-Si(111), Alkyl-Si(111)), and functionalized surfaces (Alkyl-Si(111)) have been studied in order to determine the fundamental properties of surface geometry and electronic structure using synchrotron radiation-based techniques.

  8. Vertically aligned ZnO nanorods on porous silicon substrates: Effect of growth time

    Directory of Open Access Journals (Sweden)

    R. Shabannia

    2015-04-01

    Full Text Available Vertically aligned ZnO nanorods were successfully grown on porous silicon (PS substrates by chemical bath deposition at a low temperature. X-ray diffraction, field-emission scanning electron microscopy (FESEM, transmission electron microscopy (TEM, and photoluminescence (PL analyses were carried out to investigate the effect of growth duration (2 h to 8 h on the optical and structural properties of the aligned ZnO nanorods. Strong and sharp ZnO (0 0 2 peaks of the ZnO nanorods proved that the aligned ZnO nanorods were preferentially fabricated along the c-axis of the hexagonal wurtzite structure. FESEM images demonstrated that the ZnO nanorod arrays were well aligned along the c-axis and perpendicular to the PS substrates regardless of the growth duration. The TEM image showed that the top surfaces of the ZnO nanorods were round with a smooth curvature. PL spectra demonstrated that the ZnO nanorods grown for 5 h exhibited the sharpest and most intense PL peaks within the ultraviolet range among all samples.

  9. Preparation of Mica and Silicon Substrates for DNA Origami Analysis and Experimentation

    Science.gov (United States)

    Pillers, Michelle A.; Shute, Rebecca; Farchone, Adam; Linder, Keenan P.; Doerfler, Rose; Gavin, Corey; Goss, Valerie; Lieberman, Marya

    2015-01-01

    The designed nature and controlled, one-pot synthesis of DNA origami provides exciting opportunities in many fields, particularly nanoelectronics. Many of these applications require interaction with and adhesion of DNA nanostructures to a substrate. Due to its atomically flat and easily cleaned nature, mica has been the substrate of choice for DNA origami experiments. However, the practical applications of mica are relatively limited compared to those of semiconductor substrates. For this reason, a straightforward, stable, and repeatable process for DNA origami adhesion on derivatized silicon oxide is presented here. To promote the adhesion of DNA nanostructures to silicon oxide surface, a self-assembled monolayer of 3-aminopropyltriethoxysilane (APTES) is deposited from an aqueous solution that is compatible with many photoresists. The substrate must be cleaned of all organic and metal contaminants using Radio Corporation of America (RCA) cleaning processes and the native oxide layer must be etched to ensure a flat, functionalizable surface. Cleanrooms are equipped with facilities for silicon cleaning, however many components of DNA origami buffers and solutions are often not allowed in them due to contamination concerns. This manuscript describes the set-up and protocol for in-lab, small-scale silicon cleaning for researchers who do not have access to a cleanroom or would like to incorporate processes that could cause contamination of a cleanroom CMOS clean bench. Additionally, variables for regulating coverage are discussed and how to recognize and avoid common sample preparation problems is described. PMID:26274888

  10. Upconversion and tribological properties of β-NaYF{sub 4}:Yb,Er film synthesized on silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chuanying [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Cheng, Xianhua, E-mail: xhcheng@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-05-15

    Highlights: • β-NaYF{sub 4}:Yb,Er upconversion (UC) film was synthesized on silicon substrate. • Tribological test was used to qualitatively evaluate the adhesion of the UC film. • The UC film was combined with Si substrate by covalent chemical bonds. • The method used in this work can be applicable for other UC films. - Abstract: In this work, β-NaYF{sub 4}:Yb,Er upconversion (UC) film was successfully prepared on silicon (Si) substrate via self-assemble method for the first time. The chemical composition and surface morphology of the UC film were characterized by Fourier transform infrared spectroscopy (FT-IR), X-ray photoelectron spectroscopy (XPS), water contact angle (WCA), X-ray power diffraction (XRD), and scanning electron microscopy (SEM) measurements. To investigate the effects of KH-560 primer film and chemical reactions on the UC luminescence properties of β-NaYF{sub 4}:Yb,Er UC film, decay profiles of the 540 nm and 655 nm radiations were measured. Furthermore, tribological test was applied to qualitatively evaluate the adhesion of the UC film. The results indicate that the UC film has been successfully prepared on Si substrate by covalent chemical bonds. This work provides a facile way to synthesize β-NaYF{sub 4}:Yb,Er UC film with robust adhesion to the substrate, which can be applicable for other UC films.

  11. An electrical characterization of a two-dimensional electron gas in GaN/AlGaN on silicon substrates

    International Nuclear Information System (INIS)

    Elhamri, S.; Berney, R.; Mitchel, W.C.; Mitchell, W.D.; Roberts, J.C.; Rajagopal, P.; Gehrke, T.; Piner, E.L.; Linthicum, K.J.

    2004-01-01

    We present results of transport measurements performed on AlGaN/GaN heterostructures grown on silicon substrates. Variable temperature Hall effect measurements revealed that the temperature dependence of the carrier density and mobility were characteristic of a two-dimensional electron gas (2DEG). Carrier densities greater than 1x10 13 cm -2 and Hall mobilities in excess of 1500 cm2/V s were measured at room temperature. Variable field Hall measurements at low temperatures, and in magnetic fields up to 6 T, indicated that conduction is dominated by a single carrier type in these samples. Shubnikov-de Haas (SdH) measurements were also performed, but no oscillations were observed in fields up to 8 T and at temperatures as low as 1.2 K. Illuminating some of the samples with a blue (λ=470 nm) light emitting diode (LED) induced a persistent increase in the carrier density. SdH measurements were repeated and again no oscillations were present following illumination. However, exposing the samples to radiation from an UV (λ=395 nm) LED induced well-defined SdH oscillations in fields as low as 4 T. The observation of SdH oscillations confirmed the presence of a 2DEG in these structures. It is hypothesized that small angle scattering suppressed the oscillations before exposure to UV light. This conclusion is supported by the observed increase in the quantum scattering time, τ q , with the carrier density and the calculated quantum to transport scattering times ratio, τ q /τ c . For instance, in one of the samples the τ q increased by 32% while the τ c changed by only 3% as the carrier density increased; an indication of an increase in the screening of small angle scattering. The absence of SdH oscillations in fields up to 8 T and at temperatures as low as 1.2 K is not unique to AlGaN/GaN on silicon. This behavior was observed in AlGaN/GaN on sapphire and on silicon carbide. SdH oscillations were observed in one AlGaN/GaN on silicon carbide sample following exposure to

  12. Formation of Al2O3-HfO2 Eutectic EBC Film on Silicon Carbide Substrate

    Directory of Open Access Journals (Sweden)

    Kyosuke Seya

    2015-01-01

    Full Text Available The formation mechanism of Al2O3-HfO2 eutectic structure, the preparation method, and the formation mechanism of the eutectic EBC layer on the silicon carbide substrate are summarized. Al2O3-HfO2 eutectic EBC film is prepared by optical zone melting method on the silicon carbide substrate. At high temperature, a small amount of silicon carbide decomposed into silicon and carbon. The components of Al2O3 and HfO2 in molten phase also react with the free carbon. The Al2O3 phase reacts with free carbon and vapor species of AlO phase is formed. The composition of the molten phase becomes HfO2 rich from the eutectic composition. HfO2 phase also reacts with the free carbon and HfC phase is formed on the silicon carbide substrate; then a high density intermediate layer is formed. The adhesion between the intermediate layer and the substrate is excellent by an anchor effect. When the solidification process finished before all of HfO2 phase is reduced to HfC phase, HfC-HfO2 functionally graded layer is formed on the silicon carbide substrate and the Al2O3-HfO2 eutectic structure grows from the top of the intermediate layer.

  13. Electronic devices containing switchably conductive silicon oxides as a switching element and methods for production and use thereof

    Science.gov (United States)

    Tour, James M; Yao, Jun; Natelson, Douglas; Zhong, Lin; He, Tao

    2013-11-26

    In various embodiments, electronic devices containing switchably conductive silicon oxide as a switching element are described herein. The electronic devices are two-terminal devices containing a first electrical contact and a second electrical contact in which at least one of the first electrical contact or the second electrical contact is deposed on a substrate to define a gap region therebetween. A switching layer containing a switchably conductive silicon oxide resides in the the gap region between the first electical contact and the second electrical contact. The electronic devices exhibit hysteretic current versus voltage properties, enabling their use in switching and memory applications. Methods for configuring, operating and constructing the electronic devices are also presented herein.

  14. Thin film transistors on plastic substrates with reflective coatings for radiation protection

    Science.gov (United States)

    Wolfe, Jesse D [Fairfield, CA; Theiss, Steven D [Woodbury, MN; Carey, Paul G [Mountain View, CA; Smith, Patrick M [San Ramon, CA; Wickbold, Paul [Walnut Creek, CA

    2006-09-26

    Fabrication of silicon thin film transistors (TFT) on low-temperature plastic substrates using a reflective coating so that inexpensive plastic substrates may be used in place of standard glass, quartz, and silicon wafer-based substrates. The TFT can be used in large area low cost electronics, such as flat panel displays and portable electronics such as video cameras, personal digital assistants, and cell phones.

  15. Investigation on nonlinear optical properties of MoS2 nanoflakes grown on silicon and quartz substrates

    Science.gov (United States)

    Bayesteh, Samaneh; Zahra Mortazavi, Seyedeh; Reyhani, Ali

    2018-05-01

    In this study, MoS2 nanoflakes were directly grown on different substrates—Si/SiO2 and quartz—by one-step thermal chemical vapor deposition using MoO3 and sulfide powders as precursors. Scanning electron microscopy and x-ray diffraction patterns demonstrated the formation of MoS2 structures on both substrates. Moreover, UV-visible and photoluminescence analysis confirmed the formation of MoS2 few-layer structures. According to Raman spectroscopy, by assessment of the line width and frequency shift differences between the and A 1g, it was inferred that the MoS2 grown on the silicon substrate was monolayer and that grown on the quartz substrate was multilayer. In addition, open-aperture and close-aperture Z-scan techniques were employed to study the nonlinear optical properties including nonlinear absorption and nonlinear refraction of the grown MoS2. All experiments were performed using a diode laser with a wavelength of 532 nm as the light source. It is noticeable that both samples demonstrate obvious self-defocusing behavior. The monolayer MoS2 grown on the silicon substrate displayed considerable two-photon absorption while, the multilayer MoS2 synthesized on the quartz exhibited saturable absorption. In general, few-layered MoS2 would be useful for the development of nanophotonic devices like optical limiters, optical switchers, etc.

  16. Transformational silicon electronics

    KAUST Repository

    Rojas, Jhonathan Prieto; Sevilla, Galo T.; Ghoneim, Mohamed T.; Inayat, Salman Bin; Ahmed, Sally; Hussain, Aftab M.; Hussain, Muhammad Mustafa

    2014-01-01

    In today's traditional electronics such as in computers or in mobile phones, billions of high-performance, ultra-low-power devices are neatly integrated in extremely compact areas on rigid and brittle but low-cost bulk monocrystalline silicon (100

  17. Optimization and characterization of biomolecule immobilization on silicon substrates using (3-aminopropyl)triethoxysilane (APTES) and glutaraldehyde linker

    International Nuclear Information System (INIS)

    Gunda, Naga Siva Kumar; Singh, Minashree; Norman, Lana; Kaur, Kamaljit; Mitra, Sushanta K.

    2014-01-01

    In the present work, we developed and optimized a technique to produce a thin, stable silane layer on silicon substrate in a controlled environment using (3-aminopropyl)triethoxysilane (APTES). The effect of APTES concentration and silanization time on the formation of silane layer is studied using spectroscopic ellipsometry and Fourier transform infrared spectroscopy (FTIR). Biomolecules of interest are immobilized on optimized silane layer formed silicon substrates using glutaraldehyde linker. Surface analytical techniques such as ellipsometry, FTIR, contact angle measurement system, and atomic force microscopy are employed to characterize the bio-chemically modified silicon surfaces at each step of the biomolecule immobilization process. It is observed that a uniform, homogenous and highly dense layer of biomolecules are immobilized with optimized silane layer on the silicon substrate. The developed immobilization method is successfully implemented on different silicon substrates (flat and pillar). Also, different types of biomolecules such as anti-human IgG (rabbit monoclonal to human IgG), Listeria monocytogenes, myoglobin and dengue capture antibodies were successfully immobilized. Further, standard sandwich immunoassay (antibody–antigen–antibody) is employed on respective capture antibody coated silicon substrates. Fluorescence microscopy is used to detect the respective FITC tagged detection antibodies bound to the surface after immunoassay.

  18. Optimization and characterization of biomolecule immobilization on silicon substrates using (3-aminopropyl)triethoxysilane (APTES) and glutaraldehyde linker

    Energy Technology Data Exchange (ETDEWEB)

    Gunda, Naga Siva Kumar [Department of Mechanical Engineering, University of Alberta, Edmonton, Canada T6G 2G8 (Canada); Singh, Minashree [Department of Pharmacy and Pharmaceutical Sciences, University of Alberta, Edmonton, Canada T6G 1C9 (Canada); Norman, Lana [Department of Chemical and Materials Engineering, University of Alberta, Edmonton, AB, Canada T6G 2V4 (Canada); Kaur, Kamaljit [Department of Pharmacy and Pharmaceutical Sciences, University of Alberta, Edmonton, Canada T6G 1C9 (Canada); Mitra, Sushanta K., E-mail: sushanta.mitra@ualberta.ca [Department of Mechanical Engineering, University of Alberta, Edmonton, Canada T6G 2G8 (Canada)

    2014-06-01

    In the present work, we developed and optimized a technique to produce a thin, stable silane layer on silicon substrate in a controlled environment using (3-aminopropyl)triethoxysilane (APTES). The effect of APTES concentration and silanization time on the formation of silane layer is studied using spectroscopic ellipsometry and Fourier transform infrared spectroscopy (FTIR). Biomolecules of interest are immobilized on optimized silane layer formed silicon substrates using glutaraldehyde linker. Surface analytical techniques such as ellipsometry, FTIR, contact angle measurement system, and atomic force microscopy are employed to characterize the bio-chemically modified silicon surfaces at each step of the biomolecule immobilization process. It is observed that a uniform, homogenous and highly dense layer of biomolecules are immobilized with optimized silane layer on the silicon substrate. The developed immobilization method is successfully implemented on different silicon substrates (flat and pillar). Also, different types of biomolecules such as anti-human IgG (rabbit monoclonal to human IgG), Listeria monocytogenes, myoglobin and dengue capture antibodies were successfully immobilized. Further, standard sandwich immunoassay (antibody–antigen–antibody) is employed on respective capture antibody coated silicon substrates. Fluorescence microscopy is used to detect the respective FITC tagged detection antibodies bound to the surface after immunoassay.

  19. Defects study of hydrogenated amorphous silicon samples and their relation with the substrate and deposition conditions

    International Nuclear Information System (INIS)

    Darwich, R.

    2009-07-01

    The goal of this work is to study the properties of the defects aiming to explore the types of defects and the effect of various deposition parameters such as substrate temperature, the kind of the substrate, gas pressure and deposition rate. Two kinds of samples have been used; The first one was a series of Schottky diodes, and the second one a series of solar cells (p-i-n junction) deposited on crystalline silicon or on corning glass substrates with different deposition parameters. The deposition parameters were chosen to obtain materials whose their structures varying from amorphous to microcrystalline silicon including polymorphous silicon. Our results show that the polymorphous silicon samples deposited at high deposition rates present the best photovoltaic properties in comparison with those deposited at low rates. Also we found that the defects concentration in high deposition rate samples is less at least by two orders than that obtained in low deposition rate polymorphous, microcrystalline and amorphous samples. This study shows also that there is no effect of the substrate, or the thin films of highly doped amorphous silicon deposited on the substrate, on the creation and properties of these defects. Finally, different experimental methods have been used; a comparison between their results has been presented. (author)

  20. CMOS compatible generic batch process towards flexible memory on bulk monocrystalline silicon (100)

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-12-01

    Today\\'s mainstream flexible electronics research is geared towards replacing silicon either totally, by having organic devices on organic substrates, or partially, by transferring inorganic devices onto organic substrates. In this work, we present a pragmatic approach combining the desired flexibility of organic substrates and the ultra-high integration density, inherent in silicon semiconductor industry, to transform bulk/inflexible silicon into an ultra-thin mono-crystalline fabric. We also show the effectiveness of this approach in achieving fully flexible electronic systems. Furthermore, we provide a progress report on fabricating various memory devices on flexible silicon fabric and insights for completely flexible memory modules on silicon fabric.

  1. CMOS compatible generic batch process towards flexible memory on bulk monocrystalline silicon (100)

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Kutbee, Arwa T.; Hanna, Amir; Hussain, Muhammad Mustafa

    2014-01-01

    Today's mainstream flexible electronics research is geared towards replacing silicon either totally, by having organic devices on organic substrates, or partially, by transferring inorganic devices onto organic substrates. In this work, we present a pragmatic approach combining the desired flexibility of organic substrates and the ultra-high integration density, inherent in silicon semiconductor industry, to transform bulk/inflexible silicon into an ultra-thin mono-crystalline fabric. We also show the effectiveness of this approach in achieving fully flexible electronic systems. Furthermore, we provide a progress report on fabricating various memory devices on flexible silicon fabric and insights for completely flexible memory modules on silicon fabric.

  2. Compton recoil electron tracking with silicon strip detectors

    International Nuclear Information System (INIS)

    O'Neill, T.J.; Ait-Ouamer, F.; Schwartz, I.; Tumer, O.T.; White, R.S.; Zych, A.D.

    1992-01-01

    The application of silicon strip detectors to Compton gamma ray astronomy telescopes is described in this paper. The Silicon Compton Recoil Telescope (SCRT) tracks Compton recoil electrons in silicon strip converters to provide a unique direction for Compton scattered gamma rays above 1 MeV. With strip detectors of modest positional and energy resolutions of 1 mm FWHM and 3% at 662 keV, respectively, 'true imaging' can be achieved to provide an order of magnitude improvement in sensitivity to 1.6 x 10 - 6 γ/cm 2 -s at 2 MeV. The results of extensive Monte Carlo calculations of recoil electrons traversing multiple layers of 200 micron silicon wafers are presented. Multiple Coulomb scattering of the recoil electron in the silicon wafer of the Compton interaction and the next adjacent wafer is the basic limitation to determining the electron's initial direction

  3. Investigations of different doping concentration of phosphorus and boron into silicon substrate on the variable temperature Raman characteristics

    Science.gov (United States)

    Li, Xiaoli; Ding, Kai; Liu, Jian; Gao, Junxuan; Zhang, Weifeng

    2018-01-01

    Different doped silicon substrates have different device applications and have been used to fabricate solar panels and large scale integrated circuits. The thermal transport in silicon substrates are dominated by lattice vibrations, doping type, and doping concentration. In this paper, a variable-temperature Raman spectroscopic system is applied to record the frequency and linewidth changes of the silicon peak at 520 cm-1 in five chips of silicon substrate with different doping concentration of phosphorus and boron at the 83K to 1473K temperature range. The doping has better heat sensitive to temperature on the frequency shift over the low temperature range from 83K to 300K but on FWHM in high temperature range from 300K to 1473K. The results will be helpful for fundamental study and practical applications of silicon substrates.

  4. Chemical resistivity of self-assembled monolayer covalently attached to silicon substrate to hydrofluoric acid and ammonium fluoride

    Science.gov (United States)

    Saito, N.; Youda, S.; Hayashi, K.; Sugimura, H.; Takai, O.

    2003-06-01

    Self-assembled monolayers (SAMs) were prepared on hydrogen-terminated silicon substrates through chemical vapor deposition using 1-hexadecene (HD) as a precursor. The HD-SAMs prepared in an atmosphere under a reduced pressure (≈50 Pa) showed better chemical resistivities to hydrofluoric acid and ammonium fluoride (NH 4F) solutions than that of an organosilane SAM formed on oxide-covered silicon substrates. The surface covered with the HD-SAM was micro-patterned by vacuum ultraviolet photolithography and consequently divided into two areas terminated with HD-SAM or silicon dioxide. This micro-patterned sample was immersed in a 40 vol.% NH 4F aqueous solution. Surface images obtained by an optical microscopy clearly show that the micro-patterns of HD-SAM/silicon dioxide were successfully transferred into the silicon substrate.

  5. Silicon nanowires: structure and properties

    International Nuclear Information System (INIS)

    Nezhdanov, A.V.; Mashin, A.I.; Razuvaev, A.G.; Ershov, A.V.; Ignatov, S.K.

    2006-01-01

    An attempt to grow silicon nanowires has been made by electron beam evaporation on highly oriented pyrolytic substrate. Needle-like objects are located along the normal to a substrate (density 2 x 10 11 cm -2 ). For modeling quasi-one-dimensional objects calculations of nuclear structure and energy spectra have been accomplished. A fullerene-like structure Si 24 is proposed as a basic atomic configuration of silicon nanowires [ru

  6. Growth of misfit dislocation-free p/p+ thick epitaxial silicon wafers on Ge-B-codoped substrates

    International Nuclear Information System (INIS)

    Jiang Huihua; Yang Deren; Ma Xiangyang; Tian Daxi; Li Liben; Que Duanlin

    2006-01-01

    The growth of p/p + silicon epitaxial silicon wafers (epi-wafers) without misfit dislocations has been successfully achieved by using heavily boron-doped Czochralski (CZ) silicon wafers codoped with desirable level of germanium as the substrates. The lattice compensation by codoping of germanium and boron into the silicon matrix to reduce the lattice mismatch between the substrate (heavily boron-doped) and epi-layer (lightly boron-doped) is the basic idea underlying in the present achievement. In principle, the codoping of germanium and boron in the CZ silicon can be tailored to achieve misfit dislocation-free epi-layer with required thickness. It is reasonably expected that the presented solution to elimination of misfit dislocations in the p/p + silicon wafers can be applied in the volume production

  7. Electron beam silicon purification

    Energy Technology Data Exchange (ETDEWEB)

    Kravtsov, Anatoly [SIA ' ' KEPP EU' ' , Riga (Latvia); Kravtsov, Alexey [' ' KEPP-service' ' Ltd., Moscow (Russian Federation)

    2014-11-15

    Purification of heavily doped electronic grade silicon by evaporation of N-type impurities with electron beam heating was investigated in process with a batch weight up to 50 kilos. Effective temperature of the melt, an indicative parameter suitable for purification process characterization was calculated and appeared to be stable for different load weight processes. Purified material was successfully approbated in standard CZ processes of three different companies. Each company used its standard process and obtained CZ monocrystals applicable for photovoltaic application. These facts enable process to be successfully scaled up to commercial volumes (150-300 kg) and yield solar grade silicon. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Resonant tunnelling from nanometre-scale silicon field emission cathodes

    International Nuclear Information System (INIS)

    Johnson, S.; Markwitz, A.

    2005-01-01

    In this paper we report the field emission properties of self-assembled silicon nanostructures formed on an n-type silicon (100) substrate by electron beam annealing. The nanostructures are square based, with an average height of 8 nm and are distributed randomly over the entire substrate surface. Following conditioning, the silicon nanostructure field emission characteristics become stable and reproducible with electron emission occurring for fields as low as 3 Vμm-1. At higher fields, a superimposed on a background current well described by conventional Fowler-Nordheim theory. These current peaks are understood to result from enhanced tunnelling through resonant states formed at the substrate-nanostructure and nanostructure-vacuum interface. (author). 13 refs., 3 figs

  9. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  10. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon.

    Science.gov (United States)

    Bandarenka, Hanna V; Girel, Kseniya V; Zavatski, Sergey A; Panarin, Andrei; Terekhov, Sergei N

    2018-05-21

    The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS) with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs), and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.

  11. Progress in the Development of SERS-Active Substrates Based on Metal-Coated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Hanna V. Bandarenka

    2018-05-01

    Full Text Available The present work gives an overview of the developments in surface-enhanced Raman scattering (SERS with metal-coated porous silicon used as an active substrate. We focused this review on the research referenced to SERS-active materials based on porous silicon, beginning from the patent application in 2002 and enclosing the studies of this year. Porous silicon and metal deposition technologies are discussed. Since the earliest studies, a number of fundamentally different plasmonic nanostructures including metallic dendrites, quasi-ordered arrays of metallic nanoparticles (NPs, and metallic nanovoids have been grown on porous silicon, defined by the morphology of this host material. SERS-active substrates based on porous silicon have been found to combine a high and well-reproducible signal level, storage stability, cost-effective technology and handy use. They make it possible to identify and study many compounds including biomolecules with a detection limit varying from milli- to femtomolar concentrations. The progress reviewed here demonstrates the great prospects for the extensive use of the metal-coated porous silicon for bioanalysis by SERS-spectroscopy.

  12. Investigation of the generation of singlet oxygen in ensembles of photoexcited silicon nanocrystals by electron paramagnetic resonance spectroscopy

    International Nuclear Information System (INIS)

    Konstantinova, E. A.; Demin, V. A.; Timoshenko, V. Yu.

    2008-01-01

    The generation of singlet oxygen is investigated and its concentration upon photoexcitation of silicon nanocrystals in porous silicon layers is determined using electron paramagnetic resonance spectroscopy. The relaxation times of spin centers, i.e., silicon dangling bonds, in vacuum and in an oxygen atmosphere in the dark and under illumination of the samples are measured for the first time. It is revealed that the spin-lattice relaxation in porous silicon is retarded as compared to that in a single-crystal substrate. From analyzing experimental data, a microscopic model is proposed for interaction of oxygen molecules in the triplet state and spin centers at the surface of silicon nanocrystals. The results obtained have demonstrated that porous silicon holds promise for the use as a photosensitizer of molecular oxygen in biomedical applications

  13. Self-assembled monolayers of perfluoroalkylsilane on plasma-hydroxylated silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Lin; Cai, Lu; Liu, Anqi; Wang, Wei; Yuan, Yanhua [College of Textile, Clothing Engineering, Soochow University, Suzhou 215021 (China); National Engineering Laboratory for Modern Silk, Suzhou 215123 (China); Li, Zhanxiong, E-mail: lizhanxiong@suda.edu.cn [College of Textile, Clothing Engineering, Soochow University, Suzhou 215021 (China); State Key Laboratory of Disaster Prevention & Mitigation of Explosion & Impact, Nanjing 210007 (China)

    2015-09-15

    Highlights: • A novel kind of fluoroalkylsilane monomers with different fluoroalkyl chain length was synthesized. • The fluoroalkyl-terminated self-assembled monolayers (SAMs) on silanol-terminated silicon substrates were chemically fabricated using the liquid phase deposition method. • Fluoroalkylsilanes were used for the self-assembly rather than the silane coupling agents and fluorochemicals to fabricate controllable, ordered SAMs. • The angle-dependent XPS study was conducted to investigate the changes of surface structures as well as elemental compositions of the SAMs. • The results indicated that fluoroalkyl groups would migrate from the inner part of the monolayers to the outermost interface after heat treatment, resulting into the microphase separation of the SAMs surface. - Abstract: In this study, a novel kind of fluoroalkylsilane monomers with different fluoroalkyl chain lengths was synthesized via three steps method and characterized by Fourier transform infrared (FT-IR) spectroscopy, {sup 1}H and {sup 19}F nuclear magnetic resonance ({sup 1}H NMR and {sup 19}F NMR), and mass spectra (MS). Fluoroalkyl-terminated self-assembled monolayers (SAMs) on silanol-terminated silicon substrates (O{sub 2} plasma treatment) were chemically fabricated via –Si–O– covalent bonds using the liquid phase deposition method (LPD). The wetabilities of the SAMs were characterized by water contact angles (CA), surface free energies and adhesive force (AF) measurements. 3-(1H,1H,2H,2H-perfluorooctyloxycarbonyl) -propionamidepropyl-triethoxysilane (PFOPT) assembled monolayer was chosen for in-depth investigation as its CA was higher than the others. Attenuated total reflection infrared spectroscopy (ATR-IR) and X-ray photoelectron spectroscopy (XPS) were used to validate the attachment of PFOPT on the silicon substrate, together with the chemical composition and structure of the SAMs. The surface morphologies and roughness of the monolayers were obtained and

  14. Morphology and electronic transport of polycrystalline silicon films deposited by SiF sub 4 /H sub 2 at a substrate temperature of 200 deg. C

    CERN Document Server

    Hazra, S; Ray, S

    2002-01-01

    Undoped and phosphorous doped polycrystalline silicon (poly-Si) films were deposited using a SiF sub 4 /H sub 2 gas mixture at a substrate temperature of 200 deg. C by radio frequency plasma enhanced chemical vapor deposition (rf-PECVD). Fourier transform infrared (FTIR) spectroscopy and x-ray diffraction (XRD) experiments reveal that the present poly-Si films are equivalent to the poly-Si films deposited at high temperature (>600 deg. C). XRD and scanning electron microscope observations show that the crystalline quality of slightly P-doped film is better compared to that of undoped poly-Si films. Phosphorus atom concentration in the slightly P-doped poly-Si film is 5.0x10 sup 1 sup 6 atoms/cm sup 3. Association of a few phosphorous atoms in the silicon matrix enhances crystallization as eutectic-forming metals do. Dark conductivity of slightly P-doped film is 4 orders of magnitude higher, although mobility-lifetime product (eta mu tau) is 2 orders of magnitude lower than that of undoped film. The presence o...

  15. Metal Nanoparticles Deposited on Porous Silicon Templates as Novel Substrates for SERS

    Directory of Open Access Journals (Sweden)

    Lara Mikac

    2015-12-01

    Full Text Available In this paper, results on preparation of stable and uniform SERS solid substrates using macroporous silicon (pSi with deposited silver and gold are presented. Macroporous silicon is produced by anodisation of p-type silicon in hydrofluoric acid. The as prepared pSi is then used as a template for Ag and Au depositions. The noble metals were deposited in three different ways: by immersion in silver nitrate solution, by drop-casting silver colloidal solution and by pulsed laser ablation (PLA. Substrates obtained by different deposition processes were evaluated for SERS efficiency using methylene blue (MB and rhodamine 6G (R6G at 514.5, 633 and 785 nm. Using 514.5 nm excitation and R6G the limits of detection (LOD for macroporous Si samples with noble metal nanostructures obtained by immersion of pSi sample in silver nitrate solution and by applying silver colloidal solution to pSi template were 10–9 M and 10–8 M respectively. Using 633 nm laser and MB the most noticeable SERS activity gave pSi samples ablated with 30000 and 45000 laser pulses where the LODs of 10–10 M were obtained. The detection limit of 10–10 M was also reached for 4 mA cm–2-15 min pSi sample, silver ablated with 30000 pulses. Macroporous silicon proved to be a good base for the preparation of SERS substrates.

  16. Chiral silicon nanostructures

    International Nuclear Information System (INIS)

    Schubert, E.; Fahlteich, J.; Hoeche, Th.; Wagner, G.; Rauschenbach, B.

    2006-01-01

    Glancing angle ion beam assisted deposition is used for the growth of amorphous silicon nanospirals onto [0 0 1] silicon substrates in a temperature range from room temperature to 475 deg. C. The nanostructures are post-growth annealed in an argon atmosphere at various temperatures ranging from 400 deg. C to 800 deg. C. Recrystallization of silicon within the persisting nanospiral configuration is demonstrated for annealing temperatures above 800 deg. C. Transmission electron microscopy and Raman spectroscopy are used to characterize the silicon samples prior and after temperature treatment

  17. Flexible Thermoelectric Generators on Silicon Fabric

    KAUST Repository

    Sevilla, Galo T.

    2012-11-01

    In this work, the development of a Thermoelectric Generator on Flexible Silicon Fabric is explored to extend silicon electronics for flexible platforms. Low cost, easily deployable plastic based flexible electronics are of great interest for smart textile, wearable electronics and many other exciting applications. However, low thermal budget processing and fundamentally limited electron mobility hinders its potential to be competitive with well established and highly developed silicon technology. The use of silicon in flexible electronics involve expensive and abrasive materials and processes. In this work, high performance flexible thermoelectric energy harvesters are demonstrated from low cost bulk silicon (100) wafers. The fabrication of the micro- harvesters was done using existing silicon processes on silicon (100) and then peeled them off from the original substrate leaving it for reuse. Peeled off silicon has 3.6% thickness of bulk silicon reducing the thermal loss significantly and generating nearly 30% more output power than unpeeled harvesters. The demonstrated generic batch processing shows a pragmatic way of peeling off a whole silicon circuitry after conventional fabrication on bulk silicon wafers for extremely deformable high performance integrated electronics. In summary, by using a novel, low cost process, this work has successfully integrated existing and highly developed fabrication techniques to introduce a flexible energy harvester for sustainable applications.

  18. Fabrication and characterization of surface barrier detector from commercial silicon substrate

    International Nuclear Information System (INIS)

    Silva, Julio Batista Rodrigues

    2016-01-01

    In this work it was developed radiation detectors silicon surface barrier that were capable of detecting the presence of gamma radiation from a low energy of iodine-125 seeds used in brachytherapy treatments. >From commercial silicon substrates detectors were developed, one sequence left of chemical treatments to the surfaces of these substrates with the intention of minimizing the possible noise generated, validation of the samples obtained as diodes, ensuring detector characteristics and effective use as detector for Iodine-125 radioactive sources with energy of about 25 keV and Americium-251 with energy on the order of 59 keV. Finished performing the analysis of the obtained energy spectra and so it was possible to observe the ability of these detectors to measure the energy from these seeds. (author)

  19. Study of porous silicon morphologies for electron transport

    International Nuclear Information System (INIS)

    Pang, Y.; Demroff, H.P.; Elliott, T.S.; Lee, B.; Lu, J.; Madduri, V.B.; Mazumdar, T.K.; McIntyre, P.M.; Smith, D.D.; Trost, H.J.

    1993-01-01

    Field emitter devices are being developed for the gigatron, a high-efficiency, high frequency and high power microwave source. One approach being investigated is porous silicon, where a dense matrix of nanoscopic pores are galvanically etched into a silicon surface. In the present paper pore morphologies were used to characterize these materials. Using of Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) images of both N-type and P-type porous layers, it is found that pores propagate along the crystallographic direction, perpendicular to the surface of (100) silicon. Distinct morphologies were observed systematically near the surface, in the main bulk and near the bottom of N-type (100) silicon lift-off samples. It is seen that the pores are not cylindrical but exhibit more or less approximately square cross sections. X-ray diffraction spectra and electron diffraction patterns verified that bulk porous silicon is still a single crystal. In addition, a Scanning Tunnelling Microscope (STM) and an Atomic Force Microscope (AFM) were successfully applied to image the 40 angstrom gold film structure which was coated upon a cooled porous silicon layer. By associating the morphology study with the measured emitting current density of the Oxidized Porous Silicon Field Emission Triode (OPSFET), techniques for the surface treatment of porous silicon will be optimized

  20. Microcrystalline silicon oxides for silicon-based solar cells: impact of the O/Si ratio on the electronic structure

    Science.gov (United States)

    Bär, M.; Starr, D. E.; Lambertz, A.; Holländer, B.; Alsmeier, J.-H.; Weinhardt, L.; Blum, M.; Gorgoi, M.; Yang, W.; Wilks, R. G.; Heske, C.

    2014-10-01

    using an excitation frequency of 13.56 MHz with a plasma power density of 0.3 W/cm2. Glass (Corning type Eagle) and mono-crystalline silicon wafer substrates were coated in the same run at a substrate temperature of 185°C. The deposition pressure was 4 mbar and the substrate-electrode distance 20 mm. Mixtures of silane (SiH4), 1% TMB (B(CH3)3) diluted in helium, hydrogen (H2), and carbon dioxide (CO2) gases were used at flow rates of 1.25 - 0.18/0.32/500/0 - 1.07) sccm (standard cubic centimeters per minute) for the deposition of μc-SiOx:H(B) layers. By changing the CO2/SiH4 gas flow rate ratio from 0 to 6, μc-SiOx:H(B) layers with a composition of 0 Beer-Lambert law, as suggested by Ref. [3]. The film thickness d was measured using the step profiler close to the measurement spot of the spectrophotometer. It is important to measure the transmittance T(λ) and the reflectance R(λ) at the same spot on the sample, to avoid inaccuracies in the calculated absorption spectra that arise from non-uniformity of the film thickness and different positions of the reflectance and transmittance minima and maxima in the spectrum [4]. Hard X-ray photoelectron spectroscopy (HAXPES) experiments were conducted at the HiKE end-station [5] on the KMC-1 beamline [6] of the BESSY-II electron storage ring. This end-station is equipped with a Scienta R4000 electron energy analyzer capable of measuring photoelectron kinetic energies up to 10 keV. A pass energy of 200 eV was used for all measurements. Spectra were recorded with a photon energy of 2003 eV using the first and fourth order supplied by a Si(111) double crystal monochromator. The combined analyzer plus beamline resolution is approx. 0.25 eV for spectra taken at both photon energies. The top surface of the sample was electrically grounded for all measurements. The binding energy was calibrated by measuring the 4f spectrum of a grounded Au foil and setting the Au 4f7/2 binding energy equal to 84.00 eV. In SiO2, the inelastic mean

  1. Bright luminance from silicon dioxide film with carbon nanotube electron beam exposure

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Su Woong; Hong, Ji Hwan; Kang, Jung Su; Callixte, Shikili; Park, Kyu Chang, E-mail: kyupark@khu.ac.kr

    2016-02-15

    We observed the bright bluish-white luminescence with naked eye from carbon nanotube electron beam exposed silicon dioxide (SiO{sub 2}) thin film on Si substrate. The luminescence shows a peak intensity at 2.7 eV (460 nm) with wide spread up to 600 nm after the C-beam exposed on SiO{sub 2} thin film. The C-beam exposure system is composed of carbon nanotube emitters as electron beam source. The brightness strongly depend on the exposure condition. Luminescence characteristic was optimized by C-beam adjustment to observe with the naked eye. The cause of luminescence in the C-beam exposed SiO{sub 2} thin film is analyzed by CL microscopy, FT-IR, AFM and ellipsometer. Decrease of Si–O bonding was observed after C-beam exposure, and this reveals that oxygen deficient defects which are irradiation-sensitive cause 2.7 eV peak of luminescence. - Highlights: • We observed bright luminescence for SiO{sub 2} thin film with naked eye by carbon nanotube electron beam (C-beam) exposure technique. • The bright luminance from C-beam exposed SiO{sub 2} film will open novel silicon optoelectronics.

  2. Effects of varying oxygen partial pressure on molten silicon-ceramic substrate interactions

    Science.gov (United States)

    Ownby, D. P.; Barsoum, M. W.

    1980-01-01

    The silicon sessile drop contact angle was measured on hot pressed silicon nitride, silicon nitride coated on hot pressed silicon nitride, silicon carbon coated on graphite, and on Sialon to determine the degree to which silicon wets these substances. The post-sessile drop experiment samples were sectioned and photomicrographs were taken of the silicon-substrate interface to observe the degree of surface dissolution and degradation. Of these materials, silicon did not form a true sessile drop on the SiC on graphite due to infiltration of the silicon through the SiC coating, nor on the Sialon due to the formation of a more-or-less rigid coating on the liquid silicon. The most wetting was obtained on the coated Si3N4 with a value of 42 deg. The oxygen concentrations in a silicon ribbon furnace and in a sessile drop furnace were measured using the protable thoria-yttria solid solution electrolyte oxygen sensor. Oxygen partial pressures of 10 to the minus 7 power atm and 10 to the minus 8 power atm were obtained at the two facilities. These measurements are believed to represent nonequilibrium conditions.

  3. Dysprosium disilicide nanostructures on silicon(001) studied by scanning tunneling microscopy and transmission electron microscopy

    International Nuclear Information System (INIS)

    Ye Gangfeng; Nogami, Jun; Crimp, Martin A.

    2006-01-01

    The microstructure of self-assembled dysprosium silicide nanostructures on silicon(001) has been studied by scanning tunneling microscopy and transmission electron microscopy. The studies focused on nanostructures that involve multiple atomic layers of the silicide. Cross-sectional high resolution transmission electron microscopy images and fast Fourier transform analysis showed that both hexagonal and orthorhombic/tetragonal silicide phases were present. Both the magnitude and the anisotropy of lattice mismatch between the silicide and the substrate play roles in the morphology and epitaxial growth of the nanostructures formed

  4. RF plasma cleaning of silicon substrates with high-density polyethylene contamination

    Science.gov (United States)

    Cagomoc, Charisse Marie D.; De Leon, Mark Jeffry D.; Ebuen, Anna Sophia M.; Gilos, Marlo Nicole R.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    Upon contact with a polymeric material, microparticles from the polymer may adhere to a silicon (Si) substrate during device processing. The adhesion contaminates the surface and, in turn, leads to defects in the fabricated Si-based microelectronic devices. In this study, Si substrates with artificially induced high-density polyethylene (HDPE) contamination was exposed to 13.56 MHz radio frequency (RF) plasma utilizing argon and oxygen gas admixtures at a power density of 5.6 W/cm2 and a working pressure of 110 Pa for up to 6 min of treatment. Optical microscopy studies revealed the removal of up to 74% of the polymer contamination upon plasma exposure. Surface free energy (SFE) increased owing to the removal of contaminants as well as the formation of polar groups on the Si surface after plasma treatment. Atomic force microscopy scans showed a decrease in surface roughness from 12.25 nm for contaminated samples to 0.77 nm after plasma cleaning. The smoothening effect can be attributed to the removal of HDPE particles from the surface. In addition, scanning electron microscope images showed that there was a decrease in the amount of HDPE contaminants adhering onto the surface after plasma exposure.

  5. III/V nano ridge structures for optical applications on patterned 300 mm silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Kunert, B.; Guo, W.; Mols, Y.; Pantouvaki, M.; Van Campenhout, J.; Langer, R.; Barla, K. [imec, Kapeldreef 75, 3001 Heverlee (Belgium); Tian, B.; Wang, Z.; Shi, Y.; Van Thourhout, D. [Photonics Research Group, Ghent University, Technologiepark-Zwijnaarde 15, 9052 Gent (Belgium)

    2016-08-29

    We report on an integration approach of III/V nano ridges on patterned silicon (Si) wafers by metal organic vapor phase epitaxy (MOVPE). Trenches of different widths (≤500 nm) were processed in a silicon oxide (SiO{sub 2}) layer on top of a 300 mm (001) Si substrate. The MOVPE growth conditions were chosen in a way to guarantee an efficient defect trapping within narrow trenches and to form a box shaped ridge with increased III/V volume when growing out of the trench. Compressively strained InGaAs/GaAs multi-quantum wells with 19% indium were deposited on top of the fully relaxed GaAs ridges as an active material for optical applications. Transmission electron microcopy investigation shows that very flat quantum well (QW) interfaces were realized. A clear defect trapping inside the trenches is observed whereas the ridge material is free of threading dislocations with only a very low density of planar defects. Pronounced QW photoluminescence (PL) is detected from different ridge sizes at room temperature. The potential of these III/V nano ridges for laser integration on Si substrates is emphasized by the achieved ridge volume which could enable wave guidance and by the high crystal quality in line with the distinct PL.

  6. Structural, Optical, and Vibrational Properties of ZnO Microrods Deposited on Silicon Substrate

    Science.gov (United States)

    Lahlouh, Bashar I.; Ikhmayies, Shadia J.; Juwhari, Hassan K.

    2018-03-01

    Zinc oxide (ZnO) microrod films deposited by spray pyrolysis on silicon substrate at 350 ± 5°C have been studied and evaluated, and compared with thin films deposited by electron beam to confirm the identity of the studied samples. The films were characterized using different techniques. The microrod structure was studied and confirmed by scanning electron microscopy. Fourier-transform infrared (FTIR) spectroscopy and x-ray diffraction analysis confirmed successful deposition of ZnO thin films with the expected wurtzite structure. Reflectance data showed a substantial drop across the whole studied wavelength range. The photoluminescence (PL) spectra of the studied samples showed a peak at ˜ 360 nm, representing a signature of ZnO. The shift in the PL peak position is due to defects and other species present in the films, as confirmed by FTIR and energy-dispersive x-ray spectroscopy results.

  7. Silicon-micromachined microchannel plates

    CERN Document Server

    Beetz, C P; Steinbeck, J; Lemieux, B; Winn, D R

    2000-01-01

    Microchannel plates (MCP) fabricated from standard silicon wafer substrates using a novel silicon micromachining process, together with standard silicon photolithographic process steps, are described. The resulting SiMCP microchannels have dimensions of approx 0.5 to approx 25 mu m, with aspect ratios up to 300, and have the dimensional precision and absence of interstitial defects characteristic of photolithographic processing, compatible with positional matching to silicon electronics readouts. The open channel areal fraction and detection efficiency may exceed 90% on plates up to 300 mm in diameter. The resulting silicon substrates can be converted entirely to amorphous quartz (qMCP). The strip resistance and secondary emission are developed by controlled depositions of thin films, at temperatures up to 1200 deg. C, also compatible with high-temperature brazing, and can be essentially hydrogen, water and radionuclide-free. Novel secondary emitters and cesiated photocathodes can be high-temperature deposite...

  8. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  9. Collapsed adhesion of carbon nanotubes on silicon substrates: continuum mechanics and atomistic simulations

    Science.gov (United States)

    Yuan, Xuebo; Wang, Youshan

    2018-02-01

    Carbon nanotubes (CNTs) can undergo collapse from the ordinary cylindrical configurations to bilayer ribbons when adhered on substrates. In this study, the collapsed adhesion of CNTs on the silicon substrates is investigated using both classical molecular dynamics (MD) simulations and continuum analysis. The governing equations and transversality conditions are derived based on the minimum potential energy principle and the energy-variational method, considering both the van der Waals interactions between CNTs and substrates and those inside CNTs. Closed-form solutions for the collapsed configuration are obtained which show good agreement with the results of MD simulations. The stability of adhesive configurations is investigated by analyzing the energy states. It is found that the adhesive states of single-walled CNTs (SWCNTs) (n, n) on the silicon substrates can be categorized by two critical radii, 0.716 and 0.892 nm. For SWCNTs with radius larger than 0.892 nm, they would fully collapse on the silicon substrates. For SWCNTs with radius less than 0.716 nm, the initial cylindrical configuration is energetically favorable. For SWCNTs with radius between two critical radii, the radially deformed state is metastable. The non-contact ends of all collapsed SWCNTs are identical with the same arc length of 2.38 nm. Finally, the role of number of walls on the adhesive configuration is investigated quantitatively. For multi-walled CNTs with the number of walls exceeding a certain value, the cylindrical configuration is stable due to the increasing bending stiffness. The present study can be useful for the design of CNT-based nanodevices.

  10. Investigation of the silicon ion density during molecular beam epitaxy growth

    CERN Document Server

    Eifler, G; Ashurov, K; Morozov, S

    2002-01-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate betw...

  11. Titanium disilicide formation by sputtering of titanium on heated silicon substrate

    Science.gov (United States)

    Tanielian, M.; Blackstone, S.

    1984-09-01

    We have sputter deposited titanium on bare silicon substrates at elevated temperatures. We find that at a substrate temperature of about 515 °C titanium silicide is formed due to the reaction of the titanium with the Si. The resistivity of the silicide is about 15 μΩ cm and it is not etchable in a selective titanium etch. This process can have applications in low-temperature, metal-oxide-semiconductor self-aligned silicide formation for very large scale integrated

  12. Electronic detection of surface plasmon polaritons by metal-oxide-silicon capacitor

    Directory of Open Access Journals (Sweden)

    Robert E. Peale

    2016-09-01

    Full Text Available An electronic detector of surface plasmon polaritons (SPPs is reported. SPPs optically excited on a metal surface using a prism coupler are detected by using a close-coupled metal-oxide-silicon (MOS capacitor. Incidence-angle dependence is explained by Fresnel transmittance calculations, which also are used to investigate the dependence of photo-response on structure dimensions. Electrodynamic simulations agree with theory and experiment and additionally provide spatial intensity distributions on and off the SPP excitation resonance. Experimental dependence of the photoresponse on substrate carrier type, carrier concentration, and back-contact biasing is qualitatively explained by simple theory of MOS capacitors.

  13. Technology of silicon charged-particle detectors developed at the Institute of Electron Technology (ITE)

    Science.gov (United States)

    Wegrzecka, Iwona; Panas, Andrzej; Bar, Jan; Budzyński, Tadeusz; Grabiec, Piotr; Kozłowski, Roman; Sarnecki, Jerzy; Słysz, Wojciech; Szmigiel, Dariusz; Wegrzecki, Maciej; Zaborowski, Michał

    2013-07-01

    The paper discusses the technology of silicon charged-particle detectors developed at the Institute of Electron Technology (ITE). The developed technology enables the fabrication of both planar and epiplanar p+-ν-n+ detector structures with an active area of up to 50 cm2. The starting material for epiplanar structures are silicon wafers with a high-resistivity n-type epitaxial layer ( ν layer - ρ < 3 kΩcm) deposited on a highly doped n+-type substrate (ρ< 0,02Ωcm) developed and fabricated at the Institute of Electronic Materials Technology. Active layer thickness of the epiplanar detectors (νlayer) may range from 10 μm to 150 μm. Imported silicon with min. 5 kΩcm resistivity is used to fabricate planar detectors. Active layer thickness of the planar detectors (ν) layer) may range from 200 μm to 1 mm. This technology enables the fabrication of both discrete and multi-junction detectors (monolithic detector arrays), such as single-sided strip detectors (epiplanar and planar) and double-sided strip detectors (planar). Examples of process diagrams for fabrication of the epiplanar and planar detectors are presented in the paper, and selected technological processes are discussed.

  14. Silicon-micromachined microchannel plates

    International Nuclear Information System (INIS)

    Beetz, Charles P.; Boerstler, Robert; Steinbeck, John; Lemieux, Bryan; Winn, David R.

    2000-01-01

    Microchannel plates (MCP) fabricated from standard silicon wafer substrates using a novel silicon micromachining process, together with standard silicon photolithographic process steps, are described. The resulting SiMCP microchannels have dimensions of ∼0.5 to ∼25 μm, with aspect ratios up to 300, and have the dimensional precision and absence of interstitial defects characteristic of photolithographic processing, compatible with positional matching to silicon electronics readouts. The open channel areal fraction and detection efficiency may exceed 90% on plates up to 300 mm in diameter. The resulting silicon substrates can be converted entirely to amorphous quartz (qMCP). The strip resistance and secondary emission are developed by controlled depositions of thin films, at temperatures up to 1200 deg. C, also compatible with high-temperature brazing, and can be essentially hydrogen, water and radionuclide-free. Novel secondary emitters and cesiated photocathodes can be high-temperature deposited or nucleated in the channels or the first strike surface. Results on resistivity, secondary emission and gain are presented

  15. Monolithic amorphous silicon modules on continuous polymer substrate

    Energy Technology Data Exchange (ETDEWEB)

    Grimmer, D.P. (Iowa Thin Film Technologies, Inc., Ames, IA (United States))

    1992-03-01

    This report examines manufacturing monolithic amorphous silicon modules on a continuous polymer substrate. Module production costs can be reduced by increasing module performance, expanding production, and improving and modifying production processes. Material costs can be reduced by developing processes that use a 1-mil polyimide substrate and multilayers of low-cost material for the front encapsulant. Research to speed up a-Si and ZnO deposition rates is needed to improve throughputs. To keep throughput rates compatible with depositions, multibeam fiber optic delivery systems for laser scribing can be used. However, mechanical scribing systems promise even higher throughputs. Tandem cells and production experience can increase device efficiency and stability. Two alternative manufacturing processes are described: (1) wet etching and sheet handling and (2) wet etching and roll-to-roll fabrication.

  16. Very high frequency plasma deposited amorphous/nanocrystalline silicon tandem solar cells on flexible substrates

    NARCIS (Netherlands)

    Liu, Y.|info:eu-repo/dai/nl/304831743

    2010-01-01

    The work in this thesis is to develop high quality intrinsic layers (especially nc-Si:H) for micromorph silicon tandem solar cells/modules on plastic substrates following the substrate transfer method or knows as the Helianthos procedure. Two objectives are covered in this thesis: (1) preliminary

  17. Direct cooled power electronics substrate

    Science.gov (United States)

    Wiles, Randy H [Powell, TN; Wereszczak, Andrew A [Oak Ridge, TN; Ayers, Curtis W [Kingston, TN; Lowe, Kirk T [Knoxville, TN

    2010-09-14

    The disclosure describes directly cooling a three-dimensional, direct metallization (DM) layer in a power electronics device. To enable sufficient cooling, coolant flow channels are formed within the ceramic substrate. The direct metallization layer (typically copper) may be bonded to the ceramic substrate, and semiconductor chips (such as IGBT and diodes) may be soldered or sintered onto the direct metallization layer to form a power electronics module. Multiple modules may be attached to cooling headers that provide in-flow and out-flow of coolant through the channels in the ceramic substrate. The modules and cooling header assembly are preferably sized to fit inside the core of a toroidal shaped capacitor.

  18. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  19. Method of producing an electronic unit having a polydimethylsiloxane substrate and circuit lines

    Energy Technology Data Exchange (ETDEWEB)

    Davidson, James Courtney [Livermore, CA; Krulevitch, Peter A [Pleasanton, CA; Maghribi, Mariam N [Livermore, CA; Benett, William J [Livermore, CA; Hamilton, Julie K [Tracy, CA; Tovar, Armando R [San Antonio, TX

    2012-06-19

    A system of metalization in an integrated polymer microsystem. A flexible polymer substrate is provided and conductive ink is applied to the substrate. In one embodiment the flexible polymer substrate is silicone. In another embodiment the flexible polymer substrate comprises poly(dimethylsiloxane).

  20. Light extraction from GaN-based LED structures on silicon-on-insulator substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tripathy, S.; Teo, S.L.; Lin, V.K.X.; Chen, M.F. [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology, and Research), 117602 (Singapore); Dadgar, A.; Krost, A. [Institut fuer Exerimentelle Physik, Otto-von Guericke Universitaet Magdeburg, Universitaetsplatz 1, 39016 Magdeburg (Germany); AZZURRO Semiconductors AG, Universitaetsplatz 1, 39016 Magdeburg (Germany); Christen, J. [Institut fuer Exerimentelle Physik, Otto-von Guericke Universitaet Magdeburg, Universitaetsplatz 1, 39016 Magdeburg (Germany)

    2010-01-15

    Nano-patterning of GaN-based devices is a promising technology in the development of high output power devices. Recent researches have been focused on the realization of two-dimensional (2D) photonic crystal (PhC) structure to improve light extraction efficiency and to control the direction of emission. In this study, we have demonstrated improved light extraction from green light emitting diode (LED) structures on thin silicon-on-insulator (SOI) substrates using surface nanopatterning. Scanning electron microscopy (SEM) is used to probe the size, shape, and etch depth of nano-patterns on the LED surfaces. Different types of nanopatterns were created by e-beam lithography and inductively coupled plasma etching. The LED structures after post processing are studied by photoluminescence (PL) measurements. The GaN nanophotonic structures formed by ICP etching led to more than five-fold increase in the intensity of the green emission. The improved light extraction is due to the combination of SOI substrate reflectivity and photonic structures on top GaN LED surfaces. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Electrical characterizations of biomimetic molecular layers on gold and silicon substrates

    International Nuclear Information System (INIS)

    Chilcott, T C; Wong, E L S; Coster, H G L; Böcking, T

    2008-01-01

    Electrical impedance technology was used to characterize DNA recognition in a monolayer containing single-stranded DNA probes immobilized on a gold substrate using thiol self-assembly chemistry. Recognition of targeted complementary DNA was principally correlated with an eight-fold increase in the conductance of the monolayer and attributed to electron conduction through double helices formed upon the binding of the DNA targets to the probes. The high recognitive sensitivity was possible without the use of the redox labels or large bias voltages required for recognition using cyclic and Osteryoung square wave voltammetry. The impedance technology also provided atomic resolution of a hybrid bimolecular lipid membrane formed by deposition of a phospholipid:cholesterol monolayer onto a hydrophobic alkyl monolayer covalently attached to a silicon substrate via silicon–carbon bonds. Atomic resolution was achieved through preparation of membranes on surfaces approaching atomic flatness and the performance of impedance measurements over precisely defined areas of the surface in contact with solutions. Principally capacitive properties distinguished between the immobilized (octadecyl) and more fluidic (lipid:cholesterol) leaflets of the hybrid membrane. The lipid:cholesterol leaflets were structurally similar to those leaflets in free-standing bimolecular lipid membranes. The hybrid membrane therefore provides a highly stable and physiologically relevant surface for studying biomolecular interactions with membrane surfaces

  2. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  3. Plasma surface oxidation of 316L stainless steel for improving adhesion strength of silicone rubber coating to metal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Latifi, Afrooz, E-mail: afroozlatifi@yahoo.com [Department of Biomaterials, Biomedical Engineering Faculty, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Imani, Mohammad [Novel Drug Delivery Systems Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/115, Tehran (Iran, Islamic Republic of); Khorasani, Mohammad Taghi [Biomaterials Dept., Iran Polymer and Petrochemical Institute, P.O. Box 14965/159, Tehran (Iran, Islamic Republic of); Daliri Joupari, Morteza [Animal and Marine Biotechnology Dept., National Institute of Genetic Engineering and Biotechnology, P.O. Box 14965/161, Tehran (Iran, Islamic Republic of)

    2014-11-30

    Highlights: • Stainless steel 316L was surface modified by plasma surface oxidation (PSO) and silicone rubber (SR) coating. • On the PSO substrates, concentration of oxide species was increased ca. 2.5 times comparing to non-PSO substrates. • The surface wettability was improved to 12.5°, in terms of water contact angle, after PSO. • Adhesion strength of SR coating on the PSO substrates was improved by more than two times comparing to non-PSO ones. • After pull-off test, the fractured area patterns for SR coating were dependent on the type of surface modifications received. - Abstract: Stainless steel 316L is one of the most widely used materials for fabricating of biomedical devices hence, improving its surface properties is still of great interest and challenging in biomaterial sciences. Plasma oxidation, in comparison to the conventional chemical or mechanical methods, is one of the most efficient methods recently used for surface treatment of biomaterials. Here, stainless steel specimens were surface oxidized by radio-frequency plasma irradiation operating at 34 MHz under pure oxygen atmosphere. Surface chemical composition of the samples was significantly changed after plasma oxidation by appearance of the chromium and iron oxides on the plasma-oxidized surface. A wettable surface, possessing high surface energy (83.19 mN m{sup −1}), was observed after plasma oxidation. Upon completion of the surface modification process, silicone rubber was spray coated on the plasma-treated stainless steel surface. Morphology of the silicone rubber coating was investigated by scanning electron microscopy (SEM). A uniform coating was formed on the oxidized surface with no delamination at polymer–metal interface. Pull-off tests showed the lowest adhesion strength of coating to substrate (0.12 MPa) for untreated specimens and the highest (0.89 MPa) for plasma-oxidized ones.

  4. Intensifying the Casimir force between two silicon substrates within three different layers of materials

    International Nuclear Information System (INIS)

    Seyedzahedi, A.; Moradian, A.; Setare, M.R.

    2016-01-01

    We investigate the Casimir force for a system composed of two thick slabs as substrates within three different homogeneous layers. We use the scattering approach along with the Matsubara formalism in order to calculate the Casimir force at finite temperature. First, we focus on constructing the reflection matrices and then we calculate the Casimir force for a water–lipid system. According to the conventional use of silicon as a substrate, we apply the formalism to calculate the Casimir force for layers of Au, VO 2 , mica, KCl and foam rubber on the thick slabs of silicon. Afterwards, introducing an increasing factor, we compare our results with Lifshitz force in the vacuum between two semispaces of silicon in order to illustrate the influence of the layers on intensifying the Casimir force. We also calculate the Casimir force between two slabs of the forementioned materials with finite thicknesses to indicate the substrate's role in increasing the obtained Casimir force. Our simple calculation is interesting since one can extend it along with the Rigorous Coupled Wave Analysis to systems containing inhomogeneous layers as good candidates for designing nanomechanical devices.

  5. Intensifying the Casimir force between two silicon substrates within three different layers of materials

    Energy Technology Data Exchange (ETDEWEB)

    Seyedzahedi, A. [Department of Science, University of Kurdistan, Sanandaj (Iran, Islamic Republic of); Moradian, A., E-mail: a.moradian@uok.ac.ir [Department of Science, Campus of Bijar, University of Kurdistan, Bijar (Iran, Islamic Republic of); Setare, M.R., E-mail: rezakord@ipm.ir [Department of Science, University of Kurdistan, Sanandaj (Iran, Islamic Republic of)

    2016-04-01

    We investigate the Casimir force for a system composed of two thick slabs as substrates within three different homogeneous layers. We use the scattering approach along with the Matsubara formalism in order to calculate the Casimir force at finite temperature. First, we focus on constructing the reflection matrices and then we calculate the Casimir force for a water–lipid system. According to the conventional use of silicon as a substrate, we apply the formalism to calculate the Casimir force for layers of Au, VO{sub 2}, mica, KCl and foam rubber on the thick slabs of silicon. Afterwards, introducing an increasing factor, we compare our results with Lifshitz force in the vacuum between two semispaces of silicon in order to illustrate the influence of the layers on intensifying the Casimir force. We also calculate the Casimir force between two slabs of the forementioned materials with finite thicknesses to indicate the substrate's role in increasing the obtained Casimir force. Our simple calculation is interesting since one can extend it along with the Rigorous Coupled Wave Analysis to systems containing inhomogeneous layers as good candidates for designing nanomechanical devices.

  6. HRTEM analysis of the nanostructure of porous silicon

    International Nuclear Information System (INIS)

    Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.

    2006-01-01

    The nanometric structure of porous silicon makes this material to be very suitable for its use in many different fields, including optoelectronics and biological applications. In the present work, the structure of porous silicon was investigated in detail by means of cross-sectional high-resolution transmission electron microscopy and digital image processing, together with electron energy loss spectroscopy. The structure of the Si/porous silicon interface and that of the silicon nanocrystals that compose porous silicon have been analyzed in detail. A strong strain contrast in the Si/porous silicon interface caused by high stresses was observed. Accordingly, dislocation pairs are found to be a possible mechanism of lattice matching between porous silicon and the Si substrate. Finally, high relative concentration of oxygen in the porous silicon layer was observed, together with low relative electron concentration in the conduction band when compared to Si

  7. Printed electronic on flexible and glass substrates

    Science.gov (United States)

    Futera, Konrad; Jakubowska, Małgorzata; Kozioł, Grażyna

    2010-09-01

    Organic electronics is a platform technology that enables multiple applications based on organic electronics but varied in specifications. Organic electronics is based on the combination of new materials and cost-effective, large area production processes that provide new fields of application. Organic electronic by its size, weight, flexibility and environmental friendliness electronics enables low cost production of numerous electrical components and provides for such promising fields of application as: intelligent packaging, low cost RFID, flexible solar cells, disposable diagnostic devices or games, and printed batteries [1]. The paper presents results of inkjetted electronics elements on flexible and glass substrates. The investigations was target on characterizing shape, surface and geometry of printed structures. Variety of substrates were investigated, within some, low cost, non specialized substrate, design for other purposes than organic electronic.

  8. Microstructure factor and mechanical and electronic properties of hydrogenated amorphous and nanocrystalline silicon thin-films for microelectromechanical systems applications

    International Nuclear Information System (INIS)

    Mouro, J.; Gualdino, A.; Chu, V.; Conde, J. P.

    2013-01-01

    Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n + -type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force

  9. Microstructure factor and mechanical and electronic properties of hydrogenated amorphous and nanocrystalline silicon thin-films for microelectromechanical systems applications

    Energy Technology Data Exchange (ETDEWEB)

    Mouro, J.; Gualdino, A.; Chu, V. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Conde, J. P. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Department of Bioengineering, Instituto Superior Técnico (IST), 1049-001 Lisbon (Portugal)

    2013-11-14

    Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n{sup +}-type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force.

  10. Electrical properties and surface morphology of electron beam evaporated p-type silicon thin films on polyethylene terephthalate for solar cells applications

    Energy Technology Data Exchange (ETDEWEB)

    Ang, P. C.; Ibrahim, K.; Pakhuruddin, M. Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, Minden 11800 Penang (Malaysia)

    2015-04-24

    One way to realize low-cost thin film silicon (Si) solar cells fabrication is by depositing the films with high-deposition rate and manufacturing-compatible electron beam (e-beam) evaporation onto inexpensive foreign substrates such as glass or plastic. Most of the ongoing research is reported on e-beam evaporation of Si films on glass substrates to make polycrystalline solar cells but works combining both e-beam evaporation and plastic substrates are still scarce in the literature. This paper studies electrical properties and surface morphology of 1 µm electron beam evaporated Al-doped p-type silicon thin films on textured polyethylene terephthalate (PET) substrate for application as an absorber layer in solar cells. In this work, Si thin films with different doping concentrations (including an undoped reference) are prepared by e-beam evaporation. Energy dispersion X-ray (EDX) showed that the Si films are uniformly doped by Al dopant atoms. With increased Al/Si ratio, doping concentration increased while both resistivity and carrier mobility of the films showed opposite relationships. Root mean square (RMS) surface roughness increased. Overall, the Al-doped Si film with Al/Si ratio of 2% (doping concentration = 1.57×10{sup 16} atoms/cm{sup 3}) has been found to provide the optimum properties of a p-type absorber layer for fabrication of thin film Si solar cells on PET substrate.

  11. Electrical properties and surface morphology of electron beam evaporated p-type silicon thin films on polyethylene terephthalate for solar cells applications

    Science.gov (United States)

    Ang, P. C.; Ibrahim, K.; Pakhuruddin, M. Z.

    2015-04-01

    One way to realize low-cost thin film silicon (Si) solar cells fabrication is by depositing the films with high-deposition rate and manufacturing-compatible electron beam (e-beam) evaporation onto inexpensive foreign substrates such as glass or plastic. Most of the ongoing research is reported on e-beam evaporation of Si films on glass substrates to make polycrystalline solar cells but works combining both e-beam evaporation and plastic substrates are still scarce in the literature. This paper studies electrical properties and surface morphology of 1 µm electron beam evaporated Al-doped p-type silicon thin films on textured polyethylene terephthalate (PET) substrate for application as an absorber layer in solar cells. In this work, Si thin films with different doping concentrations (including an undoped reference) are prepared by e-beam evaporation. Energy dispersion X-ray (EDX) showed that the Si films are uniformly doped by Al dopant atoms. With increased Al/Si ratio, doping concentration increased while both resistivity and carrier mobility of the films showed opposite relationships. Root mean square (RMS) surface roughness increased. Overall, the Al-doped Si film with Al/Si ratio of 2% (doping concentration = 1.57×1016 atoms/cm3) has been found to provide the optimum properties of a p-type absorber layer for fabrication of thin film Si solar cells on PET substrate.

  12. Active silicon x-ray for measuring electron temperature

    International Nuclear Information System (INIS)

    Snider, R.T.

    1994-07-01

    Silicon diodes are commonly used for x-ray measurements in the soft x-ray region between a few hundred ev and 20 keV. Recent work by Cho has shown that the charge collecting region in an underbiased silicon detector is the depletion depth plus some contribution from a region near the depleted region due to charge-diffusion. The depletion depth can be fully characterized as a function of the applied bias voltage and is roughly proportional to the squart root of the bias voltage. We propose a technique to exploit this effect to use the silicon within the detector as an actively controlled x-ray filter. With reasonable silicon manufacturing methods, a silicon diode detector can be constructed in which the sensitivity of the collected charge to the impinging photon energy spectrum can be changed dynamically in the visible to above the 20 keV range. This type of detector could be used to measure the electron temperature in, for example, a tokamak plasma by sweeping the applied bias voltage during a plasma discharge. The detector samples different parts of the energy spectrum during the bias sweep, and the data collected contains enough information to determine the electron temperature. Benefits and limitations of this technique will be discussed along with comparisons to similar methods for measuring electron temperature and other applications of an active silicon x-ray filter

  13. Silicon radiation detector analysis using back electron beam induced current

    International Nuclear Information System (INIS)

    Guye, R.

    1987-01-01

    A new technique for the observation and analysis of defects in silicon radiation detectors is described. This method uses an electron beam from a scanning electron microscope (SEM) impinging on the rear side of the p + n junction of the silicon detector, which itself is active and detects the electron beam induced current (EBIC). It is shown that this current is a sensitive probe of localized trapping centers, either at the junction surface or somewhere in the volume of the silicon crystal. (orig.)

  14. Non-agglomerated silicon nanoparticles on (0 0 1) silicon substrate formed by PLA and their photoluminescence properties

    International Nuclear Information System (INIS)

    Du Jun; Tu Hailing; Wang Lei

    2009-01-01

    In this work, non-agglomerated silicon nanoparticles formed on Si(0 0 1) substrate were synthesized by pulsed laser ablation (PLA) and their photoluminescence (PL) properties were studied. The controllable parameters in PLA process include mainly pulsed laser energy, target-to-substrate distance and buffer gas pressure. In particular, the effect of buffer gas pressure on the formation of non-agglomerated and size-controlled silicon nanoparticles has been discussed. The results show that non-agglomerated and size-controlled silicon nanoparticles can be fabricated with particle size in the range of 2-10 nm when Ar buffer gas pressure was varied from 50 to 10 Pa. Most of these nanoparticles are in form of single crystal with less surface oxidation in the as-deposited samples. The PL peak positions are located at 581-615 nm for Si nanoparticles with size of 2-10 nm. When exposed to air for up to 60 days, the core/shell structure of Si nanoparticles would be formed, which in turn could be responsible for the blue shift of PL peak position. Pt noble metal coating has passivation effect for surface stabilization of Si nanoparticles and shows relatively satisfied time-stability of PL intensity. These results suggest that the Si nanoparticles prepared by PLA have a large potential for the fabrication of optically active photonic devices based on the Si technology.

  15. Gas microstrip detectors on polymer, silicon and glass substrates

    International Nuclear Information System (INIS)

    Barasch, E.F.; Demroff, H.P.; Drew, M.M.; Elliott, T.S.; Gaedke, R.M.; Goss, L.T.; Kasprowicz, T.B.; Lee, B.; Mazumdar, T.K.; McIntyre, P.M.; Pang, Y.; Smith, D.D.; Trost, H.J.; Vanstraelen, G.; Wahl, J.

    1993-01-01

    We present results on the performance of Gas Microstrip Detectors on various substrates. These include a 300 μm anode-anode pitch pattern on Tempax borosilicate glass and ABS/copolyether, a 200 μm pattern on Upilex ''S'' polyimide, Texin 4215, Tedlar, ion-implanted Kapton, orientation-dependent etched flat-topped silicon (''knife-edge chamber''), and iron-vanadium glass, and a 100 μm pitch pattern on Upilex ''S'' and ion-implanted Kapton. (orig.)

  16. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B

    2003-04-15

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T{sub S}=450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal {beta}-MoSi{sub 2} could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet.

  17. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    International Nuclear Information System (INIS)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B.

    2003-01-01

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T S =450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal β-MoSi 2 could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet

  18. Investigation of the silicon ion density during molecular beam epitaxy growth

    Science.gov (United States)

    Eifler, G.; Kasper, E.; Ashurov, Kh.; Morozov, S.

    2002-05-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate between 0 to -1000 V. The dependencies of ion and electron densities were shown and discussed within the framework of a simple model. The charged carrier densities measured with the monitoring system enable to separate the ion part of the substrate current and show its correlation to the generation rate. Comparing the ion density on the whole substrate and in the center gives a hint to the ion beam focusing effect. The maximum ion and electron current densities obtained were 0.40 and 0.61 μA/cm2, respectively.

  19. Room-temperature operation of a 2.25 μm electrically pumped laser fabricated on a silicon substrate

    International Nuclear Information System (INIS)

    Rodriguez, J. B.; Cerutti, L.; Grech, P.; Tournie, E.

    2009-01-01

    We report on a GaSb-based type-I laser structure grown by molecular beam epitaxy on a (001) silicon substrate. A thin AlSb nucleation layer followed by a 1 μm thick GaSb buffer layer was used to accommodate the very large lattice mismatch existing with the silicon substrate. Processed devices with mesa geometry exhibited laser operation in pulsed mode with a duty cycle up to 10% at room temperature

  20. Growth on elastic silicone substrate elicits a partial myogenic response in periodontal ligament derived stem cells

    Directory of Open Access Journals (Sweden)

    Daniel Pelaez

    2016-12-01

    Full Text Available The processes of cellular differentiation and phenotypic maintenance can be influenced by stimuli from a variety of different factors. One commonly overlooked factor is the mechanical properties of the growth substrate in which stem cells are maintained or differentiated down various lineages. Here we explored the effect that growth on an elastic silicone substrate had on the myogenic expression and cytoskeletal morphology of periodontal ligament derived stem cells. Cells were grown on either collagen I coated tissue culture polystyrene plates or collagen I coated elastic silicone membranes for a period of 4 days without further induction from soluble factors in the culture media. Following the 4-day growth, gene expression and immunohistochemical analysis for key cardiomyogenic markers was performed along with a morphological assessment of cytoskeletal organization. Results show that cells grown on the elastic substrate significantly upregulate key markers associated with contractile activity in muscle tissues. Namely, the myosin light chain polypeptides 2 and 7, as well as the myosin heavy chain polypeptide 7 genes underwent a statistically significant upregulation in the cells grown on elastic silicone membranes. Similarly, the cells on the softer elastic substrate stained positive for both sarcomeric actin and cardiac troponin t proteins following just 4 days of growth on the softer material. Cytoskeletal analysis showed that substrate stiffness had a marked effect on the organization and distribution of filamentous actin fibers within the cell body. Growth on silicone membranes produced flatter and shorter cellular morphologies with filamentous actin fibers projecting anisotropically throughout the cell body. These results demonstrate how crucial the mechanical properties of the growth substrate of cells can be on the ultimate cellular phenotype. These observations highlight the need to further optimize differentiation protocols to enhance

  1. High-resolution patterning of graphene by screen printing with a silicon stencil for highly flexible printed electronics.

    Science.gov (United States)

    Hyun, Woo Jin; Secor, Ethan B; Hersam, Mark C; Frisbie, C Daniel; Francis, Lorraine F

    2015-01-07

    High-resolution screen printing of pristine graphene is introduced for the rapid fabrication of conductive lines on flexible substrates. Well-defined silicon stencils and viscosity-controlled inks facilitate the preparation of high-quality graphene patterns as narrow as 40 μm. This strategy provides an efficient method to produce highly flexible graphene electrodes for printed electronics. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Dual-side and three-dimensional microelectrode arrays fabricated from ultra-thin silicon substrates

    International Nuclear Information System (INIS)

    Du, Jiangang; Masmanidis, Sotiris C; Roukes, Michael L

    2009-01-01

    A method for fabricating planar implantable microelectrode arrays was demonstrated using a process that relied on ultra-thin silicon substrates, which ranged in thickness from 25 to 50 µm. The challenge of handling these fragile materials was met via a temporary substrate support mechanism. In order to compensate for putative electrical shielding of extracellular neuronal fields, separately addressable electrode arrays were defined on each side of the silicon device. Deep reactive ion etching was employed to create sharp implantable shafts with lengths of up to 5 mm. The devices were flip-chip bonded onto printed circuit boards (PCBs) by means of an anisotropic conductive adhesive film. This scalable assembly technique enabled three-dimensional (3D) integration through formation of stacks of multiple silicon and PCB layers. Simulations and measurements of microelectrode noise appear to suggest that low impedance surfaces, which could be formed by electrodeposition of gold or other materials, are required to ensure an optimal signal-to-noise ratio as well a low level of interchannel crosstalk

  3. Large-grain polycrystalline silicon film by sequential lateral solidification on a plastic substrate

    International Nuclear Information System (INIS)

    Kim, Yong-Hae; Chung, Choong-Heui; Yun, Sun Jin; Moon, Jaehyun; Park, Dong-Jin; Kim, Dae-Won; Lim, Jung Wook; Song, Yoon-Ho; Lee, Jin Ho

    2005-01-01

    A large-grain polycrystalline silicon film was obtained on a plastic substrate by sequential lateral solidification. With various combinations of sputtering powers and Ar working gas pressures, the conditions for producing dense amorphous silicon (a-Si) and SiO 2 films were optimized. The successful crystallization of the a-Si film is attributed to the production of a dense a-Si film that has low argon content and can endure high-intensity laser irradiation

  4. Structural modification of silicon during the formation process of porous silicon

    International Nuclear Information System (INIS)

    Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.

    2005-01-01

    Direct examination of porous silicon (PS) by the use of high resolution transmission electron microscopy (HRTEM) allowed us to perform a deep insight into the formation mechanisms of this material. In particular, the structure of the PS/Si interface and that of the silicon nanocrystals that compose porous silicon were analyzed in detail. Furthermore, image processing was used to study in detail the structure of PS. The mechanism of PS formation and lattice matching between the PS layer and the Si substrate is analyzed and discussed. Finally, a formation mechanism for PS based on the experimental observations is proposed

  5. Plasma deposition of thin film silicon at low substrate temperature and at high growth rate

    NARCIS (Netherlands)

    Verkerk, A.D.|info:eu-repo/dai/nl/304831719

    2009-01-01

    To expand the range of applications for thin film solar cells incorporating hydrogenated amorphous silicon (a-Si:H) and hydrogenated nanocrystalline silicon (nc-Si:H), the growth rate has to be increased 0.5 or less to several nm/s and the substrate temperature should be lowered to around 100 C. In

  6. Free-standing silicon micro machined resistors from (110) substrate

    International Nuclear Information System (INIS)

    Bernardini, R.; Diligenti, A.; Nannini, A.; Piotto, M.

    1998-01-01

    A simple process to obtain silicon planes released from the substrate and provided with large area pads for ohmic contacts is described. Resistors 500 μm long with a 40 μm x 1 μm cross section were obtained. Resistance measurements showed that the current flows in a reduced cross section, probably owing to the presence of a superficial depletion layer. Preliminary magnetoresistance measurements are presented. Reduction of the resistor cross section can be obtained by thermal oxidation

  7. All-solid-state supercapacitors on silicon using graphene from silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Bei; Ahmed, Mohsin; Iacopi, Francesca, E-mail: f.iacopi@griffith.edu.au [Environmental Futures Research Institute, Griffith University, Nathan 4111 (Australia); Wood, Barry [Centre for Microscopy and Microanalysis, The University of Queensland, St. Lucia 4072 (Australia)

    2016-05-02

    Carbon-based supercapacitors are lightweight devices with high energy storage performance, allowing for faster charge-discharge rates than batteries. Here, we present an example of all-solid-state supercapacitors on silicon for on-chip applications, paving the way towards energy supply systems embedded in miniaturized electronics with fast access and high safety of operation. We present a nickel-assisted graphitization method from epitaxial silicon carbide on a silicon substrate to demonstrate graphene as a binder-free electrode material for all-solid-state supercapacitors. We obtain graphene electrodes with a strongly enhanced surface area, assisted by the irregular intrusion of nickel into the carbide layer, delivering a typical double-layer capacitance behavior with a specific area capacitance of up to 174 μF cm{sup −2} with about 88% capacitance retention over 10 000 cycles. The fabrication technique illustrated in this work provides a strategic approach to fabricate micro-scale energy storage devices compatible with silicon electronics and offering ultimate miniaturization capabilities.

  8. All-solid-state supercapacitors on silicon using graphene from silicon carbide

    International Nuclear Information System (INIS)

    Wang, Bei; Ahmed, Mohsin; Iacopi, Francesca; Wood, Barry

    2016-01-01

    Carbon-based supercapacitors are lightweight devices with high energy storage performance, allowing for faster charge-discharge rates than batteries. Here, we present an example of all-solid-state supercapacitors on silicon for on-chip applications, paving the way towards energy supply systems embedded in miniaturized electronics with fast access and high safety of operation. We present a nickel-assisted graphitization method from epitaxial silicon carbide on a silicon substrate to demonstrate graphene as a binder-free electrode material for all-solid-state supercapacitors. We obtain graphene electrodes with a strongly enhanced surface area, assisted by the irregular intrusion of nickel into the carbide layer, delivering a typical double-layer capacitance behavior with a specific area capacitance of up to 174 μF cm"−"2 with about 88% capacitance retention over 10 000 cycles. The fabrication technique illustrated in this work provides a strategic approach to fabricate micro-scale energy storage devices compatible with silicon electronics and offering ultimate miniaturization capabilities.

  9. Surface evolution and stability transition of silicon wafer subjected to nano-diamond grinding

    Directory of Open Access Journals (Sweden)

    Shisheng Cai

    2017-03-01

    Full Text Available In order to obtain excellent physical properties and ultrathin devices, thinning technique plays an important role in semiconductor industry with the rapid development of wearable electronic devices. This study presents a physical nano-diamond grinding technique without any chemistry to obtain ultrathin silicon substrate. The nano-diamond with spherical shape repeats nano-cutting and penetrating surface to physically etch silicon wafer during grinding process. Nano-diamond grinding induces an ultrathin “amorphous layer” on silicon wafer and thus the mismatch strain between the amorphous layer and substrate leads to stability transition from the spherical to non-spherical deformation of the wafer. Theoretical model is proposed to predict and analyze the deformation of amorphous layer/silicon substrate system. Furthermore, the deformation bifurcation behavior of amorphous layer/silicon substrate system is analyzed. As the mismatch strain increases or thickness decreases, the amorphous layer/silicon substrate system may transit to non-spherical deformation, which is consistent to the experimental results. The amorphous layer stresses are also obtained to predict the damage of silicon wafer.

  10. Catastrophic degradation of the interface of epitaxial silicon carbide on silicon at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Pradeepkumar, Aiswarya; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca [Queensland Micro and Nanotechnology Centre and Environmental Futures Research Institute, Griffith University, Nathan QLD 4111 (Australia); Boeckl, John J. [Materials and Manufacturing Directorate, Air Force Research Laboratories, Wright-Patterson Air Force Base, Ohio 45433 (United States); Hellerstedt, Jack; Fuhrer, Michael S. [Monash Centre for Atomically Thin Materials, Monash University, Monash, VIC 3800 (Australia)

    2016-07-04

    Epitaxial cubic silicon carbide on silicon is of high potential technological relevance for the integration of a wide range of applications and materials with silicon technologies, such as micro electro mechanical systems, wide-bandgap electronics, and graphene. The hetero-epitaxial system engenders mechanical stresses at least up to a GPa, pressures making it extremely challenging to maintain the integrity of the silicon carbide/silicon interface. In this work, we investigate the stability of said interface and we find that high temperature annealing leads to a loss of integrity. High–resolution transmission electron microscopy analysis shows a morphologically degraded SiC/Si interface, while mechanical stress measurements indicate considerable relaxation of the interfacial stress. From an electrical point of view, the diode behaviour of the initial p-Si/n-SiC junction is catastrophically lost due to considerable inter-diffusion of atoms and charges across the interface upon annealing. Temperature dependent transport measurements confirm a severe electrical shorting of the epitaxial silicon carbide to the underlying substrate, indicating vast predominance of the silicon carriers in lateral transport above 25 K. This finding has crucial consequences on the integration of epitaxial silicon carbide on silicon and its potential applications.

  11. Fabrication of the GLAST Silicon Tracker Readout Electronics

    Energy Technology Data Exchange (ETDEWEB)

    Baldini, Luca; Brez, Alessandro; Himel, Thomas; Johnson, R.P.; Latronico, Luca; Minuti, Massimo; Nelson, David; Sadrozinski, H.F.-W.; Sgro, Carmelo; Spandre, Gloria; Sugizaki, Mutsumi; Tajima, Hiro; Cohen Tanugi, Johann; Young, Charles; Ziegler, Marcus; /Pisa U. /INFN, Pisa /SLAC /UC, Santa Cruz

    2006-03-03

    A unique electronics system has been built and tested for reading signals from the silicon-strip detectors of the Gamma-ray Large Area Space Telescope mission. The system amplifies and processes signals from 884,736 36-cm long silicon strips in a 4 x 4 array of tower modules. An aggressive mechanical design fits the readout electronics in narrow spaces between the tower modules, to minimize dead area. This design and the resulting departures from conventional electronics packaging led to several fabrication challenges and lessons learned. This paper describes the fabrication processes and how the problems peculiar to this design were overcome.

  12. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  13. ZnO buffer layer for metal films on silicon substrates

    Science.gov (United States)

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  14. Cellulose Nanofiber Composite Substrates for Flexible Electronics

    Science.gov (United States)

    Ronald Sabo; Jung-Hun Seo; Zhenqiang Ma

    2012-01-01

    Flexible electronics have a large number of potential applications including malleable displays and wearable computers. The current research into high-speed, flexible electronic substrates employs the use of plastics for the flexible substrate, but these plastics typically have drawbacks, such as high thermal expansion coefficients. Transparent films made from...

  15. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  16. Metallization of DNA on silicon surface

    International Nuclear Information System (INIS)

    Puchkova, Anastasiya Olegovna; Sokolov, Petr; Petrov, Yuri Vladimirovich; Kasyanenko, Nina Anatolievna

    2011-01-01

    New simple way for silver deoxyribonucleic acid (DNA)-based nanowires preparation on silicon surface was developed. The electrochemical reduction of silver ions fixed on DNA molecule provides the forming of tightly matched zonate silver clusters. Highly homogeneous metallic clusters have a size about 30 nm. So the thickness of nanowires does not exceed 30–50 nm. The surface of n-type silicon monocrystal is the most convenient substrate for this procedure. The comparative analysis of DNA metallization on of n-type silicon with a similar way for nanowires fabrication on p-type silicon, freshly cleaved mica, and glass surface shows the advantage of n-type silicon, which is not only the substrate for DNA fixation but also the source of electrons for silver reduction. Images of bound DNA molecules and fabricated nanowires have been obtained using an atomic force microscope and a scanning ion helium microscope. DNA interaction with silver ions in a solution was examined by the methods of ultraviolet spectroscopy and circular dichroism.

  17. Non-silicon substrate bonding mediated by poly(dimethylsiloxane) interfacial coating

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Hainan [Department of BioNano Technology, Gachon University, Gyeonggi-do 461-701 (Korea, Republic of); Lee, Nae Yoon, E-mail: nylee@gachon.ac.kr [Department of BioNano Technology, Gachon University, Gyeonggi-do 461-701 (Korea, Republic of); Gachon Medical Research Institute, Gil Medical Center, Inchon 405-760 (Korea, Republic of)

    2015-02-01

    Graphical abstract: Low-molecular-weight PDMS coating on the surfaces of non-silicon substrates such as thermoplastics ensures permanent sealing with a silicone elastomer, PDMS, simply by surface oxidization followed by ambient condition bonding, mediated by a robust siloxane bond formation at the interface. - Highlights: • Non-silicon thermoplastic was bonded with poly(dimethylsiloxane) silicone elastomer. • Low-molecular-weight PDMS interfacial layer was chemically coated on thermoplastic. • Bonding was realized by corona treatment and physical contact under ambient condition. • Bonding is universally applicable regardless of thermoplastic type and property. • Homogeneous PDMS-like microchannel was obtained inside the thermoplastic-PDMS microdevice. - Abstract: In this paper, we introduce a simple and robust strategy for bonding poly(dimethylsiloxane) (PDMS) with various thermoplastic substrates to fabricate a thermoplastic-based closed microfluidic device and examine the feasibility of using the proposed method for realizing plastic–plastic bonding. The proposed bonding strategy was realized by first coating amine functionality on an oxidized thermoplastic surface. Next, the amine-functionalized surface was reacted with a monolayer of low-molecular-weight PDMS, terminated with epoxy functionality, by forming a robust amine-epoxy bond. Both the PDMS-coated thermoplastic and PDMS were then oxidized and permanently assembled at 25 °C under a pressure of 0.1 MPa for 15 min, resulting in PDMS-like surfaces on all four inner walls of the microchannel. Surface characterizations were conducted, including water contact angle measurement, X-ray photoelectron spectroscopy (XPS), and fluorescence measurement, to confirm the successful coating of the thin PDMS layer on the plastic surface, and the bond strength was analyzed by conducting a peel test, burst test, and leakage test. Using the proposed method, we could successfully bond various thermoplastics such

  18. Light emitting structures porous silicon-silicon substrate

    International Nuclear Information System (INIS)

    Monastyrskii, L.S.; Olenych, I.B.; Panasjuk, M.R.; Savchyn, V.P.

    1999-01-01

    The research of spectroscopic properties of porous silicon has been done. Complex of photoluminescence, electroluminescence, cathodoluminescence, thermostimulated depolarisation current analyte methods have been applied to study of geterostructures and free layers of porous silicon. Light emitting processes had tendency to decrease. The character of decay for all kinds of luminescence were different

  19. Monolithic nanoscale photonics-electronics integration in silicon and other group IV elements

    CERN Document Server

    Radamson, Henry

    2014-01-01

    Silicon technology is evolving rapidly, particularly in board-to-board or chip-to chip applications. Increasingly, the electronic parts of silicon technology will carry out the data processing, while the photonic parts take care of the data communication. For the first time, this book describes the merging of photonics and electronics in silicon and other group IV elements. It presents the challenges, the limitations, and the upcoming possibilities of these developments. The book describes the evolution of CMOS integrated electronics, status and development, and the fundamentals of silicon p

  20. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  1. Silicon-germanium (Sige) nanostructures production, properties and applications in electronics

    CERN Document Server

    Usami, N

    2011-01-01

    Nanostructured silicon-germanium (SiGe) provides the prospect of novel and enhanced electronic device performance. This book reviews the materials science and technology of SiGe nanostructures, including crystal growth, fabrication of nanostructures, material properties and applications in electronics.$bNanostructured silicon-germanium (SiGe) opens up the prospects of novel and enhanced electronic device performance, especially for semiconductor devices. Silicon-germanium (SiGe) nanostructures reviews the materials science of nanostructures and their properties and applications in different electronic devices. The introductory part one covers the structural properties of SiGe nanostructures, with a further chapter discussing electronic band structures of SiGe alloys. Part two concentrates on the formation of SiGe nanostructures, with chapters on different methods of crystal growth such as molecular beam epitaxy and chemical vapour deposition. This part also includes chapters covering strain engineering and mo...

  2. Electron and ion beam degradation effects in AES analysis of silicon nitride thin films

    International Nuclear Information System (INIS)

    Fransen, F.; Vanden Berghe, R.; Vlaeminck, R.; Hinoul, M.; Remmerie, J.; Maes, H.E.

    1985-01-01

    Silicon nitride films are currently investigated by AES combined with ion profiling techniques for their stoichiometry and oxygen content. During this analysis, ion beam and primary electron effects were observed. The effect of argon ion bombardment is the preferential sputtering of nitrogen, forming 'covalent' silicon at the surface layer (AES peak at 91 eV). The electron beam irradiation results in a decrease of the covalent silicon peak, either by an electron beam annealing effect in the bulk of the silicon nitride film, or by an ionization enhanced surface diffusion process of the silicon (electromigration). By the electron beam annealing, nitrogen species are liberated in the bulk of the silicon nitride film and migrate towards the surface where they react with the covalent silicon. The ionization enhanced diffusion originates from local charging of the surface, induced by the electron beam. (author)

  3. Plasmonic properties of gold nanoparticles on silicon substrates: Understanding Fano-like spectra observed in reflection

    Science.gov (United States)

    Bossard-Giannesini, Léo; Cruguel, Hervé; Lacaze, Emmanuelle; Pluchery, Olivier

    2016-09-01

    Gold nanoparticles (AuNPs) are known for their localized surface plasmon resonance (LSPR) that can be measured with UV-visible spectroscopy. AuNPs are often deposited on silicon substrates for various applications, and the LSPR is measured in reflection. In this case, optical spectra are measured by surface differential reflectance spectroscopy (SDRS) and the absorbance exhibits a negative peak. This article studies both experimentally and theoretically on the single layers of 16 nm diameter spherical gold nanoparticles (AuNPs) grafted on silicon. The morphology and surface density of AuNPs were investigated by atomic force microscopy (AFM). The plasmon response in transmission on the glass substrate and in reflection on the silicon substrate is described by an analytical model based on the Fresnel equations and the Maxwell-Garnett effective medium theory (FMG). The FMG model shows a strong dependence to the incidence angle of the light. At low incident angles, the peak appears negatively with a shallow intensity, and at angles above 30°, the usual positive shape of the plasmon is retrieved. The relevance of the FMG model is compared to the Mie theory within the dipolar approximation. We conclude that no Fano effect is responsible for this derivative shape. An easy-to-use formula is derived that agrees with our experimental data.

  4. A strained silicon cold electron bolometer using Schottky contacts

    Energy Technology Data Exchange (ETDEWEB)

    Brien, T. L. R., E-mail: tom.brien@astro.cf.ac.uk; Ade, P. A. R.; Barry, P. S.; Dunscombe, C.; Morozov, D. V.; Sudiwala, R. V. [School of Physics and Astronomy, Cardiff University, Queen' s Buildings, The Parade, Cardiff CF24 3AA (United Kingdom); Leadley, D. R.; Myronov, M.; Parker, E. H. C.; Prest, M. J.; Whall, T. E. [Department of Physics, University of Warwick, Coventry CV4 7AL (United Kingdom); Prunnila, M. [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044 VTT Espoo (Finland); Mauskopf, P. D. [School of Physics and Astronomy, Cardiff University, Queen' s Buildings, The Parade, Cardiff CF24 3AA (United Kingdom); Department of Physics and School of Earth and Space Exploration, Arizona State University, 650 E. Tyler Mall, Tempe, Arizona 85287 (United States)

    2014-07-28

    We describe optical characterisation of a strained silicon cold electron bolometer (CEB), operating on a 350 mK stage, designed for absorption of millimetre-wave radiation. The silicon cold electron bolometer utilises Schottky contacts between a superconductor and an n{sup ++} doped silicon island to detect changes in the temperature of the charge carriers in the silicon, due to variations in absorbed radiation. By using strained silicon as the absorber, we decrease the electron-phonon coupling in the device and increase the responsivity to incoming power. The strained silicon absorber is coupled to a planar aluminium twin-slot antenna designed to couple to 160 GHz and that serves as the superconducting contacts. From the measured optical responsivity and spectral response, we calculate a maximum optical efficiency of 50% for radiation coupled into the device by the planar antenna and an overall noise equivalent power, referred to absorbed optical power, of 1.1×10{sup −16} W Hz{sup −1/2} when the detector is observing a 300 K source through a 4 K throughput limiting aperture. Even though this optical system is not optimized, we measure a system noise equivalent temperature difference of 6 mK Hz{sup −1/2}. We measure the noise of the device using a cross-correlation of time stream data, measured simultaneously with two junction field-effect transistor amplifiers, with a base correlated noise level of 300 pV Hz{sup −1/2} and find that the total noise is consistent with a combination of photon noise, current shot noise, and electron-phonon thermal noise.

  5. Surface wettability of silicon substrates enhanced by laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, Shih-Feng [National Applied Research Laboratories, Instrument Technology Research Center, Hsinchu (China); National Chiao Tung University, Department of Mechanical Engineering, Hsinchu (China); Hsiao, Wen-Tse; Huang, Kuo-Cheng; Hsiao, Sheng-Yi [National Applied Research Laboratories, Instrument Technology Research Center, Hsinchu (China); Chen, Ming-Fei [National Changhua University of Education, Department of Mechatronics Engineering, Changhua (China); Lin, Yung-Sheng [Hungkuang University, Department of Applied Cosmetology and Graduate Institute of Cosmetic Science, Taichung (China); Chou, Chang-Pin [National Chiao Tung University, Department of Mechanical Engineering, Hsinchu (China)

    2010-11-15

    Laser-ablation techniques have been widely applied for removing material from a solid surface using a laser-beam irradiating apparatus. This paper presents a surface-texturing technique to create rough patterns on a silicon substrate using a pulsed Nd:YAG laser system. The different degrees of microstructure and surface roughness were adjusted by the laser fluence and laser pulse duration. A scanning electron microscope (SEM) and a 3D confocal laser-scanning microscope are used to measure the surface micrograph and roughness of the patterns, respectively. The contact angle variations between droplets on the textured surface were measured using an FTA 188 video contact angle analyzer. The results indicate that increasing the values of laser fluence and laser pulse duration pushes more molten slag piled around these patterns to create micro-sized craters and leads to an increase in the crater height and surface roughness. A typical example of a droplet on a laser-textured surface shows that the droplet spreads very quickly and almost disappears within 0.5167 s, compared to a contact angle of 47.9 on an untextured surface. This processing technique can also be applied to fabricating Si solar panels to increase the absorption efficiency of light. (orig.)

  6. Poly-silicon quantum-dot single-electron transistors

    International Nuclear Information System (INIS)

    Kang, Kwon-Chil; Lee, Joung-Eob; Lee, Jung-Han; Lee, Jong-Ho; Shin, Hyung-Cheol; Park, Byung-Gook

    2012-01-01

    For operation of a single-electron transistors (SETs) at room temperature, we proposed a fabrication method for a SET with a self-aligned quantum dot by using polycrystalline silicon (poly-Si). The self-aligned quantum dot is formed by the selective etching of a silicon nanowire on a planarized surface and the subsequent deposition and etch-back of poly-silicon or chemical mechanical polishing (CMP). The two tunneling barriers of the SET are fabricated by thermal oxidation. Also, to decrease the leakage current and control the gate capacitance, we deposit a hard oxide mask layer. The control gate is formed by using an electron beam and photolithography on chemical vapor deposition (CVD). Owing to the small capacitance of the narrow control gate due to the tetraethyl orthosilicate (TEOS) hard mask, we observe clear Coulomb oscillation peaks and differential trans-conductance curves at room temperature. The clear oscillation period of the fabricated SET is 2.0 V.

  7. Networks of neuroblastoma cells on porous silicon substrates reveal a small world topology

    KAUST Repository

    Marinaro, Giovanni; La Rocca, Rosanna; Toma, Andrea; Barberio, Marianna; Cancedda, Laura; Di Fabrizio, Enzo M.; Decuzzi, Paolo C W; Gentile, Francesco T.

    2015-01-01

    The human brain is a tightly interweaving network of neural cells where the complexity of the network is given by the large number of its constituents and its architecture. The topological structure of neurons in the brain translates into its increased computational capabilities, low energy consumption, and nondeterministic functions, which differentiate human behavior from artificial computational schemes. In this manuscript, we fabricated porous silicon chips with a small pore size ranging from 8 to 75 nm and large fractal dimensions up to Df ∼ 2.8. In culturing neuroblastoma N2A cells on the described substrates, we found that those cells adhere more firmly to and proliferate on the porous surfaces compared to the conventional nominally flat silicon substrates, which were used as controls. More importantly, we observed that N2A cells on the porous substrates create highly clustered, small world topology patterns. We conjecture that neurons with a similar architecture may elaborate information more efficiently than in random or regular grids. Moreover, we hypothesize that systems of neurons on nano-scale geometry evolve in time to form networks in which the propagation of information is maximized. This journal is

  8. Basic opto-electronics on silicon for sensor applications

    NARCIS (Netherlands)

    Joppe, J.L.; Bekman, H.H.P.Th.; de Krijger, A.J.T.; Albers, H.; Chalmers, J.; Chalmers, J.D.; Holleman, J.; Ikkink, T.J.; Ikkink, T.; van Kranenburg, H.; Zhou, M.-J.; Zhou, Ming-Jiang; Lambeck, Paul

    1994-01-01

    A general platform for integrated opto-electronic sensor systems on silicon is proposed. The system is based on a hybridly integrated semiconductor laser, ZnO optical waveguides and monolithic photodiodes and electronic circuiry.

  9. Growth and characterization of thick cBN coatings on silicon and tool substrates

    International Nuclear Information System (INIS)

    Bewilogua, K.; Keunecke, M.; Weigel, K.; Wiemann, E.

    2004-01-01

    Recently some research groups have achieved progress in the deposition of cubic boron nitride (cBN) coatings with a thickness of 2 μm and more, which is necessary for cutting tool applications. In our laboratory, thick cBN coatings were sputter deposited on silicon substrates using a boron carbide target. Following a boron carbide interlayer (few 100 nm thick), a gradient layer with continuously increasing nitrogen content was prepared. After the cBN nucleation, the process parameters were modified for the cBN film growth to a thickness of more than 2 μm. However, the transfer of this technology to technically relevant substrates, like cemented carbide cutting inserts, required some further process modifications. At first, a titanium interlayer had to be deposited followed by a more than 1-μm-thick boron carbide layer. The next steps were identical to those on silicon substrates. The total coating thickness was in the range of 3 μm with a 0.5- to nearly 1-μm-thick cBN top layer. In spite of the enormous intrinsic stress, both the coatings on silicon and on cemented carbide exhibited a good adhesion and a prolonged stability in humid air. Oxidation experiments revealed a stability of the coating system on cemented carbide up to 700 deg. C and higher. Coated cutting inserts were tested in turning operations with different metallic workpiece materials. The test results will be compared to those of well-established cutting materials, like polycrystalline cubic boron nitride (PCBN) and oxide ceramics, considering the wear of coated tools

  10. Physical and electrical characterization of corundum substrates and epitaxial silicon layers in view of fabricating integrated circuits

    International Nuclear Information System (INIS)

    Trilhe, J.; Legal, H.; Rolland, G.

    1975-01-01

    The S.O.S. technology (silicon on insulating substrate) allows compact, radiation hard, fast integrated circuits to be fabricated. It is noticeable that complex integrated circuits on corundum substrates obtained with various fabrication processes have various electrical characteristics. Possible correlations between the macroscopic defects of the substrate and the electrical characteristics of the circuit were investigated [fr

  11. Substrate bias effect on crystallinity of polycrystalline silicon thin films prepared by pulsed ion-beam evaporation method

    Energy Technology Data Exchange (ETDEWEB)

    Ali, Fazlat; Gunji, Michiharu; Yang, Sung-Chae; Suzuki, Tsuneo; Suematsu, Hisayuki; Jiang, Weihua; Yatsui, Kiyoshi [Nagaoka Univ. of Technology, Extreme Energy-Density Research Inst., Nagaoka, Niigata (Japan)

    2002-06-01

    The deposition of polycrystalline silicon thin films has been tried by a pulsed ion-beam evaporation method, where high crystallinity and deposition rate have been achieved without heating the substrate. The crystallinity and the deposition rate were improved by applying bias voltage to the substrate, where instantaneous substrate heating might have occurred by ion-bombardment. (author)

  12. Substrate bias effect on crystallinity of polycrystalline silicon thin films prepared by pulsed ion-beam evaporation method

    International Nuclear Information System (INIS)

    Ali, Fazlat; Gunji, Michiharu; Yang, Sung-Chae; Suzuki, Tsuneo; Suematsu, Hisayuki; Jiang, Weihua; Yatsui, Kiyoshi

    2002-01-01

    The deposition of polycrystalline silicon thin films has been tried by a pulsed ion-beam evaporation method, where high crystallinity and deposition rate have been achieved without heating the substrate. The crystallinity and the deposition rate were improved by applying bias voltage to the substrate, where instantaneous substrate heating might have occurred by ion-bombardment. (author)

  13. Movable MEMS Devices on Flexible Silicon

    KAUST Repository

    Ahmed, Sally

    2013-05-05

    Flexible electronics have gained great attention recently. Applications such as flexible displays, artificial skin and health monitoring devices are a few examples of this technology. Looking closely at the components of these devices, although MEMS actuators and sensors can play critical role to extend the application areas of flexible electronics, fabricating movable MEMS devices on flexible substrates is highly challenging. Therefore, this thesis reports a process for fabricating free standing and movable MEMS devices on flexible silicon substrates; MEMS flexure thermal actuators have been fabricated to illustrate the viability of the process. Flexure thermal actuators consist of two arms: a thin hot arm and a wide cold arm separated by a small air gap; the arms are anchored to the substrate from one end and connected to each other from the other end. The actuator design has been modified by adding etch holes in the anchors to suit the process of releasing a thin layer of silicon from the bulk silicon substrate. Selecting materials that are compatible with the release process was challenging. Moreover, difficulties were faced in the fabrication process development; for example, the structural layer of the devices was partially etched during silicon release although it was protected by aluminum oxide which is not attacked by the releasing gas . Furthermore, the thin arm of the thermal actuator was thinned during the fabrication process but optimizing the patterning and etching steps of the structural layer successfully solved this problem. Simulation was carried out to compare the performance of the original and the modified designs for the thermal actuators and to study stress and temperature distribution across a device. A fabricated thermal actuator with a 250 μm long hot arm and a 225 μm long cold arm separated by a 3 μm gap produced a deflection of 3 μm before silicon release, however, the fabrication process must be optimized to obtain fully functioning

  14. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    Science.gov (United States)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  15. Amorphous NEA Silicon Photocathodes - A Robust RF Gun Electron Source. Final Report

    International Nuclear Information System (INIS)

    Mulhollan, Gregory A.

    2009-01-01

    Amorphous silicon (a-Si) has been shown to have great promise as a negative electron affinity visible wavelength photocathode suitable for radio frequency (RF) gun systems. The specific operating wavelength can be shifted by growing it as a germanium alloy (a-Si(1-x)Ge(x)) rather than as pure silicon. This class of photoemitters has been shown to possess a high degree of immunity to charged particle flux. Such particle flux can be a significant problem in the operation of other photocathodes in RF gun systems. Its emission characteristics in the form of current per unit area, or current density, and emission angle, or beam spread are well matched for use in RF guns. Photocathodes made of a-Si can be fabricated on a variety of substrates including those most commonly employed in RF gun systems. Such photocathodes can be made for operation in either transmission or reflection mode. By growing them utilizing radio frequency plasma enhanced chemical vapor deposition, the unit cost is quite low, the quality is high and it is straightforward to grow custom size substrates and full or limited regions to confine the electron emission to the desired area. Quality emitters have been fabricated on tantalum, molybdenum, tungsten, titanium, copper, stainless steel, float glass, borosilicate glass and gallium arsenide. In addition to performing well in dedicated test chambers, a-Si photocathodes have been shown to function well in self-contained vacuum tubes. In this employment, they are subjected to a strenuous environment. Successful operation in this configuration provides additional confidence in their application to high energy linac photoinjectors and potentially as part of reliable, low cost photocathode driven RF gun systems that could become ready replacements for the diode and triode guns used on medical accelerators. Their applications in stand-alone vacuum tubes is just beginning to be explored.

  16. Dark-field image contrast in transmission scanning electron microscopy: Effects of substrate thickness and detector collection angle

    Energy Technology Data Exchange (ETDEWEB)

    Woehl, Taylor, E-mail: tjwoehl@umd.edu; Keller, Robert

    2016-12-15

    An annular dark field (ADF) detector was placed beneath a specimen in a field emission scanning electron microscope operated at 30 kV to calibrate detector response to incident beam current, and to create transmission images of gold nanoparticles on silicon nitride (SiN) substrates of various thicknesses. Based on the linear response of the ADF detector diodes to beam current, we developed a method that allowed for direct determination of the percentage of that beam current forward scattered to the ADF detector from the sample, i.e. the transmitted electron (TE) yield. Collection angles for the ADF detector region were defined using a masking aperture above the detector and were systematically varied by changing the sample to detector distance. We found the contrast of the nanoparticles, relative to the SiN substrate, decreased monotonically with decreasing inner exclusion angle and increasing substrate thickness. We also performed Monte Carlo electron scattering simulations, which showed quantitative agreement with experimental contrast associated with the nanoparticles. Together, the experiments and Monte Carlo simulations revealed that the decrease in contrast with decreasing inner exclusion angle was due to a rapid increase in the TE yield of the low atomic number substrate. Nanoparticles imaged at low inner exclusion angles (<150 mrad) and on thick substrates (>50 nm) showed low image contrast in their centers surrounded by a bright high-contrast halo on their edges. This complex image contrast was predicted by Monte Carlo simulations, which we interpreted in terms of mixing of the nominally bright field (BF) and ADF electron signals. Our systematic investigation of inner exclusion angle and substrate thickness effects on ADF t-SEM imaging provides fundamental understanding of the contrast mechanisms for image formation, which in turn suggest practical limitations and optimal imaging conditions for different substrate thicknesses. - Highlights: • Developed a

  17. Analysis of signals propagating in a phononic crystal PZT layer deposited on a silicon substrate.

    Science.gov (United States)

    Hladky-Hennion, Anne-Christine; Vasseur, Jérôme; Dubus, Bertrand; Morvan, Bruno; Wilkie-Chancellier, Nicolas; Martinez, Loïc

    2013-12-01

    The design of a stop-band filter constituted by a periodically patterned lead zirconate titanate (PZT) layer, polarized along its thickness, deposited on a silicon substrate and sandwiched between interdigitated electrodes for emission/reception of guided elastic waves, is investigated. The filter characteristics are theoretically evaluated by using finite element simulations: dispersion curves of a patterned PZT layer with a specific pattern geometry deposited on a silicon substrate present an absolute stop band. The whole structure is modeled with realistic conditions, including appropriate interdigitated electrodes to propagate a guided mode in the piezoelectric layer. A robust method for signal analysis based on the Gabor transform is applied to treat transmitted signals; extract attenuation, group delays, and wave number variations versus frequency; and identify stop-band filter characteristics.

  18. Processing of n{sup +}/p{sup −}/p{sup +} strip detectors with atomic layer deposition (ALD) grown Al{sub 2}O{sub 3} field insulator on magnetic Czochralski silicon (MCz-si) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, J., E-mail: jaakko.harkonen@helsinki.fi [Helsinki Institute of Physics (Finland); Tuovinen, E. [Helsinki Institute of Physics (Finland); VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T. [Helsinki Institute of Physics (Finland); Junkes, A. [Institute for Experimental Physics, University of Hamburg (Germany); Wu, X. [VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Picosun Oy, Tietotie 3, FI-02150 Espoo Finland (Finland); Li, Z. [School of Materials Science and Engineering, Xiangtan University, Xiangtan, Hunan 411105 (China)

    2016-08-21

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n{sup +} segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO{sub 2} interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al{sub 2}O{sub 3}) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current–voltage and capacitance−voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×10{sup 15} n{sub eq}/cm{sup 2} proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  19. Formation of nanosize poly(p-phenylene vinylene) in porous silicon substrate

    International Nuclear Information System (INIS)

    Le Rendu, P.; Nguyen, T.P.; Cheah, K.; Joubert, P.

    2003-01-01

    We report the results of optical investigations in porous silicon (PS)/poly(p-phenylene vinylene) (PPV) systems obtained by filling the pores of silicon wafers with polymer. By scanning electron microscopy (SEM), IR, and Raman spectroscopy, we observed that the porous silicon layer was thoroughly filled by the polymer with no significant change in the structure of the materials. This suggests that there is no interaction between the components. On the other hand, the photoluminescence (PL) spectra of the devices investigated at different temperatures (from 11 to 290 K) showed that both materials are active at low temperatures. Porous silicon has a band located at 398 nm while PPV has two bands at 528 and 570 nm. As the temperature increases, the PL intensity of porous silicon decreases and that PPV is blue shifted. A new band emerging at 473 nm may indicate an energy transfer from the porous silicon to PPV, involving short segments of the polymer. The band of PPV located at 515 nm becomes more dominant and indicates that the nanosize polymer films are formed in the pores of the silicon layer, in agreement with the results obtained by SEM, IR, and Raman analyses

  20. Catalytic growth of carbon nanowires on composite diamond/silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sellam, Amine [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Miska, Patrice [Université de Lorraine, Institut Jean Lamour, Département P2M (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Ghanbaja, Jaafar [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Barrat, Silvère, E-mail: Silvere.Barrat@ijl.nancy-universite.fr [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France)

    2014-01-01

    Polycrystalline diamond (PCD) films and carbon nanowires (CNWs) provide individually highly attractive properties for science and technology applications. The possibility of carbon composite materials made from a combination of these materials remains a potential approach widely discussed in literature but modestly investigated. We report in this work an early attempt to explore this opportunity in the light of some specific experimental considerations. Carbon nanowires (CNWs) are grown at low temperature without the conventional use of external hydrocarbon vapor source on silicon substrates partially covered by a thin film of coalesced micrometric CVD diamond. Composite substrates constituted by PCD on silicon were first cleaned with H{sub 2} plasma then used for the PVD deposition of 5 nm Ni thin films. Then, samples were heat treated in a CVD reactor at 580 °C in the presence of pure H{sub 2} pressure of 60 hPa at different annealing times. Comparative effect of annealing time on the dewetting of Ni thin films and the subsequent CNWs growth process was considered in this work using systematic observations by SEM. Possible mechanisms underlying CNWs growth in pure H{sub 2} gas were proposed. The nature and structure of these CNWs have been investigated by TEM microscopy and by Raman spectroscopy on the sample showing the highest CNWs density.

  1. Characterization of electron beam evaporated carbon films and compound formation on titanium and silicon

    International Nuclear Information System (INIS)

    Luthin, J.; Linsmeier, C.

    2001-01-01

    The formation of carbon-based mixed materials is unavoidable on the plasma-facing components (e.g. first wall and divertor) of fusion devices when carbon is used together with other materials. On the surfaces of these components very different conditions with respect to particle and energy impact occur. To predict the mixed material formation under these conditions the precise knowledge of the fundamental mechanisms governing these interactions is essential. In this paper we present the results of carbon interaction with titanium and silicon, as model substances for metallic and covalent carbides, during thermal treatment. To perform basic studies of the reactions of carbon with different elements, thin carbon films are produced by electron beam evaporation on the different substrates under UHV conditions. All measurements for chemical analysis are performed using X-ray photoelectron spectroscopy (XPS). We discuss first the properties of the deposited carbon films. The carbon films are characterized on inert gold surfaces and are compared to bulk graphite. Annealing of the carbon films up to 970 K leads to a transition from a disordered carbon network into a graphitic structure. Preparation of carbon films at room temperature on titanium or silicon leads to a limited carbide formation at the carbon/substrate interface. Carbon deposited in excess of several monolayers is present in elementary form. Annealing of the samples leads to complete carbidization consuming the available carbon in both cases. Titanium reacts to TiC and additional substoichiometric carbide, silicon forms SiC with exact stoichiometry. (orig.)

  2. Electron drift time in silicon drift detectors: A technique for high precision measurement of electron drift mobility

    International Nuclear Information System (INIS)

    Castoldi, A.; Rehak, P.

    1995-01-01

    This paper presents a precise absolute measurement of the drift velocity and mobility of electrons in high resistivity silicon at room temperature. The electron velocity is obtained from the differential measurement of the drift time of an electron cloud in a silicon drift detector. The main features of the transport scheme of this class of detectors are: the high uniformity of the electron motion, the transport of the signal electrons entirely contained in the high-purity bulk, the low noise timing due to the very small anode capacitance (typical value 100 fF), and the possibility to measure different drift distances, up to the wafer diameter, in the same semiconductor sample. These features make the silicon drift detector an optimal device for high precision measurements of carrier drift properties. The electron drift velocity and mobility in a 10 kΩ cm NTD n-type silicon wafer have been measured as a function of the electric field in the range of possible operation of a typical drift detector (167--633 V/cm). The electron ohmic mobility is found to be 1394 cm 2 /V s. The measurement precision is better than 1%. copyright 1995 American Institute of Physics

  3. Electron trap annealing in neutron transmutation doped silicon

    DEFF Research Database (Denmark)

    Guldberg, J.

    1977-01-01

    Silicon doped by neutron transmutation to 1.2×1014 phosphorus atoms/cm3 was investigated with deep level transient spectroscopy using evaporated Au/n-Si diodes. Seven bulk electron traps were identified which appear after 30 min N2 anneal at temperatures between 425 and 725 °C. Five of these anne......Silicon doped by neutron transmutation to 1.2×1014 phosphorus atoms/cm3 was investigated with deep level transient spectroscopy using evaporated Au/n-Si diodes. Seven bulk electron traps were identified which appear after 30 min N2 anneal at temperatures between 425 and 725 °C. Five...

  4. Electronic structure of silicon superlattices

    International Nuclear Information System (INIS)

    Krishnamurthy, S.; Moriarty, J.A.

    1984-01-01

    Utilizing a new complex-band-structure technique, the electronic structure of model Si-Si/sub 1-x/Ge/sub x/ and MOS superlattices has been obtained over a wide range of layer thickness d (11 less than or equal to d less than or equal to 110 A). For d greater than or equal to 44 A, it is found that these systems exhibit a direct fundamental band gap. Further calculations of band-edge effective masses and impurity scattering rates suggest the possibility of a band-structure-driven enhancement in electron mobility over bulk silicon

  5. Dewetting and deposition of thin films with insoluble surfactants from curved silicone hydrogel substrates

    NARCIS (Netherlands)

    Bhamla, M.S.; Balemans, C.; Fuller, G.G.

    2015-01-01

    We investigate the stabilizing effect of insoluble surfactant monolayers on thin aqueous films. We first describe an experimental platform that enables the formation of aqueous films laden with dipalmitoylphosphatidylcholine (DPPC) monolayers on curved silicone hydrogel (SiHy) substrates. We show

  6. Realization of dual-heterojunction solar cells on ultra-thin ∼25 μm, flexible silicon substrates

    KAUST Repository

    Onyegam, Emmanuel U.; Sarkar, Dabraj; Hilali, Mohamed M.; Saha, Sayan; Mathew, Leo; Rao, Rajesh A.; Smith, Ryan S.; Xu, Dewei; Jawarani, Dharmesh; Garcia, Ricardo; Ainom, Moses; Banerjee, Sanjay K.

    2014-01-01

    Silicon heterojunction (HJ) solar cells with different rear passivation and contact designs were fabricated on ∼ 25 μ m semiconductor-on-metal (SOM) exfoliated substrates. It was found that the performance of these cells is limited by recombination at the rear-surface. Employing the dual-HJ architecture resulted in the improvement of open-circuit voltage (Voc) from 605 mV (single-HJ) to 645 mV with no front side intrinsic amorphous silicon (i-layer) passivation. Addition of un-optimized front side i-layer passivation resulted in further enhancement in Voc to 662 mV. Pathways to achieving further improvement in the performance of HJ solar cells on ultra-thin SOM substrates are discussed. © 2014 AIP Publishing LLC.

  7. Realization of dual-heterojunction solar cells on ultra-thin ∼25 μm, flexible silicon substrates

    KAUST Repository

    Onyegam, Emmanuel U.

    2014-04-14

    Silicon heterojunction (HJ) solar cells with different rear passivation and contact designs were fabricated on ∼ 25 μ m semiconductor-on-metal (SOM) exfoliated substrates. It was found that the performance of these cells is limited by recombination at the rear-surface. Employing the dual-HJ architecture resulted in the improvement of open-circuit voltage (Voc) from 605 mV (single-HJ) to 645 mV with no front side intrinsic amorphous silicon (i-layer) passivation. Addition of un-optimized front side i-layer passivation resulted in further enhancement in Voc to 662 mV. Pathways to achieving further improvement in the performance of HJ solar cells on ultra-thin SOM substrates are discussed. © 2014 AIP Publishing LLC.

  8. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  9. Towards neuromorphic electronics: Memristors on foldable silicon fabric

    KAUST Repository

    Ghoneim, Mohamed T.

    2014-11-01

    The advantages associated with neuromorphic computation are rich areas of complex research. We address the fabrication challenge of building neuromorphic devices on structurally foldable platform with high integration density. We present a CMOS compatible fabrication process to demonstrate for the first time memristive devices fabricated on bulk monocrystalline silicon (100) which is next transformed into a flexible thin sheet of silicon fabric with all the pre-fabricated devices. This process preserves the ultra-high integration density advantage unachievable on other flexible substrates. In addition, the memristive devices are of the size of a motor neuron and the flexible/folded architectural form factor is critical to match brain cortex\\'s folded pattern for ultra-compact design.

  10. Graphene-on-semiconductor substrates for analog electronics

    Science.gov (United States)

    Lagally, Max G.; Cavallo, Francesca; Rojas-Delgado, Richard

    2016-04-26

    Electrically conductive material structures, analog electronic devices incorporating the structures and methods for making the structures are provided. The structures include a layer of graphene on a semiconductor substrate. The graphene layer and the substrate are separated by an interfacial region that promotes transfer of charge carriers from the surface of the substrate to the graphene.

  11. Microcrystalline silicon growth by low laser energy crystallization on a plastic substrate

    International Nuclear Information System (INIS)

    Kim, D. Y.; Seo, C. K.; Shim, M. S.; Kim, C. H.; Yi, J.

    2004-01-01

    We are reporting the crystallization of amorphous silicon (a-Si) using a XeCl excimer laser treatment. Although polycarbonate (PC) plastic substrates are very weak at high temperatures of more than 150 .deg. C, they are very useful for applications to microelectronics because of light weight, high transmittance, and flexibility. In order to crystallize a-Si films on plastic substrates, we suggest that a CeO 2 seed layer will be very helpful at a low laser energy density. The seed layer is deposited at room temperature by rf using magnetron sputtering. A seed layer deposition method will be also presented in detail in this article. We compare a-Si crytallization without a seed layer with one with a seed layer deposited between the a-Si and the plastic substrate. The a-Si was deposited on the plastic substrate by using inductively coupled plasma Chemical-Vapor Deposition (ICPCVD) at the room temperature. In this paper, we will present the crystallization properties of a-Si with and without a CeO 2 seed layer on the plastic substrate.

  12. Annealing behavior of oxygen in-diffusion from SiO2 film to silicon substrate

    International Nuclear Information System (INIS)

    Abe, T.; Yamada-Kaneta, H.

    2004-01-01

    Diffusion behavior of oxygen at (near) the Si/SiO 2 interface was investigated. We first oxidized the floating-zone-grown silicon substrates, and then annealed the SiO 2 -covered substrates in an argon ambient. We examined two different conditions for oxidation: wet and dry oxidation. By the secondary-ion-mass spectrometry, we measured the depth profiles of the oxygen in-diffusion of these heat-treated silicon substrates: We found that the energy of dissolution (in-diffusion) of an oxygen atom that dominates the oxygen concentration at the Si/SiO 2 interface depends on the oxidation condition: 2.0 and 1.7 eV for wet and dry oxidation, respectively. We also found that the barrier heights for the oxygen diffusion in argon anneal were significantly different for different ambients adopted for the SiO 2 formation: 3.3 and 1.8 eV for wet and dry oxidation, respectively. These findings suggest that the microscopic behavior of the oxygen atoms at the Si/SiO 2 interface during the argon anneal depends on the ambient adopted for the SiO 2 formation

  13. Micro-Columnated Loop Heat Pipe: The Future of Electronic Substrates

    Science.gov (United States)

    Dhillon, Navdeep Singh

    The modern world is run by semiconductor-based electronic systems. Due to continuous improvements in semiconductor device fabrication, there is a clear trend in the market towards the development of electronic devices and components that not only deliver enhanced computing power, but are also more compact. Thermal management has emerged as the primary challenge in this scenario where heat flux dissipation of electronic chips is increasing exponentially, but conventional cooling solutions such as conduction and convection are no longer feasible. To keep device junction temperatures within the safe operating limit, there is an urgent requirement for ultra-high-conductivity thermal substrates that not only absorb and transport large heat fluxes, but can also provide localized cooling to thermal hotspots. This dissertation describes the design, modeling, and fabrication of a phase change-based, planar, ultra-thin, passive thermal transport system that is inspired by the concept of loop heat pipes and capillary pumped loops. Fabricated on silicon and Pyrex wafers using microfabrication techniques, the micro-columnated loop heat pipe (muCLHP) can be integrated directly with densely packed or multiply-stacked electronic substrates, to provide localized high-heat-flux thermal management. The muCLHP employs a dual-scale coherent porous silicon(CPS)-based micro-columnated wicking structure, where the primary CPS wick provides large capillary forces for fluid transport, while a secondary surface-wick maximizes the rate of thin-film evaporation. To overcome the wick thickness limitation encountered in conventional loop heat pipes, strategies based on MEMS surface micromachining techniques were developed to reduce parasitic heat flow from the evaporator to the compensation chamber of the device. Finite element analysis was used to confirm this reduction in a planar evaporator design, thus enabling the generation of a large motive temperature head for continuous device operation

  14. Adsorbates in a Box: Titration of Substrate Electronic States

    Science.gov (United States)

    Cheng, Zhihai; Wyrick, Jonathan; Luo, Miaomiao; Sun, Dezheng; Kim, Daeho; Zhu, Yeming; Lu, Wenhao; Kim, Kwangmoo; Einstein, T. L.; Bartels, Ludwig

    2010-08-01

    Nanoscale confinement of adsorbed CO molecules in an anthraquinone network on Cu(111) with a pore size of ≈4nm arranges the CO molecules in a shell structure that coincides with the distribution of substrate confined electronic states. Molecules occupy the states approximately in the sequence of rising electron energy. Despite the sixfold symmetry of the pore boundary itself, the adsorbate distribution adopts the threefold symmetry of the network-substrate system, highlighting the importance of the substrate even for such quasi-free-electron systems.

  15. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates.

    Science.gov (United States)

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Koo, Yong-Seo; Kim, Sangsig

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p+ drain and n+ channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  16. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates

    International Nuclear Information System (INIS)

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Kim, Sangsig; Koo, Yong-Seo

    2009-01-01

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p + drain and n + channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  17. Silicon nanowire-based tunneling field-effect transistors on flexible plastic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Myeongwon; Koo, Jamin; Chung, Eun-Ae; Jeong, Dong-Young; Kim, Sangsig [Department of Electrical Engineering and Institute for Nano Science, Korea University, 5-1, Anam-Dong, Seongbuk-Gu, Seoul 136-701 (Korea, Republic of); Koo, Yong-Seo, E-mail: sangsig@korea.ac.k [Department of Electrical Engineering, Seokyeong University, 16-1, Jungneung-dong, Seongbuk-gu, Seoul 136-704 (Korea, Republic of)

    2009-11-11

    A technique to implement silicon nanowire (SiNW)-based tunneling field-effect transistors (TFETs) on flexible plastic substrates is developed for the first time. The p-i-n configured Si NWs are obtained from an Si wafer using a conventional top-down CMOS-compatible technology, and they are then transferred onto the plastic substrate. Based on gate-controlled band-to-band tunneling (BTBT) as their working principle, the SiNW-based TFETs show normal p-channel switching behavior with a threshold voltage of -1.86 V and a subthreshold swing of 827 mV/dec. In addition, ambipolar conduction is observed due to the presence of the BTBT between the heavily doped p{sup +} drain and n{sup +} channel regions, indicating that our TFETs can operate in the n-channel mode as well. Furthermore, the BTBT generation rates for both the p-channel and n-channel operating modes are nearly independent of the bending state (strain = 0.8%) of the plastic substrate.

  18. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  19. Silver endotaxy in silicon under various ambient conditions and their use as surface enhanced Raman spectroscopy substrates

    International Nuclear Information System (INIS)

    Juluri, R.R.; Ghosh, A.; Bhukta, A.; Sathyavathi, R.; Satyam, P.V.

    2015-01-01

    Search for reliable, robust and efficient substrates for surface enhanced Raman spectroscopy (SERS) leads to the growth of various shapes and nanostructures of noble metals, and in particular, Ag nanostructures for this purpose. Coherently embedded (also known as endotaxial) Ag nanostructures in silicon substrates can be made robust and reusable SERS substrates. In this paper, we show the possibility of the growth of Ag endotaxial structures in Si crystal during Ar and low-vacuum annealing conditions while this is absent in O 2 and ultra high vacuum (UHV) annealing conditions and along with their respective use as SERS substrates. Systems annealed under air-annealing and low-vacuum conditions were found to show larger enhancement factors (typically ≈ 5 × 10 5 in SERS measurement for 0.5 nM Crystal Violet (CV) molecule) while the systems prepared under UHV-annealing conditions (where no endotaxial Ag structures were formed) were found to be not effective as SERS substrates. Extensive electron microscopy, synchrotron X-ray diffraction and Rutherford backscattering spectrometry techniques were used to understand the structural aspects. - Highlights: • Various aspects on the growth of endotaxial Ag nanostructures are presented. • Optimum amount of oxygen is necessary for the growth of endotaxial structures. • Reaction of oxygen with GeOx and SiOx plays a crucial role. • Ag nanostructures prepared under UHV conditions show low SERS activity • SERS enhancement is better for low-vacuum and argon annealing conditions

  20. Dry aerosol jet printing of conductive silver lines on a heated silicon substrate

    Science.gov (United States)

    Efimov, A. A.; Arsenov, P. V.; Protas, N. V.; Minkov, K. N.; Urazov, M. N.; Ivanov, V. V.

    2018-02-01

    A new method for dry aerosol jet printing conductive lines on a heated substrate is presented. The method is based on the use of a spark discharge generator as a source of dry nanoparticles and a heating plate for their sintering. This method allows creating conductive silver lines on a heated silicon substrate up to 300 °C without an additional sintering step. It was found that for effective sintering lines of silver nanoparticles the temperature of the heated substrate should be about more than 200-250 °C. Average thickness of the sintered silver lines was equal to ∼20 µm. Printed lines showed electrical resistivity equal to 35 μΩ·cm, which is 23 times greater than the resistivity of bulk silver.

  1. First-principles investigation of indium diffusion in a silicon substrate

    International Nuclear Information System (INIS)

    Yoon, Kwan-Sun; Hwang, Chi-Ok; Yoo, Jae-Hyun; Won, Tae-Young

    2006-01-01

    In this paper, we report the total energy, the minimum energy path, and the migration energy of indium in a silicon substrate by using ab-initio calculations. Stable configurations during indium diffusion were obtained from the calculation of the total energy, and we estimated the minimum energy path (MEP) with the nudged elastic band (NEB) method. After finding the MEP, we found the energy barrier for the diffusion of indium to be 0.8 eV from an exact calculation of the total energies at the minimum and the transition state.

  2. Thin-Film layers with Interfaces that reduce RF Losses on High-Resistivity Silicon Substrates

    NARCIS (Netherlands)

    Evseev, S. B.; Milosavljevic, S.; Nanver, L. K.

    2017-01-01

    Radio-Frequency (RF) losses on High-Resistivity Silicon (HRS) substrates were studied for several different surface passivation layers comprising thin-films of SiC, SiN and SiO2 In many combinations, losses from conductive surface channels were reduced and increasing the number of interfaces between

  3. High-temperature laser annealing for thin film polycrystalline silicon solar cell on glass substrate

    Science.gov (United States)

    Chowdhury, A.; Schneider, J.; Dore, J.; Mermet, F.; Slaoui, A.

    2012-06-01

    Thin film polycrystalline silicon films grown on glass substrate were irradiated with an infrared continuous wave laser for defects annealing and/or dopants activation. The samples were uniformly scanned using an attachment with the laser system. Substrate temperature, scan speed and laser power were varied to find suitable laser annealing conditions. The Raman spectroscopy and Suns- V oc analysis were carried out to qualify the films quality after laser annealing. A maximum enhancement of the open circuit voltage V oc of about 100 mV is obtained after laser annealing of as-grown polysilicon structures. A strong correlation was found between the full width half maximum of the Si crystalline peak and V oc. It is interpreted as due to defects annealing as well as to dopants activation in the absorbing silicon layer. The maximum V oc reached is 485 mV after laser treatment and plasma hydrogenation, thanks to defects passivation.

  4. Advancements in n-type base crystalline silicon solar cells and their emergence in the photovoltaic industry.

    Science.gov (United States)

    ur Rehman, Atteq; Lee, Soo Hong

    2013-01-01

    The p-type crystalline silicon wafers have occupied most of the solar cell market today. However, modules made with n-type crystalline silicon wafers are actually the most efficient modules up to date. This is because the material properties offered by n-type crystalline silicon substrates are suitable for higher efficiencies. Properties such as the absence of boron-oxygen related defects and a greater tolerance to key metal impurities by n-type crystalline silicon substrates are major factors that underline the efficiency of n-type crystalline silicon wafer modules. The bi-facial design of n-type cells with good rear-side electronic and optical properties on an industrial scale can be shaped as well. Furthermore, the development in the industrialization of solar cell designs based on n-type crystalline silicon substrates also highlights its boost in the contributions to the photovoltaic industry. In this paper, a review of various solar cell structures that can be realized on n-type crystalline silicon substrates will be given. Moreover, the current standing of solar cell technology based on n-type substrates and its contribution in photovoltaic industry will also be discussed.

  5. Etched ion tracks in silicon oxide and silicon oxynitride as charge injection or extraction channels for novel electronic structures

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Hoppe, K.; Fahrner, W.R.; Papaleo, R.M.; Berdinsky, A.S.; Chandra, A.; Chemseddine, A.; Zrineh, A.; Biswas, A.; Faupel, F.; Chadderton, L.T.

    2004-01-01

    The impact of swift heavy ions onto silicon oxide and silicon oxynitride on silicon creates etchable tracks in these insulators. After their etching and filling-up with highly resistive matter, these nanometric pores can be used as charge extraction or injection paths towards the conducting channel in the underlying silicon. In this way, a novel family of electronic structures has been realized. The basic characteristics of these 'TEMPOS' (=tunable electronic material with pores in oxide on silicon) structures are summarized. Their functionality is determined by the type of insulator, the etch track diameters and lengths, their areal densities, the type of conducting matter embedded therein, and of course by the underlying semiconductor and the contact geometry. Depending on the TEMPOS preparation recipe and working point, the structures may resemble gatable resistors, condensors, diodes, transistors, photocells, or sensors, and they are therefore rather universally applicable in electronics. TEMPOS structures are often sensitive to temperature, light, humidity and organic gases. Also light-emitting TEMPOS structures have been produced. About 37 TEMPOS-based circuits such as thermosensors, photosensors, humidity and alcohol sensors, amplifiers, frequency multipliers, amplitude modulators, oscillators, flip-flops and many others have already been designed and successfully tested. Sometimes TEMPOS-based circuits are more compact than conventional electronics

  6. Formation of microchannels from low-temperature plasma-deposited silicon oxynitride

    Science.gov (United States)

    Matzke, Carolyn M.; Ashby, Carol I. H.; Bridges, Monica M.; Manginell, Ronald P.

    2000-01-01

    A process for forming one or more fluid microchannels on a substrate is disclosed that is compatible with the formation of integrated circuitry on the substrate. The microchannels can be formed below an upper surface of the substrate, above the upper surface, or both. The microchannels are formed by depositing a covering layer of silicon oxynitride over a mold formed of a sacrificial material such as photoresist which can later be removed. The silicon oxynitride is deposited at a low temperature (.ltoreq.100.degree. C.) and preferably near room temperature using a high-density plasma (e.g. an electron-cyclotron resonance plasma or an inductively-coupled plasma). In some embodiments of the present invention, the microchannels can be completely lined with silicon oxynitride to present a uniform material composition to a fluid therein. The present invention has applications for forming microchannels for use in chromatography and electrophoresis. Additionally, the microchannels can be used for electrokinetic pumping, or for localized or global substrate cooling.

  7. Silicon-based metallic micro grid for electron field emission

    International Nuclear Information System (INIS)

    Kim, Jaehong; Jeon, Seok-Gy; Kim, Jung-Il; Kim, Geun-Ju; Heo, Duchang; Shin, Dong Hoon; Sun, Yuning; Lee, Cheol Jin

    2012-01-01

    A micro-scale metal grid based on a silicon frame for application to electron field emission devices is introduced and experimentally demonstrated. A silicon lattice containing aperture holes with an area of 80 × 80 µm 2 and a thickness of 10 µm is precisely manufactured by dry etching the silicon on one side of a double-polished silicon wafer and by wet etching the opposite side. Because a silicon lattice is more rigid than a pure metal lattice, a thin layer of Au/Ti deposited on the silicon lattice for voltage application can be more resistant to the geometric stress caused by the applied electric field. The micro-fabrication process, the images of the fabricated grid with 88% geometric transparency and the surface profile measurement after thermal feasibility testing up to 700 °C are presented. (paper)

  8. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  9. Carbon nanotube-copper exhibiting metal-like thermal conductivity and silicon-like thermal expansion for efficient cooling of electronics.

    Science.gov (United States)

    Subramaniam, Chandramouli; Yasuda, Yuzuri; Takeya, Satoshi; Ata, Seisuke; Nishizawa, Ayumi; Futaba, Don; Yamada, Takeo; Hata, Kenji

    2014-03-07

    Increasing functional complexity and dimensional compactness of electronic devices have led to progressively higher power dissipation, mainly in the form of heat. Overheating of semiconductor-based electronics has been the primary reason for their failure. Such failures originate at the interface of the heat sink (commonly Cu and Al) and the substrate (silicon) due to the large mismatch in thermal expansion coefficients (∼300%) of metals and silicon. Therefore, the effective cooling of such electronics demands a material with both high thermal conductivity and a similar coefficient of thermal expansion (CTE) to silicon. Addressing this demand, we have developed a carbon nanotube-copper (CNT-Cu) composite with high metallic thermal conductivity (395 W m(-1) K(-1)) and a low, silicon-like CTE (5.0 ppm K(-1)). The thermal conductivity was identical to that of Cu (400 W m(-1) K(-1)) and higher than those of most metals (Ti, Al, Au). Importantly, the CTE mismatch between CNT-Cu and silicon was only ∼10%, meaning an excellent compatibility. The seamless integration of CNTs and Cu was achieved through a unique two-stage electrodeposition approach to create an extensive and continuous interface between the Cu and CNTs. This allowed for thermal contributions from both Cu and CNTs, resulting in high thermal conductivity. Simultaneously, the high volume fraction of CNTs balanced the thermal expansion of Cu, accounting for the low CTE of the CNT-Cu composite. The experimental observations were in good quantitative concurrence with the theoretically described 'matrix-bubble' model. Further, we demonstrated identical in-situ thermal strain behaviour of the CNT-Cu composite to Si-based dielectrics, thereby generating the least interfacial thermal strain. This unique combination of properties places CNT-Cu as an isolated spot in an Ashby map of thermal conductivity and CTE. Finally, the CNT-Cu composite exhibited the greatest stability to temperature as indicated by its low

  10. Sol-gel bonding of silicon wafers

    International Nuclear Information System (INIS)

    Barbe, C.J.; Cassidy, D.J.; Triani, G.; Latella, B.A.; Mitchell, D.R.G.; Finnie, K.S.; Short, K.; Bartlett, J.R.; Woolfrey, J.L.; Collins, G.A.

    2005-01-01

    Sol-gel bonds have been produced between smooth, clean silicon substrates by spin-coating solutions containing partially hydrolysed silicon alkoxides. The two coated substrates were assembled and the resulting sandwich fired at temperatures ranging from 60 to 600 deg. C. The sol-gel coatings were characterised using attenuated total reflectance Fourier transform infrared spectroscopy, ellipsometry, and atomic force microscopy, while the corresponding bonded specimens were investigated using scanning electron microscopy and cross-sectional transmission electron microscopy. Mechanical properties were characterised using both microindentation and tensile testing. Bonding of silicon wafers has been successfully achieved at temperatures as low as 60 deg. C. At 300 deg. C, the interfacial fracture energy was 1.55 J/m 2 . At 600 deg. C, sol-gel bonding provided superior interfacial fracture energy over classical hydrophilic bonding (3.4 J/m 2 vs. 1.5 J/m 2 ). The increase in the interfacial fracture energy is related to the increase in film density due to the sintering of the sol-gel interface with increasing temperature. The superior interfacial fracture energy obtained by sol-gel bonding at low temperature is due to the formation of an interfacial layer, which chemically bonds the two sol-gel coatings on each wafer. Application of a tensile stress on the resulting bond leads to fracture of the samples at the silicon/sol-gel interface

  11. Mogul-Patterned Elastomeric Substrate for Stretchable Electronics.

    Science.gov (United States)

    Lee, Han-Byeol; Bae, Chan-Wool; Duy, Le Thai; Sohn, Il-Yung; Kim, Do-Il; Song, You-Joon; Kim, Youn-Jea; Lee, Nae-Eung

    2016-04-01

    A mogul-patterned stretchable substrate with multidirectional stretchability and minimal fracture of layers under high stretching is fabricated by double photolithography and soft lithography. Au layers and a reduced graphene oxide chemiresistor on a mogul-patterned poly(dimethylsiloxane) substrate are stable and durable under various stretching conditions. The newly designed mogul-patterned stretchable substrate shows great promise for stretchable electronics. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Integrated Circuit Interconnect Lines on Lossy Silicon Substrate with Finite Element Method

    OpenAIRE

    Sarhan M. Musa,; Matthew N. O. Sadiku

    2014-01-01

    The silicon substrate has a significant effect on the inductance parameter of a lossy interconnect line on integrated circuit. It is essential to take this into account in determining the transmission line electrical parameters. In this paper, a new quasi-TEM capacitance and inductance analysis of multiconductor multilayer interconnects is successfully demonstrated using finite element method (FEM). We specifically illustrate the electrostatic modeling of single and coupled in...

  13. Electron-beam deposition of vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Marvel, R.E.; Appavoo, K. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Choi, B.K. [Vanderbilt University, Department of Electrical Engineering and Computer Science, Nashville, TN (United States); Nag, J. [Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States); Haglund, R.F. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Vanderbilt University, Institute for Nanoscale Science and Engineering, Nashville, TN (United States); Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States)

    2013-06-15

    Developing a reliable and efficient fabrication method for phase-transition thin-film technology is critical for electronic and photonic applications. We demonstrate a novel method for fabricating polycrystalline, switchable vanadium dioxide thin films on glass and silicon substrates and show that the optical switching contrast is not strongly affected by post-processing annealing times. The method relies on electron-beam evaporation of a nominally stoichiometric powder, followed by fast annealing. As a result of the short annealing procedure we demonstrate that films deposited on silicon substrates appear to be smoother, in comparison to pulsed laser deposition and sputtering. However, optical performance of e-beam evaporated film on silicon is affected by annealing time, in contrast to glass. (orig.)

  14. Experimental study on surface wrinkling of silicon monoxide film on compliant substrate under thermally induced loads

    Science.gov (United States)

    Li, Chuanwei; Kong, Yingxiao; Jiang, Wenchong; Wang, Zhiyong; Li, Linan; Wang, Shibin

    2017-06-01

    The wrinkling of a silicon monoxide thin film on a compliant poly(dimethylsiloxane) (PDMS) substrate structure was experimentally investigated in this study. The self-expansion effect of PDMS during film deposition was utilized to impose a pretensile strain on the structure through a specially made fixture. A laser scanning confocal microscope (LSCM) system with an in situ heating stage was employed for the real-time measurement. The Young’s modulus of the silicon monoxide thin film as well as the PDMS substrate was measured on the basis of the elasticity theory. Moreover, the effects of temperature variations on geometric parameters in the postbuckling state, such as wavelength and amplitude, were analyzed. It was proved that wavelength is relatively immune to thermal loads, while amplitude is much more sensitive.

  15. Strong coupling of a single electron in silicon to a microwave photon

    Science.gov (United States)

    Mi, X.; Cady, J. V.; Zajac, D. M.; Deelman, P. W.; Petta, J. R.

    2017-01-01

    Silicon is vital to the computing industry because of the high quality of its native oxide and well-established doping technologies. Isotopic purification has enabled quantum coherence times on the order of seconds, thereby placing silicon at the forefront of efforts to create a solid-state quantum processor. We demonstrate strong coupling of a single electron in a silicon double quantum dot to the photonic field of a microwave cavity, as shown by the observation of vacuum Rabi splitting. Strong coupling of a quantum dot electron to a cavity photon would allow for long-range qubit coupling and the long-range entanglement of electrons in semiconductor quantum dots.

  16. Transmission Electron Microscopy Studies of Electron-Selective Titanium Oxide Contacts in Silicon Solar Cells

    KAUST Repository

    Ali, Haider; Yang, Xinbo; Weber, Klaus; Schoenfeld, Winston V.; Davis, Kristopher O.

    2017-01-01

    In this study, the cross-section of electron-selective titanium oxide (TiO2) contacts for n-type crystalline silicon solar cells were investigated by transmission electron microscopy. It was revealed that the excellent cell efficiency of 21

  17. Electroluminescence from porous silicon due to electron injection from solution

    NARCIS (Netherlands)

    Kooij, Ernst S.; Despo, R.W.; Kelly, J.J.

    1995-01-01

    We report on the electroluminescence from p‐type porous silicon due to minority carrier injection from an electrolyte solution. The MV+• radical cation formed in the reduction of divalent methylviologen is able to inject electrons into the conduction band of crystalline and porous silicon. The

  18. Biodegradable elastomers and silicon nanomembranes/nanoribbons for stretchable, transient electronics, and biosensors.

    Science.gov (United States)

    Hwang, Suk-Won; Lee, Chi Hwan; Cheng, Huanyu; Jeong, Jae-Woong; Kang, Seung-Kyun; Kim, Jae-Hwan; Shin, Jiho; Yang, Jian; Liu, Zhuangjian; Ameer, Guillermo A; Huang, Yonggang; Rogers, John A

    2015-05-13

    Transient electronics represents an emerging class of technology that exploits materials and/or device constructs that are capable of physically disappearing or disintegrating in a controlled manner at programmed rates or times. Inorganic semiconductor nanomaterials such as silicon nanomembranes/nanoribbons provide attractive choices for active elements in transistors, diodes and other essential components of overall systems that dissolve completely by hydrolysis in biofluids or groundwater. We describe here materials, mechanics, and design layouts to achieve this type of technology in stretchable configurations with biodegradable elastomers for substrate/encapsulation layers. Experimental and theoretical results illuminate the mechanical properties under large strain deformation. Circuit characterization of complementary metal-oxide-semiconductor inverters and individual transistors under various levels of applied loads validates the design strategies. Examples of biosensors demonstrate possibilities for stretchable, transient devices in biomedical applications.

  19. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  20. ESR Experiments on a Single Donor Electron in Isotopically Enriched Silicon

    Science.gov (United States)

    Tracy, Lisa; Luhman, Dwight; Carr, Stephen; Borchardt, John; Bishop, Nathaniel; Ten Eyck, Gregory; Pluym, Tammy; Wendt, Joel; Witzel, Wayne; Blume-Kohout, Robin; Nielsen, Erik; Lilly, Michael; Carroll, Malcolm

    In this talk we will discuss electron spin resonance experiments in single donor silicon qubit devices fabricated at Sandia National Labs. A self-aligned device structure consisting of a polysilicon gate SET located adjacent to the donor is used for donor electron spin readout. Using a cryogenic HEMT amplifier next to the silicon device, we demonstrate spin readout at 100 kHz bandwidth and Rabi oscillations with 0.96 visibility. Electron spin resonance measurements on these devices show a linewidth of 30 kHz and coherence times T2* = 10 us and T2 = 0.3 ms. We also discuss estimates of the fidelity of our donor electron spin qubit measurements using gate set tomography. This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000. ESR Experiments on a Single Donor Electron in Isotopically Enriched Silicon.

  1. Structural Integration of Silicon Solar Cells and Lithium-ion Batteries Using Printed Electronics

    Science.gov (United States)

    Kang, Jin Sung

    Inkjet printing of electrode using copper nanoparticle ink is presented. Electrode was printed on a flexible glass epoxy composite substrate using drop on demand piezoelectric dispenser and was sintered at 200°C in N 2 gas condition. The printed electrodes were made with various widths and thicknesses. Surface morphology of electrode was analyzed using scanning electron microscope (SEM) and atomic force microscope (AFM). Reliable dimensions for printed electronics were found from this study. Single-crystalline silicon solar cells were tested under four-point bending to find the feasibility of directly integrating them onto a carbon fiber/epoxy composite laminate. These solar cells were not able to withstand 0.2% strain. On the other hand, thin-film amorphous silicon solar cells were subjected to flexural fatigue loadings. The current density-voltage curves were analyzed at different cycles, and there was no noticeable degradation on its performance up to 100 cycles. A multifunctional composite laminate which can harvest and store solar energy was fabricated using printed electrodes. The integrated printed circuit board (PCB) was co-cured with a carbon/epoxy composite laminate by the vacuum bag molding process in an autoclave; an amorphous silicon solar cell and a thin-film solid state lithium-ion (Li-ion) battery were adhesively joined and electrically connected to a thin flexible PCB; and then the passive components such as resistors and diodes were electrically connected to the printed circuit board by silver pasting. Since a thin-film solid state Li-ion battery was not able to withstand tensile strain above 0.4%, thin Li-ion polymer batteries were tested under various mechanical loadings and environmental conditions to find the feasibility of using the polymer batteries for our multifunctional purpose. It was found that the Li-ion polymer batteries were stable under pressure and tensile loading without any noticeable degradation on its charge and discharge

  2. Gas phase considerations for the deposition of thin film silicon solar cells by VHF-PECVD at low substrate temperatures

    NARCIS (Netherlands)

    Rath, J.K.; Verkerk, A.D.; Brinza, M.; Schropp, R.E.I.; Goedheer, W.J.; Krzhizhanovskaya, V.V.; Gorbachev, Y.E.; Orlov, K.E.; Khilkevitch, E.M.; Smirnov, A.S.

    2008-01-01

    Fabrication of thin film silicon solar cells on cheap plastics or paper-like substrate requires deposition process at very low substrate temperature, typically ≤ 100 °C. In a chemical vapor deposition process, low growth temperatures lead to materials with low density, high porosity, high disorder

  3. Retrospective dosimetry with alumina substrate from electronic components

    International Nuclear Information System (INIS)

    Ekendahl, D.; Judas, L.

    2012-01-01

    Alumina substrate can be found in electronic components used in portable electronic devices. The material is radiation sensitive and can be applied in dosimetry using thermally or optically stimulated luminescence. Electronic portable devices such as mobile phones, USB flash discs, mp3 players, etc., which are worn close to the body, can represent personal dosemeters for members of the general public in situations of large-scale radiation accidents or malevolent acts with radioactive materials. This study investigated dosimetric properties of alumina substrates and aspects of using mobile phones as personal dosemeters. The alumina substrates exhibited favourable dosimetry characteristics. However, anomalous fading had to be properly corrected in order to achieve sufficient precision in dose estimate. Trial dose reconstruction performed by means of two mobile phones proved that mobile phones can be used for reconstruction of personal doses. (authors)

  4. On electronic structure of polymer-derived amorphous silicon carbide ceramics

    Science.gov (United States)

    Wang, Kewei; Li, Xuqin; Ma, Baisheng; Wang, Yiguang; Zhang, Ligong; An, Linan

    2014-06-01

    The electronic structure of polymer-derived amorphous silicon carbide ceramics was studied by combining measurements of temperature-dependent conductivity and optical absorption. By comparing the experimental results to theoretical models, electronic structure was constructed for a carbon-rich amorphous silicon carbide, which revealed several unique features, such as deep defect energy level, wide band-tail band, and overlap between the band-tail band and defect level. These unique features were discussed in terms of the microstructure of the material and used to explain the electric behavior.

  5. Deep level transient spectroscopic analysis of p/n junction implanted with boron in n-type silicon substrate

    Science.gov (United States)

    Wakimoto, Hiroki; Nakazawa, Haruo; Matsumoto, Takashi; Nabetani, Yoichi

    2018-04-01

    For P-i-N diodes implanted and activated with boron ions into a highly-resistive n-type Si substrate, it is found that there is a large difference in the leakage current between relatively low temperature furnace annealing (FA) and high temperature laser annealing (LA) for activation of the p-layer. Since electron trap levels in the n-type Si substrate is supposed to be affected, we report on Deep Level Transient Spectroscopy (DLTS) measurement results investigating what kinds of trap levels are formed. As a result, three kinds of electron trap levels are confirmed in the region of 1-4 μm from the p-n junction. Each DLTS peak intensity of the LA sample is smaller than that of the FA sample. In particular, with respect to the trap level which is the closest to the silicon band gap center most affecting the reverse leakage current, it was not detected in LA. It is considered that the electron trap levels are decreased due to the thermal energy of LA. On the other hand, four kinds of trap levels are confirmed in the region of 38-44 μm from the p-n junction and the DLTS peak intensities of FA and LA are almost the same, considering that the thermal energy of LA has not reached this area. The large difference between the reverse leakage current of FA and LA is considered to be affected by the deep trap level estimated to be the interstitial boron.

  6. The effect of silicon crystallographic orientation on the formation of silicon nanoclusters during anodic electrochemical etching

    International Nuclear Information System (INIS)

    Timokhov, D. F.; Timokhov, F. P.

    2009-01-01

    Possible ways for increasing the photoluminescence quantum yield of porous silicon layers have been investigated. The effect of the anodization parameters on the photoluminescence properties for porous silicon layers formed on silicon substrates with different crystallographic orientations was studied. The average diameters for silicon nanoclusters are calculated from the photoluminescence spectra of porous silicon. The influence of the substrate crystallographic orientation on the photoluminescence quantum yield of porous silicon is revealed. A model explaining the effect of the substrate orientation on the photoluminescence properties for the porous silicon layers formed by anode electrochemical etching is proposed.

  7. Electronic structure of deep levels in silicon. A study of gold, magnesium, and iron centers in silicon

    International Nuclear Information System (INIS)

    Thilderkvist, A. L.

    1994-02-01

    The electronic structure of gold, magnesium and iron related deep centers in silicon is investigated. Their deep and shallow levels are studied by means of fourier transform spectroscopy, combined with uniaxial stress and Zeeman spectroscopy. The neutral substitutional gold center in silicon is investigated and the center is paramagnetic, S=1/2, with g||≅2.8 and g≅0, and has a static distortion. Reorientation between different equivalent distortions is observed even at 1.9 K. A gold pair center in silicon is studied and several line series, with a zero-phonon line followed by several phonon replicas, are observed. Uniaxial stress and Zeeman results reveal a trigonal symmetry of the center, which together with the high dissociation energy of 1.7 eV suggests that the center consists of two nearest-neighbor substitutional gold atoms. A divacancy model is employed to explain the electronic properties of the center. The interstitial magnesium double donor in silicon in its two charge states Mg o and Mg + is investigated. Deviations in the binding energies of the excited states from those calculated within the effective-mass theory (EMT) are found and explained by a perturbation in the central-cell region. The quadratic Zeeman effect of shallow donors in silicon is analyzed within the framework of the EMT using a numerical approach. The wave functions are calculated in a discrete radial mesh and the Zeeman Hamiltonian has be evaluated for the lowest excited states for fields up to 6 T. The neutral interstitial iron defect in silicon gives rise to two sets of line spectra. The first set arises when an electron is excited to a shallow donor like state where the electron is decoupled from the Fe + core which has a 4 T 1 ground state term. The second set arises when an excited electron of a 1 symmetry is coupled by exchange interaction to the core, yielding at 5 T 1 final state. Experiments determine the multiplet splitting of the 4 T 1 and 5 T 1 states due to spring

  8. Substrate dependence of electron-stimulated O - yields from dissociative electron attachment to physisorbed O2

    Science.gov (United States)

    Huels, M. A.; Parenteau, L.; Sanche, L.

    1994-03-01

    We present measurements of O- electron stimulated desorption yields obtained under identical experimental conditions from 0.15 monolayers (ML) of O2 deposited onto disordered substrates consisting of 4 ML of either Kr, Xe, C2H6, C2H4, N2O, CH3Cl, or H2O, all condensed on Pt (polycrystalline). The resulting O- yield functions, for incident electron energies below 20 eV, are compared to that obtained from the O2/Kr solid; this allows us to assess the order of magnitude effects of the local substrate environment on dissociative electron attachment (DEA) via the 2Πu and gas phase forbidden 2Σ+g,u resonances of O-2. We note that, in addition to electron energy losses in the substrate prior to DEA to O2 and post-dissociation interactions of the O- with the substrate molecules, charge or energy transfer from the O-2 transient anion to a substrate molecule, and capture of the incident electron into a dissociative anion resonance of the substrate molecule may contribute to a reduced O- yield from the physisorbed O2. In the case of O2 deposited on amorphous ice, we find that the O- signal from DEA to O2 is completely absent for electron energies below 14 eV; we attribute this to a complete quenching of the dissociative O-2(2Πu, 2Σ+) resonances by the adjacent water molecules.

  9. UV lithography-based protein patterning on silicon: Towards the integration of bioactive surfaces and CMOS electronics

    Energy Technology Data Exchange (ETDEWEB)

    Lenci, S., E-mail: silvia.lenci@iet.unipi.it [Dipartimento di Ingegneria dell' Informazione, via G.Caruso 16, Pisa I-56122 (Italy); Tedeschi, L. [Istituto di Fisiologia Clinica - CNR, via G. Moruzzi 1, Pisa I-56124 (Italy); Pieri, F. [Dipartimento di Ingegneria dell' Informazione, via G.Caruso 16, Pisa I-56122 (Italy); Domenici, C. [Istituto di Fisiologia Clinica - CNR, via G. Moruzzi 1, Pisa I-56124 (Italy)

    2011-08-01

    A simple and fast methodology for protein patterning on silicon substrates is presented, providing an insight into possible issues related to the interaction between biological and microelectronic technologies. The method makes use of standard photoresist lithography and is oriented towards the implementation of biosensors containing Complementary Metal-Oxide-Semiconductor (CMOS) conditioning circuitry. Silicon surfaces with photoresist patterns were prepared and hydroxylated by means of resist- and CMOS backend-compatible solutions. Subsequent aminosilane deposition and resist lift-off in organic solvents resulted into well-controlled amino-terminated geometries. The discussion is focused on resist- and CMOS-compatibility problems related to the used chemicals. Some samples underwent gold nanoparticle (Au NP) labeling and Scanning Electron Microscopy (SEM) observation, in order to investigate the quality of the silane layer. Antibodies were immobilized on other samples, which were subsequently exposed to a fluorescently labeled antigen. Fluorescence microscopy observation showed that this method provides spatially selective immobilization of protein layers onto APTES-patterned silicon samples, while preserving protein reactivity inside the desired areas and low non-specific adsorption elsewhere. Strong covalent biomolecule binding was achieved, giving stable protein layers, which allows stringent binding conditions and a good binding specificity, really useful for biosensing.

  10. Structure and field emission of graphene layers on top of silicon nanowire arrays

    International Nuclear Information System (INIS)

    Huang, Bohr-Ran; Chan, Hui-Wen; Jou, Shyankay; Chen, Guan-Yu; Kuo, Hsiu-An; Song, Wan-Jhen

    2016-01-01

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  11. Structure and field emission of graphene layers on top of silicon nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Bohr-Ran; Chan, Hui-Wen [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Jou, Shyankay, E-mail: sjou@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Chen, Guan-Yu [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Kuo, Hsiu-An; Song, Wan-Jhen [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China)

    2016-01-30

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  12. Detailed characterisation of focused ion beam induced lateral damage on silicon carbide samples by electrical scanning probe microscopy and transmission electron microscopy

    Science.gov (United States)

    Stumpf, F.; Abu Quba, A. A.; Singer, P.; Rumler, M.; Cherkashin, N.; Schamm-Chardon, S.; Cours, R.; Rommel, M.

    2018-03-01

    The lateral damage induced by focused ion beam on silicon carbide was characterized using electrical scanning probe microscopy (SPM), namely, scanning spreading resistance microscopy and conductive atomic force microscopy (c-AFM). It is shown that the damage exceeds the purposely irradiated circles with a radius of 0.5 μm by several micrometres, up to 8 μm for the maximum applied ion dose of 1018 cm-2. Obtained SPM results are critically compared with earlier findings on silicon. For doses above the amorphization threshold, in both cases, three different areas can be distinguished. The purposely irradiated area exhibits resistances smaller than the non-affected substrate. A second region with strongly increasing resistance and a maximum saturation value surrounds it. The third region shows the transition from maximum resistance to the base resistance of the unaffected substrate. It correlates to the transition from amorphized to defect-rich to pristine crystalline substrate. Additionally, conventional transmission electron microscopy (TEM) and annular dark-field STEM were used to complement and explain the SPM results and get a further understanding of the defect spreading underneath the surface. Those measurements also show three different regions that correlate well with the regions observed from electrical SPM. TEM results further allow to explain observed differences in the electrical results for silicon and silicon carbide which are most prominent for ion doses above 3 × 1016 cm-2. Furthermore, the conventional approach to perform current-voltage measurements by c-AFM was critically reviewed and several improvements for measurement and analysis process were suggested that result in more reliable and impactful c-AFM data.

  13. Alloyed Aluminum Contacts for Silicon Solar Cells

    International Nuclear Information System (INIS)

    Tin Tin Aye

    2010-12-01

    Aluminium is usually deposited and alloyed at the back of p-p silicon solar cell for making a good ohmic contact and establishing a back electric field which avoids carrier recombination of the back surface. It was the deposition of aluminum on multicrystalline silicon (mc-Si) substrate at various annealing temperature. Physical and elemental analysis was carried out by using scanning electron microscopy (SEM) and X-rays diffraction (XRD). The electrical (I-V) characteristic of the photovoltaic cell was also measured.

  14. A study of size dependent structure, morphology and luminescence behavior of CdS films on Si substrate

    International Nuclear Information System (INIS)

    Kaushik, Diksha; Singh, Ragini Raj; Sharma, Madhulika; Gupta, D.K.; Lalla, N.P.; Pandey, R.K.

    2007-01-01

    Size tunable cadmium sulfide (CdS) films deposited by a dip coating technique on silicon (100) and indium tin oxide/glass substrates have been characterized using X-ray diffraction, X-ray reflectivity, transmission electron microscopy, atomic force microscopy and photoluminescence spectroscopy. The structural characterization indicated growth of an oriented phase of cadmium sulfide. Transmission electron microscopy used to calculate the particle size indicated narrow size dispersion. The tendency of nanocrystalline CdS films to form ordered clusters of CdS quantum dots on silicon (100) substrate has been revealed by morphological studies using atomic force microscopy. The photoluminescence emission spectroscopy of the cadmium sulfide films has also been investigated. It is shown that the nanocrystalline CdS exhibit intense photoluminescence as compared to the large grained polycrystalline CdS films. The effect of quantum confinement also manifested as a blue shift of photoluminescence emission. It is shown that the observed photoluminescence behavior of CdS is substantially enhanced when the nanocrystallites are assembled on silicon (100) substrate

  15. The role of the substrate in Graphene/Silicon photodiodes

    Science.gov (United States)

    Luongo, G.; Giubileo, F.; Iemmo, L.; Di Bartolomeo, A.

    2018-01-01

    The Graphene/Silicon (Gr/Si) junction can function as a Schottky diode with performances strictly related to the quality of the interface. Here, we focus on the substrate geometry and on its effects on Gr/Si junction physics. We fabricate and study the electrical and optical behaviour of two types of devices: one made of a Gr/Si planar junction, the second realized with graphene on an array of Si nanotips. We show that the Gr/Si flat device exhibits a reverse photocurrent higher than the forward current and achieves a photoresponsivity of 2.5 A/W. The high photoresponse is due to the charges photogenerated in Si below a parasitic graphene/SiO2/Si structure, which are injected into the Gr/Si junction region. The other device with graphene on Si-tips displays a reverse current that grows exponentially with the bias. We explain this behaviour by taking into account the tip geometry of the substrate, which magnifies the electric field and shifts the Fermi level of graphene, thus enabling fine-tuning of the Schottky barrier height. The Gr/Si-tip device achieves a higher photoresponsivity, up to 3 A/W, likely due to photocharge internal multiplication.

  16. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  17. MgB2 thin films on silicon nitride substrates prepared by an in situ method

    International Nuclear Information System (INIS)

    Monticone, Eugenio; Gandini, Claudio; Portesi, Chiara; Rajteri, Mauro; Bodoardo, Silvia; Penazzi, Nerino; Dellarocca, Valeria; Gonnelli, Renato S

    2004-01-01

    Large-area MgB 2 thin films were deposited on silicon nitride and sapphire substrates by co-deposition of Mg and B. After a post-annealing in Ar atmosphere at temperatures between 773 and 1173 K depending on the substrate, the films showed a critical temperature higher than 35 K with a transition width less than 0.5 K. The x-ray diffraction pattern suggested a c-axis preferential orientation in films deposited on amorphous substrate. The smooth surface and the good structural properties of these MgB 2 films allowed their reproducible patterning by a standard photolithographic process down to dimensions of the order of 10 μm and without a considerable degradation of the superconducting properties

  18. Giant Dirac point shift of graphene phototransistors by doped silicon substrate current

    Directory of Open Access Journals (Sweden)

    Masaaki Shimatani

    2016-03-01

    Full Text Available Graphene is a promising new material for photodetectors due to its excellent optical properties and high-speed response. However, graphene-based phototransistors have low responsivity due to the weak light absorption of graphene. We have observed a giant Dirac point shift upon white light illumination in graphene-based phototransistors with n-doped Si substrates, but not those with p-doped substrates. The source-drain current and substrate current were investigated with and without illumination for both p-type and n-type Si substrates. The decay time of the drain-source current indicates that the Si substrate, SiO2 layer, and metal electrode comprise a metal-oxide-semiconductor (MOS capacitor due to the presence of defects at the interface between the Si substrate and SiO2 layer. The difference in the diffusion time of the intrinsic major carriers (electrons and the photogenerated electron-hole pairs to the depletion layer delays the application of the gate voltage to the graphene channel. Therefore, the giant Dirac point shift is attributed to the n-type Si substrate current. This phenomenon can be exploited to realize high-performance graphene-based phototransistors.

  19. Giant Dirac point shift of graphene phototransistors by doped silicon substrate current

    Energy Technology Data Exchange (ETDEWEB)

    Shimatani, Masaaki; Ogawa, Shinpei, E-mail: Ogawa.Shimpei@eb.MitsubishiElectric.co.jp; Fujisawa, Daisuke [Advanced Technology R& D Center, Mitsubishi Electric Corporation, 8-1-1 Tsukaguchi-Honmachi, Amagasaki, Hyogo 661-8661 (Japan); Okuda, Satoshi [Advanced Technology R& D Center, Mitsubishi Electric Corporation, 8-1-1 Tsukaguchi-Honmachi, Amagasaki, Hyogo 661-8661 (Japan); The Institute of the Scientific and Industrial Research, Osaka University, Ibaraki, Osaka 567-0047 (Japan); Kanai, Yasushi; Ono, Takao; Matsumoto, Kazuhiko [The Institute of the Scientific and Industrial Research, Osaka University, Ibaraki, Osaka 567-0047 (Japan)

    2016-03-15

    Graphene is a promising new material for photodetectors due to its excellent optical properties and high-speed response. However, graphene-based phototransistors have low responsivity due to the weak light absorption of graphene. We have observed a giant Dirac point shift upon white light illumination in graphene-based phototransistors with n-doped Si substrates, but not those with p-doped substrates. The source-drain current and substrate current were investigated with and without illumination for both p-type and n-type Si substrates. The decay time of the drain-source current indicates that the Si substrate, SiO{sub 2} layer, and metal electrode comprise a metal-oxide-semiconductor (MOS) capacitor due to the presence of defects at the interface between the Si substrate and SiO{sub 2} layer. The difference in the diffusion time of the intrinsic major carriers (electrons) and the photogenerated electron-hole pairs to the depletion layer delays the application of the gate voltage to the graphene channel. Therefore, the giant Dirac point shift is attributed to the n-type Si substrate current. This phenomenon can be exploited to realize high-performance graphene-based phototransistors.

  20. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  1. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    International Nuclear Information System (INIS)

    Zhang, Z.L.; Asano, T.; Uno, H.; Tero, R.; Suzui, M.; Nakao, S.; Kaito, T.; Shibasaki, K.; Tominaga, M.; Utsumi, Y.; Gao, Y.L.; Urisu, T.

    2008-01-01

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 μm diameter is formed through the top Si layer and the SiO 2 box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully

  2. Fabrication of Si-based planar type patch clamp biosensor using silicon on insulator substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Z.L.; Asano, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Uno, H. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Tero, R. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Suzui, M.; Nakao, S. [Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan); Kaito, T. [SII NanoTechnology Inc., 36-1, Takenoshita, Oyama-cho, Sunto-gun, Shizuoka, 410-1393 (Japan); Shibasaki, K.; Tominaga, M. [Okazaki Institute for Integrative Bioscience, 5-1, Higashiyama, Myodaiji, Okazaki, 444-8787 (Japan); Utsumi, Y. [Laboratory of Advanced Science and Technology for Industry, University of Hyogo, 3-1-2, Koto, Kamigori, Ako-gun, Hyogo, 678-1205 (Japan); Gao, Y.L. [Department of Physics and Astronomy, Rochester University, Rochester, New York 14627 (United States); Urisu, T. [Graduate University for Advanced Studies, Myodaiji, Okazaki, 444-8585 (Japan); Institute for Molecular Science, Myodaiji, Okazaki, 444-8585 (Japan)], E-mail: urisu@ims.ac.jp

    2008-03-03

    The aim of this paper is to fabricate the planar type patch clamp ion-channel biosensor, which is suitable for the high throughput screening, using silicon-on-insulator (SOI) substrate. The micropore with 1.2 {mu}m diameter is formed through the top Si layer and the SiO{sub 2} box layer of the SOI substrate by focused ion beam (FIB). Then the substrate is assembled into the microfluidic circuit. The human embryonic kidney 293 (HEK-293) cell transfected with transient receptor potential vanilloid type 1 (TRPV1) is positioned on the micropore and the whole-cell configuration is formed by the suction. Capsaicin is added to the extracellular solution as a ligand molecule, and the channel current showing the desensitization unique to TRPV1 is measured successfully.

  3. Synthesis of silicon nanocrystals in silane plasmas for nanoelectronics and large area electronic devices

    International Nuclear Information System (INIS)

    Roca i Cabarrocas, P; Nguyen-Tran, Th; Djeridane, Y; Abramov, A; Johnson, E; Patriarche, G

    2007-01-01

    The synthesis of silicon nanocrystals in standard radio-frequency glow discharge systems is studied with respect to two main objectives: (i) the production of devices based on quantum size effects associated with the small dimensions of silicon nanocrystals and (ii) the synthesis of polymorphous and polycrystalline silicon films in which silicon nanocrystals are the elementary building blocks. In particular we discuss results on the mechanisms of nanocrystal formation and their transport towards the substrate. We found that silicon nanocrystals can contribute to a significant fraction of deposition (50-70%) and that they can be positively charged. This has a strong influence on their deposition because positively charged nanocrystals will be accelerated towards the substrate with energy of the order of the plasma potential. However, the important parameter with respect to the deposition of charged nanocrystals is not the accelerating voltage but the energy per atom and thus a doubling of the diameter will result in a decrease in the energy per atom by a factor of 8. To leverage this geometrical advantage we propose the use of more electronegative gases, which may have a strong effect on the size and charge distribution of the nanocrystals. This is illustrated in the case of deposition from silicon tetrafluoride plasmas in which we observe low-frequency plasma fluctuations, associated with successive generations of nanocrystals. The contribution of larger nanocrystals to deposition results in a lower energy per deposited atom and thus polycrystalline films

  4. Chapter 2.3 Cellulose Nanofibril Composite Substrates for Flexible Electronics

    Science.gov (United States)

    Ronald Sabo; Jung-Hun Seo; Zhenqiang Ma

    2013-01-01

    Flexible electronics have a large number of potential applications, including malleable displays and wearable computers. Current research into high-speed, flexible electronic substrates uses plastics for the flexible substrate, but these plastics typically have drawbacks, such as high thermal expansion coefficients. Transparent films made from cellulose...

  5. Silicon-substituted hydroxyapatite coating with Si content on the nanotube-formed Ti–Nb–Zr alloy using electron beam-physical vapor deposition

    International Nuclear Information System (INIS)

    Jeong, Yong-Hoon; Choe, Han-Cheol; Brantley, William A.

    2013-01-01

    The purpose of this study was to investigate the electrochemical characteristics of silicon-substituted hydroxyapatite coatings on the nanotube-formed Ti–35Nb–10Zr alloy. The silicon-substituted hydroxyapatite (Si–HA) coatings on the nanotube structure were deposited by electron beam-physical vapor deposition and anodization methods, and biodegradation properties were analyzed by potentiodynamic polarization and electrochemical impedance spectroscopy measurement. The surface characteristics were analyzed by field-emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction (XRD). The Si–HA layers were deposited with rough features having highly ordered nanotube structures on the titanium alloy substrate. The thickness of the Si–HA coating was less than that of the HA coating. The XRD results confirmed that the Si–HA coating on the nanotube structure consisted of TiO 2 anatase, TiO 2 rutile, hydroxyapatite, and calcium phosphate silicate. The Si–HA coating surface exhibited lower I corr than the HA coating, and the polarization resistance was increased by substitution of silicon in hydroxyapatite. - Highlights: • Silicon substituted hydroxyapatite (Si–HA) was coated on nanotubular titanium alloy. • The Si–HA coating thickness was less than single hydroxyapatite (HA) coating. • Si–HA coatings consisted of TiO 2 , HA, and Ca 5 (PO 4 ) 2 SiO 4 . • Polarization resistance of the coating was increased by Si substitution in HA

  6. In vacuo substrate pretreatments for enhancing nanodiamond formation in electron cyclotron resonance plasma

    International Nuclear Information System (INIS)

    Teii, Kungen; Kouzuma, Yutaka; Uchino, Kiichiro

    2006-01-01

    Substrate pretreatment conditions at low pressures have been examined for enhancing nanocrystalline diamond formation on silicon in electron cyclotron resonance (ECR) plasma. Three kinds of pretreatments (I) exposure to an ECR H 2 plasma with application of a substrate bias from -100 to +30 V (II) hot-filament heating in H 2 gas, and (III) hot-filament heating in vacuum, were used alone or followed by carburization prior to a two-step process of ion-enhanced nucleation in an ECR plasma and subsequent growth in a hot-filament system. The number density of diamond particles after the final growth step was greatly increased up to the order of 10 7 -10 8 cm -2 when applying pretreatment (I) at the bias of 0 V corresponding to the ion-bombardment energy of around 10 eV. In this treatment, a clean and smooth surface with minimal damage was made by the dominance of anisotropic etching by hydrogen ions over isotropic etching by hydrogen atoms. The number density of diamond particles was still more increased when applying pretreatment (II), but the treated surface was unfavorably contaminated and roughened

  7. Influence of external effects on the electron silicon properties

    International Nuclear Information System (INIS)

    Orazgulyev, B.; Bigozha, O.D.

    2005-01-01

    It is noted, that study of angular dependence of longitudinal piezo-resistance of n-type silicon presents the both scientific and practical interest because the obtained data could serve the ground for creating a high-sensitive piezo-sensors. Measurement of angular dependence allows objectively estimate the errors of anisotropy parameter determination, constant of deformation potential caused of mistakes in maintenance of crystallographic directions during the samples production process. In the case of X||J||[111] at one-axis deformation a new kind of piezo-effect in electron silicon is revealed. It is explained by transformation of iso-energy rotation ellipsoid into three-axis ellipsoid at presence of shear silicon crystal deformation

  8. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  9. Charging effects during focused electron beam induced deposition of silicon oxide

    NARCIS (Netherlands)

    de Boer, Sanne K.; van Dorp, Willem F.; De Hosson, Jeff Th. M.

    2011-01-01

    This paper concentrates on focused electron beam induced deposition of silicon oxide. Silicon oxide pillars are written using 2, 4, 6, 8, 10-pentamethyl-cyclopenta-siloxane (PMCPS) as precursor. It is observed that branching of the pillar occurs above a minimum pillar height. The branching is

  10. Design, fabrication and characterisation of advanced substrate crosstalk suppression structures in silicon on insulator substrates with buried ground planes (GPSOI)

    International Nuclear Information System (INIS)

    Stefanou, Stefanos

    2002-07-01

    Substrate crosstalk or coupling has been acknowledged to be a limiting factor in mixed signal RF integration. Although high levels of integration and high frequencies of operation are desirable for mixed mode RF and microwave circuits, they make substrate crosstalk more pronounced and may lead to circuit performance degradation. High signal isolation is dictated by requirements for low power dissipation, reduced number of components and lower integration costs for feasible system-on-chip (SoC) solutions. Substrate crosstalk suppression in ground plane silicon-on-insulator (GPSOI) substrates is investigated in this thesis. Test structures are designed and fabricated on SOI substrates with a buried WSi 2 plane that is connected to ground; hence it is called a ground plane. A Faraday cage structure that exhibits very high degrees of signal isolation is presented and compared to other SOI isolation schemes. The Faraday cage structure is shown to achieve 20 dB increased isolation in the frequency range of 0.5-50 GHz compared to published data for high resistivity (200 Ωcm) thin film SOI substrates with no ground planes, but where capacitive guard rings were used. The measurement results are analysed with the aid of planar electromagnetic simulators and compact lumped element models of all the fabricated test structures are developed. The accuracy of the lumped models is validated against experimental measurements. (author)

  11. Numerical study of self-heating effects of small-size MOSFETs fabricated on silicon-on-aluminum nitride substrate

    International Nuclear Information System (INIS)

    Ding Yanfang; Zhu Ziqiang; Zhu Ming; Lin Chenglu

    2006-01-01

    Compared with bulk-silicon technology, silicon-on-insulator (SOI) technology possesses many advantages but it is inevitable that the buried silicon dioxide layer also thermally insulates the metal-oxide-silicon field-effect transistors (MOSFETs) from the bulk due to the low thermal conductivity. One of the alternative insulator to replace the buried oxide layer is aluminum nitride (MN), which has a thermal conductivity that is about 200 times higher than that of SiO 2 (320 W·m -1 ·K -1 versus 1.4 W·m -1 ·K -l ). To investigate the self-heating effects of small-size MOSFETs fabricated on silicon-on-aluminum nitride (SOAN) substrate, a two-dimensional numerical analysis is performed by using a device simulator called MEDICI run on a Solaris workstation to simulate the electrical characteristics and temperature distribution by comparing with those of bulk and standard SOI MOSFETs. Our study suggests that AIN is a suitable alternative to silicon dioxide as a buried dielectric in SOI and expands the applications of SOI to high temperature conditions. (authors)

  12. Triple-junction thin-film silicon solar cell fabricated on periodically textured substrate with a stabilized efficiency of 13.6%

    Science.gov (United States)

    Sai, Hitoshi; Matsui, Takuya; Koida, Takashi; Matsubara, Koji; Kondo, Michio; Sugiyama, Shuichiro; Katayama, Hirotaka; Takeuchi, Yoshiaki; Yoshida, Isao

    2015-05-01

    We report a high-efficiency triple-junction thin-film silicon solar cell fabricated with the so-called substrate configuration. It was verified whether the design criteria for developing single-junction microcrystalline silicon (μc-Si:H) solar cells are applicable to multijunction solar cells. Furthermore, a notably high short-circuit current density of 32.9 mA/cm2 was achieved in a single-junction μc-Si:H cell fabricated on a periodically textured substrate with a high-mobility front transparent contacting layer. These technologies were also combined into a-Si:H/μc-Si:H/μc-Si:H triple-junction cells, and a world record stabilized efficiency of 13.6% was achieved.

  13. Spectral response of multi-element silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Ludewigt, B.A.; Rossington, C.S.; Chapman, K. [Univ. of California, Berkeley, CA (United States)

    1997-04-01

    Multi-element silicon strip detectors, in conjunction with integrated circuit pulse-processing electronics, offer an attractive alternative to conventional lithium-drifted silicon Si(Li) and high purity germanium detectors (HPGe) for high count rate, low noise synchrotron x-ray fluorescence applications. One of the major differences between the segmented Si detectors and the commercially available single-element Si(Li) or HPGe detectors is that hundreds of elements can be fabricated on a single Si substrate using standard silicon processing technologies. The segmentation of the detector substrate into many small elements results in very low noise performance at or near, room temperature, and the count rate of the detector is increased many-fold due to the multiplication in the total number of detectors. Traditionally, a single channel of detector with electronics can handle {approximately}100 kHz count rates while maintaining good energy resolution; the segmented detectors can operate at greater than MHz count rates merely due to the multiplication in the number of channels. One of the most critical aspects in the development of the segmented detectors is characterizing the charge sharing and charge loss that occur between the individual detector strips, and determining how these affect the spectral response of the detectors.

  14. A proposed mechanism for investigating the effect of porous silicon buffer layer on TiO{sub 2} nanorods growth

    Energy Technology Data Exchange (ETDEWEB)

    Rahmani, N. [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of); Dariani, R.S., E-mail: dariani@alzahra.ac.ir [Department of Physics, Alzahra University, Tehran, 1993893973 (Iran, Islamic Republic of); Rajabi, M. [Deparment of Advanced Materials and Renewable Energies, Iranian Research Organization for Science and Technology (IROST), Tehran 3353136846 (Iran, Islamic Republic of)

    2016-03-15

    Graphical abstract: - Highlights: • TiO{sub 2} nanorods (NRs) are synthesized on silicon and porous silicon (PS) substrates by hydrothermal method. • TiO{sub 2} NRs grown on PS substrates have a better growth compared to those grown on silicon. • Also increasing substrate porosity leads to an increase in density of the NRs. • We proposed a growth mechanism to explain how can control the local surface chemical potential. - Abstract: In this study, we have synthesized TiO{sub 2} nanorods (NRs) on silicon and porous silicon (PS) substrates by hydrothermal method. The PS substrates with different porosities were fabricated by electrochemical anodization on silicon. According to the field emission electron microscopy images, TiO{sub 2} NRs grown on PS substrates have a better growth compared to those grown on silicon. Also increasing substrate porosity leads to an increase in density of the NRs. Atomic force microscopy observation demonstrates that porous layer formation due to etching of silicon surface leads to an increase of its roughness. Results indicate surface roughness evolution with porosity increasing enhances TiO{sub 2} nucleation on substrate and thus increases TiO{sub 2} NRs density. We propose a growth mechanism to explain how we can control the local surface chemical potential and thus the nucleation and alignment of TiO{sub 2} NRs by surface roughness variation. Also, photoluminescence studies show a red-shift in band gap energy of NRs compared to that of common bulk TiO{sub 2}.

  15. Silicone metalization

    Energy Technology Data Exchange (ETDEWEB)

    Maghribi, Mariam N. (Livermore, CA); Krulevitch, Peter (Pleasanton, CA); Hamilton, Julie (Tracy, CA)

    2008-12-09

    A system for providing metal features on silicone comprising providing a silicone layer on a matrix and providing a metal layer on the silicone layer. An electronic apparatus can be produced by the system. The electronic apparatus comprises a silicone body and metal features on the silicone body that provide an electronic device.

  16. Burst annealing of electron damage in silicon solar cells

    International Nuclear Information System (INIS)

    Day, A.C.; Horne, W.E.; Thompson, M.A.; Lancaster, C.A.

    1985-01-01

    A study has been performed of burst annealing of electron damage in silicon solar cells. Three groups of cells consisting of 3 and 0.3 ohm-cm silicon were exposed to fluences of 2 x 10 to the 14th power, 4 x 10 to the 14th power, and 8 x 10 to the 14th power 1-MeV electrons/sq cm, respectively. They were subsequently subjected to 1-minute bursts of annealing at 500 C. The 3 ohm-cm cells showed complete recovery from each fluence level. The 0.3 ohm-cm cells showed complete recovery from the 2 x 10 to the 14th power e/sq cm fluence; however, some of the 0.3 ohm-cm cells did not recover completely from the higher influences. From an analysis of the results it is concluded that burst annealing of moderate to high resistivity silicon cell arrays in space is feasible and that with more complete understanding, even the potentially higher efficiency low resistivity cells may be usable in annealable arrays in space

  17. Quantitative analysis of complexes in electron irradiated CZ silicon

    International Nuclear Information System (INIS)

    Inoue, N.; Ohyama, H.; Goto, Y.; Sugiyama, T.

    2007-01-01

    Complexes in helium or electron irradiated silicon are quantitatively analyzed by highly sensitive and accurate infrared (IR) absorption spectroscopy. Carbon concentration (1x10 15 -1x10 17 cm -3 ) and helium dose (5x10 12 -5x10 13 cm -2 ) or electron dose (1x10 15 -1x10 17 cm -2 ) are changed by two orders of magnitude in relatively low regime compared to the previous works. It is demonstrated that the carbon-related complex in low carbon concentration silicon of commercial grade with low electron dose can be detected clearly. Concentration of these complexes is estimated. It is clarified that the complex configuration and thermal behavior in low carbon and low dose samples is simple and almost confined within the individual complex family compared to those in high concentration and high dose samples. Well-established complex behavior in electron-irradiated sample is compared to that in He-irradiated samples, obtained by deep level transient spectroscopy (DLTS) or cathodoluminescence (CL), which had close relation to the Si power device performance

  18. Photoluminescence studies on porous silicon/polymer heterostructure

    International Nuclear Information System (INIS)

    Mishra, J.K.; Bhunia, S.; Banerjee, S.; Banerji, P.

    2008-01-01

    Hybrid devices formed by filling porous silicon with MEH-PPV or poly [2-methoxy-5(2-ethylhexyloxy-p-phenylenevinylene)] have been investigated in this work. Analyses of the structures by scanning electron microscopy (SEM) demonstrated that the porous silicon layer was filled by the polymer with no significant change of the structures except that the polymer was infiltrated in the pores. The photoluminescence (PL) of the structures at 300 K showed that the emission intensity was very high as compared with that of the MEH-PPV films on different substrates such as crystalline silicon (c-Si) and indium tin oxide (ITO). The PL peak in the MEH-PPV/porous silicon composite structure is found to be shifted towards higher energy in comparison with porous silicon PL. A number of possibilities are discussed to explain the observations

  19. Catalyst free growth of CNTs by CVD on nanoscale rough surfaces of silicon substrates

    Science.gov (United States)

    Damodar, D.; Sahoo, R. K.; Jacob, C.

    2013-06-01

    Catalyst free growth of carbon nanotubes (CNT) has been achieved using atmospheric pressure chemical vapor deposition (APCVD) on surface modified Si(111) substrates. The effect of the substrate surface has been observed by partially etching with KOH (potassium hydroxide) solution which is an anisotropic etchant. Scanning electron microscopy (SEM) confirmed the formation of CNTs over most of the area of the substrate where substrates were anisotropically etched. Transmission electron microscopy (TEM) was used to observe the internal structure of the CNTs. Raman spectroscopy further confirmed the formation of the carbon nanostructures and also their graphitic crystallinity.

  20. The electronic structure of radial p-n junction silicon nanowires

    Science.gov (United States)

    Chiou, Shan-Haw; Grossman, Jeffrey

    2007-03-01

    Silicon nanowires with radial p-n junctions have recently been suggested for photovoltaic applications because incident light can be absorbed along the entire length of the wire, while photogenerated carriers only need to diffuse a maximum of one radius to reach the p-n junction. If the differential of the potential is larger than the binding energy of the electron-hole pair and has a range larger than the Bohr radius of electron-hole pair, then the charge separation mechanism will be similar to traditional silicon solar cells. However, in the small-diameter limit, where quantum confinement effects are prominent, both the exciton binding energy and the potential drop will increase, and the p-n junction itself may have a dramatically different character. We present ab initio calculations based on the generalized gradient approximation (GGA) of silicon nanowires with 2-3 nm diameter in the [111] growth direction. A radial p-n junction was formed by symmetrically doping boron and phosphorous at the same vertical level along the axis of the nanowire. The competition between the slope and character of the radial electronic potential and the exciton binding energy will presented in the context of a charge separation mechanism.

  1. Effective antireflection properties of porous silicon nanowires for photovoltaic applications

    KAUST Repository

    Najar, Adel; Al-Jabr, Ahmad; Alsunaidi, Mohammad; Anjum, Dalaver H.; Ng, Tien Khee; Ooi, Boon S.; Ben Slimane, Ahmed; Sougrat, Rachid

    2013-01-01

    Porous silicon nanowires (PSiNWs) have been prepared by metal-assisted chemical etching method on the n-Si substrate. The presence of nano-pores with pore size ranging between 10-50nm in SiNWs was confirmed by electron tomography (ET

  2. Silicon Alloying On Aluminium Based Alloy Surface

    International Nuclear Information System (INIS)

    Suryanto

    2002-01-01

    Silicon alloying on surface of aluminium based alloy was carried out using electron beam. This is performed in order to enhance tribological properties of the alloy. Silicon is considered most important alloying element in aluminium alloy, particularly for tribological components. Prior to silicon alloying. aluminium substrate were painted with binder and silicon powder and dried in a furnace. Silicon alloying were carried out in a vacuum chamber. The Silicon alloyed materials were assessed using some techniques. The results show that silicon alloying formed a composite metal-non metal system in which silicon particles are dispersed in the alloyed layer. Silicon content in the alloyed layer is about 40% while in other place is only 10.5 %. The hardness of layer changes significantly. The wear properties of the alloying alloys increase. Silicon surface alloying also reduced the coefficient of friction for sliding against a hardened steel counter face, which could otherwise be higher because of the strong adhesion of aluminium to steel. The hardness of the silicon surface alloyed material dropped when it underwent a heating cycle similar to the ion coating process. Hence, silicon alloying is not a suitable choice for use as an intermediate layer for duplex treatment

  3. Optical and electrical characteristics of zirconium oxide thin films deposited on silicon substrates by spray pyrolysis

    International Nuclear Information System (INIS)

    Aguilar-Frutis, M.; Araiza, J.J.; Falcony, C.; Garcia, M.

    2002-01-01

    The optical and electrical characteristics of zirconium oxide thin films deposited by spray pyrolysis on silicon substrates are reported. The films were deposited from a spraying solution of zirconium acetylacetonate in N,N-dimethylformamide using an ultrasonic mist generator on (100) Si substrates. The substrate temperature during deposition was in the range of 400 to 600 grad C. Deposition rates up to 16 A/sec were obtained depending on the spraying solution concentration and on the substrate temperature. A refraction index of the order of 2.0 was measured on these films by ellipsometry. The electrical characteristics of the films were determined from the capacitance and current versus voltage measurements. The addition of water mist during the spraying deposition process was also studied in the characteristics of the films. (Authors)

  4. Electron spin resonance signal from a tetra-interstitial defect in silicon

    CERN Document Server

    Mchedlidze, T

    2003-01-01

    The Si-B3 electron spin resonance (ESR) signal from agglomerates of self-interstitials was detected for the first time in hydrogen-doped float-zone-grown silicon samples subjected to annealing after electron irradiation. Previously this signal had been detected only in neutron- or proton-irradiated silicon samples. The absence of obscuring ESR peaks for the investigated samples at applied measurement conditions allowed an investigation of the hyperfine structure of the Si-B3 spectra. The analysis supports assignment of a tetra-interstitial defect as the origin of the signal.

  5. The potential for the fabrication of wires embedded in the crystalline silicon substrate using the solid phase segregation of gold in crystallising amorphous volumes

    International Nuclear Information System (INIS)

    Liu, A.C.Y.; McCallum, J.C.

    2004-01-01

    The refinement of gold in crystallising amorphous silicon volumes was tested as a means of creating a conducting element embedded in the crystalline matrix. Amorphous silicon volumes were created by self-ion-implantation through a mask. Five hundred kiloelectronvolt Au + was then implanted into the volumes. The amorphous volumes were crystallised on a hot stage in air, and the crystallisation was characterised using cross sectional transmission electron microscopy. It was found that the amorphous silicon volumes crystallised via solid phase epitaxy at all the lateral and vertical interfaces. The interplay of the effects of the gold and also the hydrogen that infilitrated from the surface oxide resulted in a plug of amorphous material at the surface. Further annealing at this temperature demonstrated that the gold, once it had reached a certain critical concentration nucleated poly-crystalline growth instead of solid phase epitaxy. Time resolved reflectivity and Rutherford backscattering and channeling measurements were performed on large area samples that had been subject to the same implantation regime to investigate this system further. It was discovered that the crystallisation dynamics and zone refinement of the gold were complicated functions of both gold concentration and temperature. These findings do not encourage the use of this method to obtain conducting elements embedded in the crystalline silicon substrate

  6. Subsurface oxidation for micropatterning silicon (SOMS).

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Davis, Robert C; Linford, Matthew R

    2009-02-03

    Here we present a straightforward patterning technique for silicon: subsurface oxidation for micropatterning silicon (SOMS). In this method, a stencil mask is placed above a silicon surface. Radio-frequency plasma oxidation of the substrate creates a pattern of thicker oxide in the exposed regions. Etching with HF or KOH produces very shallow or much higher aspect ratio features on silicon, respectively, where patterning is confirmed by atomic force microscopy, scanning electron microscopy, and optical microscopy. The oxidation process itself is studied under a variety of reaction conditions, including higher and lower oxygen pressures (2 and 0.5 Torr), a variety of powers (50-400 W), different times and as a function of reagent purity (99.5 or 99.994% oxygen). SOMS can be easily executed in any normal chemistry laboratory with a plasma generator. Because of its simplicity, it may have industrial viability.

  7. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.; Rojas, Jhonathan Prieto; Ahmed, Sally; Hussain, Aftab M.; Inayat, Salman Bin; Hussain, Muhammad Mustafa

    2013-01-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  8. Silicon fabric for multi-functional applications

    KAUST Repository

    Sevilla, Galo T.

    2013-06-01

    This paper reports a generic process flow to fabricate mechanically flexible and optically semi-transparent thermoelectric generators (TEGs), micro lithium-ion batteries (μLIB) and metal-oxide-semiconductor capacitors (MOSCAPs) on mono-crystalline silicon fabric platforms from standard bulk silicon (100) wafers. All the fabricated devices show outstanding mechanical flexibility and performance, making an important step towards monolithic integration of Energy Chip (self-powered devices) including energy harvesters and electronic devices on flexible platforms. We also report a recyclability process for the remaining bulk substrate after release, allowing us to achieve a low cost flexible platform for high performance applications. © 2013 IEEE.

  9. Three-dimensionally structured silicon as a substrate for the MOVPE growth of GaN nanoLEDs

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Li, Shunfeng; Soekmen, Uensal; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2009-06-15

    Three-dimensionally patterned Si(111) substrates are used to grow GaN based heterostructures by metalorganic vapour phase epitaxy, with the goal of fabricating well controlled, defect reduced GaN-based nanoLEDs. In contrast to other approaches to achieve GaN nanorods, we employed silicon substrates with deep etched nanopillars to control the GaN nanorods growth by varying the size and distance of the Si pillars. The small footprint of GaN nanorods grown on Si pillars minimise the influence of the lattice mismatched substrate and improve the material quality. For the Si pillars an inductively coupled plasma dry-etching process at cryogenic temperature has been developed. An InGaN/GaN multi quantum well (MQW) structure has been incorporated into the GaN nanorods. We found GaN nanostructures grown on top of the silicon pillars with a pyramidal shape. This shape results from a competitive growth on different facets as well as from surface diffusion of the growth species. Spatially resolved optical properties of the structures are analysed by cathodoluminescence. Strongly spatial-dependent MQW emission spectra indicate the growth rate differences on top of the rods. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Rapid thermal process by RF heating of nano-graphene layer/silicon substrate structure: Heat explosion theory approach

    Science.gov (United States)

    Sinder, M.; Pelleg, J.; Meerovich, V.; Sokolovsky, V.

    2018-03-01

    RF heating kinetics of a nano-graphene layer/silicon substrate structure is analyzed theoretically as a function of the thickness and sheet resistance of the graphene layer, the dimensions and thermal parameters of the structure, as well as of cooling conditions and of the amplitude and frequency of the applied RF magnetic field. It is shown that two regimes of the heating can be realized. The first one is characterized by heating of the structure up to a finite temperature determined by equilibrium between the dissipated loss power caused by induced eddy-currents and the heat transfer to environment. The second regime corresponds to a fast unlimited temperature increase (heat explosion). The criterions of realization of these regimes are presented in the analytical form. Using the criterions and literature data, it is shown the possibility of the heat explosion regime for a graphene layer/silicon substrate structure at RF heating.

  11. Electron spin resonance and spin-valley physics in a silicon double quantum dot.

    Science.gov (United States)

    Hao, Xiaojie; Ruskov, Rusko; Xiao, Ming; Tahan, Charles; Jiang, HongWen

    2014-05-14

    Silicon quantum dots are a leading approach for solid-state quantum bits. However, developing this technology is complicated by the multi-valley nature of silicon. Here we observe transport of individual electrons in a silicon CMOS-based double quantum dot under electron spin resonance. An anticrossing of the driven dot energy levels is observed when the Zeeman and valley splittings coincide. A detected anticrossing splitting of 60 MHz is interpreted as a direct measure of spin and valley mixing, facilitated by spin-orbit interaction in the presence of non-ideal interfaces. A lower bound of spin dephasing time of 63 ns is extracted. We also describe a possible experimental evidence of an unconventional spin-valley blockade, despite the assumption of non-ideal interfaces. This understanding of silicon spin-valley physics should enable better control and read-out techniques for the spin qubits in an all CMOS silicon approach.

  12. Solid-state Memory on Flexible Silicon for Future Electronic Applications

    KAUST Repository

    Ghoneim, Mohamed

    2016-11-01

    Advancements in electronics research triggered a vision of a more connected world, touching new unprecedented fields to improve the quality of our lives. This vision has been fueled by electronic giants showcasing flexible displays for the first time in consumer electronics symposiums. Since then, the scientific and research communities partook on exploring possibilities for making flexible electronics. Decades of research have revealed many routes to flexible electronics, lots of opportunities and challenges. In this work, we focus on our contributions towards realizing a complimentary approach to flexible inorganic high performance electronic memories on silicon. This approach provides a straight forward method for capitalizing on the existing well-established semiconductor infrastructure, standard processes and procedures, and collective knowledge. Ultimately, we focus on understanding the reliability and functionality anomalies in flexible electronics and flexible solid state memory built using the flexible silicon platform. The results of the presented studies show that: (i) flexible devices fabricated using etch-protect-release approach (with trenches included in the active area) exhibit ~19% lower safe operating voltage compared to their bulk counterparts, (ii) they can withstand prolonged bending duration (static stress) but are prone to failure under dynamic stress as in repeated bending and re-flattening, (iii) flexible 3D FinFETs exhibit ~10% variation in key properties when exposed to out-of-plane bending stress and out-of-plane stress does not resemble the well-studied in-plane stress used in strain engineering, (iv) resistive memories can be achieved on flexible silicon and their basic resistive property is preserved but other memory functionalities (retention, endurance, speed, memory window) requires further investigations, (v) flexible silicon based PZT ferroelectric capacitors exhibit record polarization, capacitance, and endurance (1 billion

  13. Nickel-induced crystallization of amorphous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, J A; Arce, R D; Buitrago, R H [INTEC (CONICET-UNL), Gueemes 3450, S3000GLN Santa Fe (Argentina); Budini, N; Rinaldi, P, E-mail: jschmidt@intec.unl.edu.a [FIQ - UNL, Santiago del Estero 2829, S3000AOM Santa Fe (Argentina)

    2009-05-01

    The nickel-induced crystallization of hydrogenated amorphous silicon (a-Si:H) is used to obtain large grained polycrystalline silicon thin films on glass substrates. a-Si:H is deposited by plasma enhanced chemical vapour deposition at 200 deg. C, preparing intrinsic and slightly p-doped samples. Each sample was divided in several pieces, over which increasing Ni concentrations were sputtered. Two crystallization methods are compared, conventional furnace annealing (CFA) and rapid thermal annealing (RTA). The crystallization was followed by optical microscopy and scanning electron microscopy observations, X-ray diffraction, and reflectance measurements in the UV region. The large grain sizes obtained - larger than 100{mu}m for the samples crystallized by CFA - are very encouraging for the preparation of low-cost thin film polycrystalline silicon solar cells.

  14. Micro knife-edge optical measurement device in a silicon-on-insulator substrate.

    Science.gov (United States)

    Chiu, Yi; Pan, Jiun-Hung

    2007-05-14

    The knife-edge method is a commonly used technique to characterize the optical profiles of laser beams or focused spots. In this paper, we present a micro knife-edge scanner fabricated in a silicon-on-insulator substrate using the micro-electromechanical-system technology. A photo detector can be fabricated in the device to allow further integration with on-chip signal conditioning circuitry. A novel backside deep reactive ion etching process is proposed to solve the residual stress effect due to the buried oxide layer. Focused optical spot profile measurement is demonstrated.

  15. Silicon Photo-Multiplier Radiation Hardness Tests with a White Neutron Beam

    International Nuclear Information System (INIS)

    Montanari, A.; Tosi, N.; Pietropaolo, A.; Andreotti, M.; Baldini, W.; Calabrese, R.; Cibinetto, G.; Luppi, E.; Cotta Ramusino, A.; Malaguti, R.; Santoro, V.; Tellarini, G.; Tomassetti, L.; De Donato, C.; Reali, E.

    2013-06-01

    We report radiation hardness tests performed, with a white neutron beam, at the Geel Electron Linear Accelerator in Belgium on silicon Photo-Multipliers. These are semiconductor photon detectors made of a square matrix of Geiger-Mode Avalanche photo-diodes on a silicon substrate. Several samples from different manufacturers have been irradiated integrating up to about 6.2 x 10 9 1-MeV-equivalent neutrons per cm 2 . (authors)

  16. Design and fabrication of non silicon substrate based MEMS energy harvester for arbitrary surface applications

    Science.gov (United States)

    Balpande, Suresh S.; Pande, Rajesh S.

    2016-04-01

    Internet of Things (IoT) uses MEMS sensor nodes and actuators to sense and control objects through Internet. IOT deploys millions of chemical battery driven sensors at different locations which are not reliable many times because of frequent requirement of charging & battery replacement in case of underground laying, placement at harsh environmental conditions, huge count and difference between demand (24 % per year) and availability (energy density growing rate 8% per year). Energy harvester fabricated on silicon wafers have been widely used in manufacturing MEMS structures. These devices require complex fabrication processes, costly chemicals & clean room. In addition to this silicon wafer based devices are not suitable for curved surfaces like pipes, human bodies, organisms, or other arbitrary surface like clothes, structure surfaces which does not have flat and smooth surface always. Therefore, devices based on rigid silicon wafers are not suitable for these applications. Flexible structures are the key solution for this problems. Energy transduction mechanism generates power from free surrounding vibrations or impact. Sensor nodes application has been purposefully selected due to discrete power requirement at low duty cycle. Such nodes require an average power budget in the range of about 0.1 microwatt to 1 mW over a period of 3-5 seconds. Energy harvester is the best alternate source in contrast with battery for sensor node application. Novel design of Energy Harvester based on cheapest flexible non silicon substrate i.e. cellulose acetate substrate have been modeled, simulated and analyzed on COMSOL multiphysics and fabricated using sol-gel spin coating setup. Single cantilever based harvester generates 60-75 mV peak electric potential at 22Hz frequency and approximately 22 µW power at 1K-Ohm load. Cantilever array can be employed for generating higher voltage by replicating this structure. This work covers design, optimization, fabrication of harvester and

  17. The design and investigation of hybrid ferromagnetic/silicon spin electronic devices

    International Nuclear Information System (INIS)

    Pugh, D.I.

    2001-01-01

    The focus of this study concerns the design and investigation of ferromagnetic/silicon hybrid spin electronic devices as part of a wider project to design a novel spin valve transistor. The key issue to obtain a room temperature spin electronic device is the electrical injection of a spin polarised current from a ferromagnetic contact into a semiconductor. Despite many attempts concentrating on GaAs and InAs only small (< 1%) effects have been observed, making it difficult to confirm spin injection. Lateral devices were designed and fabricated using standard device fabrication procedures to produce arrays of Co/Si/So junctions. Subsequent designs aimed to reduce the number of junctions and improve device isolation. Evidence for spin dependent MR of up to 0.56% was observed in Co/p-Si/Co junctions with silicon gaps up to 16 μm in length. The maximum MR was observed when the first Co/Si Schottky barrier was reverse biased forming a high resistance interface. Vertical devices were designed in an attempt to eliminate any alternative current paths by using a well defined, 1 μm thick silicon membrane. Despite attempts to include oxide barriers, no spin dependent MR was observed in these devices. However, a novel vertical silicon based design has been made which should facilitate further advanced studies of spin injection and transport. The spin diffusion length in n-type silicon has been calculated as a function of doping concentration and temperature by considering the spin relaxation mechanisms in the semiconductor. Discussion has been made concerning p-type silicon and comparisons made with GaAs, indicating that n-Si should show longer spin diffusion lengths. The key design criteria for designing room temperature spin electronic devices have been highlighted. These include the use of a high leakage Schottky barrier or tunnel barrier between the ferromagnet and p-Si and a contact to the silicon to enable appropriate biasing to each FM/Si interface. (author)

  18. A physically transient form of silicon electronics.

    Science.gov (United States)

    Hwang, Suk-Won; Tao, Hu; Kim, Dae-Hyeong; Cheng, Huanyu; Song, Jun-Kyul; Rill, Elliott; Brenckle, Mark A; Panilaitis, Bruce; Won, Sang Min; Kim, Yun-Soung; Song, Young Min; Yu, Ki Jun; Ameen, Abid; Li, Rui; Su, Yewang; Yang, Miaomiao; Kaplan, David L; Zakin, Mitchell R; Slepian, Marvin J; Huang, Yonggang; Omenetto, Fiorenzo G; Rogers, John A

    2012-09-28

    A remarkable feature of modern silicon electronics is its ability to remain physically invariant, almost indefinitely for practical purposes. Although this characteristic is a hallmark of applications of integrated circuits that exist today, there might be opportunities for systems that offer the opposite behavior, such as implantable devices that function for medically useful time frames but then completely disappear via resorption by the body. We report a set of materials, manufacturing schemes, device components, and theoretical design tools for a silicon-based complementary metal oxide semiconductor (CMOS) technology that has this type of transient behavior, together with integrated sensors, actuators, power supply systems, and wireless control strategies. An implantable transient device that acts as a programmable nonantibiotic bacteriocide provides a system-level example.

  19. Control of single-electron charging of metallic nanoparticles onto amorphous silicon surface.

    Science.gov (United States)

    Weis, Martin; Gmucová, Katarína; Nádazdy, Vojtech; Capek, Ignác; Satka, Alexander; Kopáni, Martin; Cirák, Július; Majková, Eva

    2008-11-01

    Sequential single-electron charging of iron oxide nanoparticles encapsulated in oleic acid/oleyl amine envelope and deposited by the Langmuir-Blodgett technique onto Pt electrode covered with undoped hydrogenated amorphous silicon film is reported. Single-electron charging (so-called quantized double-layer charging) of nanoparticles is detected by cyclic voltammetry as current peaks and the charging effect can be switched on/off by the electric field in the surface region induced by the excess of negative/positive charged defect states in the amorphous silicon layer. The particular charge states in amorphous silicon are created by the simultaneous application of a suitable bias voltage and illumination before the measurement. The influence of charged states on the electric field in the surface region is evaluated by the finite element method. The single-electron charging is analyzed by the standard quantized double layer model as well as two weak-link junctions model. Both approaches are in accordance with experiment and confirm single-electron charging by tunnelling process at room temperature. This experiment illustrates the possibility of the creation of a voltage-controlled capacitor for nanotechnology.

  20. Substrate-bias effect on the breakdown characteristic in a new silicon high-voltage device structure

    International Nuclear Information System (INIS)

    Li Qi; Wang Weidong; Zhao Qiuming; Wei Xueming

    2012-01-01

    A novel silicon double-RESURF LDMOS structure with an improved breakdown characteristic by substrate bias technology (SB) is reported. The P-type epitaxial layer is embedded between an N-type drift region and an N-type substrate to block the conduction path in the off-state and change the distributions of the bulk electric field. The substrate bias strengthens the charge share effect of the drift region near the source, and the vertical electric field peak under the drain is decreased, which is especially helpful in improving the vertical breakdown voltage in a lateral power device with a thin drift region. The numerical results by MEDICI indicate that the breakdown voltage of the proposed device is increased by 97% compared with a conventional LDMOS, while maintaining a lowon-resistance. (semiconductor devices)

  1. Silicon-substituted hydroxyapatite coating with Si content on the nanotube-formed Ti–Nb–Zr alloy using electron beam-physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Yong-Hoon [Division of Restorative, Prosthetic and Primary Care Dentistry, College of Dentistry, The Ohio State University, 305 W. 12th Ave., Columbus, OH (United States); Department of Dental Materials, Research Center of Nano-Interface Activation for Biomaterials, and Research Center for Oral Disease Regulation of the Aged, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Choe, Han-Cheol, E-mail: hcchoe@chosun.ac.kr [Department of Dental Materials, Research Center of Nano-Interface Activation for Biomaterials, and Research Center for Oral Disease Regulation of the Aged, School of Dentistry, Chosun University, Gwangju (Korea, Republic of); Brantley, William A. [Division of Restorative, Prosthetic and Primary Care Dentistry, College of Dentistry, The Ohio State University, 305 W. 12th Ave., Columbus, OH (United States)

    2013-11-01

    The purpose of this study was to investigate the electrochemical characteristics of silicon-substituted hydroxyapatite coatings on the nanotube-formed Ti–35Nb–10Zr alloy. The silicon-substituted hydroxyapatite (Si–HA) coatings on the nanotube structure were deposited by electron beam-physical vapor deposition and anodization methods, and biodegradation properties were analyzed by potentiodynamic polarization and electrochemical impedance spectroscopy measurement. The surface characteristics were analyzed by field-emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray diffraction (XRD). The Si–HA layers were deposited with rough features having highly ordered nanotube structures on the titanium alloy substrate. The thickness of the Si–HA coating was less than that of the HA coating. The XRD results confirmed that the Si–HA coating on the nanotube structure consisted of TiO{sub 2} anatase, TiO{sub 2} rutile, hydroxyapatite, and calcium phosphate silicate. The Si–HA coating surface exhibited lower I{sub corr} than the HA coating, and the polarization resistance was increased by substitution of silicon in hydroxyapatite. - Highlights: • Silicon substituted hydroxyapatite (Si–HA) was coated on nanotubular titanium alloy. • The Si–HA coating thickness was less than single hydroxyapatite (HA) coating. • Si–HA coatings consisted of TiO{sub 2}, HA, and Ca{sub 5}(PO{sub 4}){sub 2}SiO{sub 4}. • Polarization resistance of the coating was increased by Si substitution in HA.

  2. Secondary electron emission in nanostructured porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ruano, G D; Ferron, J; Koropecki, R R, E-mail: gdruano@ceride.gov.a [INTEC-UNL-CONICET, Gueemes 3450 - 3000 Santa Fe (Argentina)

    2009-05-01

    We studied the reversible reduction induced by ion bombardment of the secondary electron emission (SEE) yield. This effect has been modelled as due to changes in dynamically sustained dipoles related with ions and electrons penetration ranges. Such charge configuration precludes the escape of electrons from the nanoporous silicon, making the SEE dependent on the flux of impinging ions. Since this dipolar momentum depends on the electric conduction of the porous medium, by controlled oxidation of the nanoporous structure we change the conduction features of the sample, studying the impact on the SEE reduction effect. Li ion bombardment was also used with the intention of changing the parameters determining the effect. FT-IR and Auger electron spectroscopy were used to characterize the oxidation degree of the samples at different depth scales

  3. An investigation of the adhesion of gold contacts on silicon detectors of nuclear radiation as a function of the substrate temperature

    International Nuclear Information System (INIS)

    Gumnerova, L.; Mikhajlov, M.

    1981-01-01

    The dependence of the adhesion of a thin gold film to an etched single crystal silicon substrate temperature and duration of aging is investigated. N-type silicon samples of 3Ω/m specific resistivity and 0.002 m thick are used. These samples are lapped by a series of abrasive powders with a grain diameter of 40 μm to 7 μm and etched by a 1:3:0.5 (HF:HNO 3 :CH 3 COOH) etching agent. The principal schemes of the evaporation equipment and the adhesion testing device are presented. Gold contacts are deposited at substrate temperature ranging from room temperature up to 433 K. The obtained gold films on the silicon substrates are tested and the results are given. It is seen that the adhesion of the gold film to the sample heated up to 373 K is about 50 times higher than the adhesion of the fresh unheated sample. The comparison between samples subjected to aging shows that the adhesion of heated samples is about 10 times higher and does not change essentially after ageing. Some possible explanations of this phenomena are given

  4. Advanced organics for electronic substrates and packages

    CERN Document Server

    Fletcher, Andrew E

    1992-01-01

    Advanced Organics for Electronic Substrates and Packages provides information on packaging, which is one of the most technologically intensive activities in the electronics industry. The electronics packaging community has realized that while semiconductor devices continue to be improved upon for performance, cost, and reliability, it is the interconnection or packaging of these devices that will limit the performance of the systems. Technology must develop packaging for transistor chips, with high levels of performance and integration providing cooling, power, and interconnection, and yet pre

  5. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    Science.gov (United States)

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  6. Adhesion energies of 2D graphene and MoS{sub 2} to silicon and metal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Torres, Jorge; Liu, Pei; Yun, Minhee [Department of Electrical and Computer Engineering, University of Pittsburgh, Pittsburgh, PA (United States); Zhu, Yisi [Materials Science Division, Argonne National Lab, Lemont, IL (United States); Lim, Seong Chu [Department of Energy Science, Sungkyunkwan University (SKKU), Suwon (Korea, Republic of); Center for Integrated Nanostructure Physics, Institute for Basic Science (IBS), Suwon (Korea, Republic of)

    2018-01-15

    In this paper, results for the adhesion energy of graphene and MoS{sub 2} to silicon based and metal substrates using the intercalation of nanoparticles method are presented. In this method, nanoparticles are dispersed onto the substrates before transferring the 2D material onto the substrate. This causes a blister to form, the width and height of which can be measured by AFM. Using a simple model then allows for the adhesion energy to be found. The substrates tested are SiO{sub 2}, Si{sub 3}N{sub 4}, gold, and platinum. Gold is found to have the highest adhesion energy per area of 7687.10 and 1207.26 mJ m{sup -2} for graphene and MoS{sub 2} respectively. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Active pixel sensor array as a detector for electron microscopy.

    Science.gov (United States)

    Milazzo, Anna-Clare; Leblanc, Philippe; Duttweiler, Fred; Jin, Liang; Bouwer, James C; Peltier, Steve; Ellisman, Mark; Bieser, Fred; Matis, Howard S; Wieman, Howard; Denes, Peter; Kleinfelder, Stuart; Xuong, Nguyen-Huu

    2005-09-01

    A new high-resolution recording device for transmission electron microscopy (TEM) is urgently needed. Neither film nor CCD cameras are systems that allow for efficient 3-D high-resolution particle reconstruction. We tested an active pixel sensor (APS) array as a replacement device at 200, 300, and 400 keV using a JEOL JEM-2000 FX II and a JEM-4000 EX electron microscope. For this experiment, we used an APS prototype with an area of 64 x 64 pixels of 20 microm x 20 microm pixel pitch. Single-electron events were measured by using very low beam intensity. The histogram of the incident electron energy deposited in the sensor shows a Landau distribution at low energies, as well as unexpected events at higher absorbed energies. After careful study, we concluded that backscattering in the silicon substrate and re-entering the sensitive epitaxial layer a second time with much lower speed caused the unexpected events. Exhaustive simulation experiments confirmed the existence of these back-scattered electrons. For the APS to be usable, the back-scattered electron events must be eliminated, perhaps by thinning the substrate to less than 30 microm. By using experimental data taken with an APS chip with a standard silicon substrate (300 microm) and adjusting the results to take into account the effect of a thinned silicon substrate (30 microm), we found an estimate of the signal-to-noise ratio for a back-thinned detector in the energy range of 200-400 keV was about 10:1 and an estimate for the spatial resolution was about 10 microm.

  8. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  9. Nanoscale contacts to organic molecules based on layered semiconductor substrates

    Energy Technology Data Exchange (ETDEWEB)

    Strobel, Sebastian

    2009-06-15

    This work reports on the integration of organic molecules as nanoelectronic device units on semiconductor substrates. Two novel preparation methods for sub-10-nm separated metal electrodes are presented using current microelectronics process technology. The first method utilises AlGaAs/GaAs heterostructures grown by molecular beam epitaxy (MBE) as mold to create planar metal electrodes employing a newly developed, high resolution nanotransfer printing (nTP) process. The second method uses commercially available Silicon-on-Insulator (SOI) substrates as base material for the fabrication of nanogap electrode devices. This sandwich-like material stack consists of a silicon substrate, a thin silicon oxide layer, and a capping silicon layer on top. Electronic transport measurements verified their excellent electrical properties at liquid helium temperatures. Specifically tailored nanogap devices featured an electrode insulation in the GW range even up to room temperature as well as within aqueous electrolyte solution. Finally, the well defined layer architecture facilitated the fabrication of electrodes with gap separations below-10-nm to be directly bridged by molecules. Approximately 12-nm-long conjugated molecules with extended -electron system were assembled onto the devices from solution. A large conductance gap was observed with a steep increase in current at a bias voltage of V{sub T}{approx}{+-}1.5 V. Theoretical calculations based on density functional theory and non-equilibrium Green's function formalism confirmed the measured non-linear IV-characteristics qualitatively and lead to the conclusion that the conductance gap mainly originates from the oxygen containing linker. Temperature dependent investigations of the conductance indicated a hopping charge transport mechanism through the central part of the molecule for bias voltages near but below V{sub T}. (orig.)

  10. The effect of baking conditions on the effective contact areas of screen-printed silver layer on silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Tietun Sun; Jianmin Miao; Rongming Lin; Yongqing Fu [Nanyang Technological Univ., Micromachines Lab., Singapore (Singapore)

    2005-01-01

    In this paper, Ag-based paste was screen-printed on polished as well as on textured p-type (100) single crystalline silicon wafers. Three types of baking processes were studied: the tube furnace, the belt furnace and the hot plate baking. The effective contact areas of Ag/Si system were measured with a novel method, namely metal insulator semiconductor structure measurement. The results show that after baking on the hot plate at 400 deg C for 5 min, the size and number of pores in the Ag film layer as well as at the interface between silver layer and silicon decreases significantly, the effective contact area also increases about 20%, particularly on the textured silicon substrate. (Author)

  11. The effect of baking conditions on the effective contact areas of screen-printed silver layer on silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Tietun; Miao, Jianmin; Lin, Rongming; Fu, Yongqing [Micromachines Laboratory, School of Mechanical and Production Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2005-01-01

    In this paper, Ag-based paste was screen-printed on the polished as well as on the textured p-type (100) single crystalline silicon wafers. Three types of baking processes were studied: the tube furnace, the belt furnace and the hot plate baking. The effective contact areas of Ag/Si system were measured with a novel method, namely metal insulator semiconductor structure measurement. The results show that after baking on the hot plate at 400{sup o}C for 5min, the size and number of pores in the Ag film layer as well as at the interface between silver layer and silicon decreases significantly, the effective contact area also increases about 20%, particularly on the textured silicon substrate.

  12. Simultaneous electron-proton irradiation of crucible grown and float-zone silicon solar cells

    International Nuclear Information System (INIS)

    Bernard, J.

    1974-01-01

    The realisation of an irradiation chamber which permits simultaneous irradiations by electrons, protons, photons and in-situ measurements of solar cells main parameters (diffusion length, I.V. characteristics) is described. Results obtained on 20 solar cells n/p 10Ωcm made in silicon pulled crystals and 20 solar cells n/p 10Ωcm made in silicon float-zone simultaneously irradiated with electrons and photons are given [fr

  13. Effect of annealing temperature on optical and electrical properties of metallophthalocyanine thin films deposited on silicon substrate

    Directory of Open Access Journals (Sweden)

    Skonieczny R.

    2016-09-01

    Full Text Available The cobalt phthalocyanine (CoPc thin films (300 nm thick deposited on n-type silicon substrate have been studied using micro-Raman spectroscopy, atomic force spectroscopy (AFM and I-V measurement. The CoPc thin layers have been deposited at room temperature by the quasi-molecular beam evaporation technique. The micro-Raman spectra of CoPc thin films have been recorded in the spectral range of 1000 cm-1 to 1900 cm-1 using 488 nm excitation wavelength. Moreover, using surface Raman mapping it was possible to obtain information about polymorphic forms distribution (before and after annealing of metallophthalocyanine (α and β form from polarized Raman spectra. The I-V characteristics of the Au/CoPc/n-Si/Al Schottky barrier were also investigated. The obtained results showed that influence of the annealing process plays a crucial role in the ordering and electrical conductivity of the molecular structure of CoPc thin films deposited on n-type silicon substrate.

  14. Dissolution chemistry and biocompatibility of silicon- and germanium-based semiconductors for transient electronics.

    Science.gov (United States)

    Kang, Seung-Kyun; Park, Gayoung; Kim, Kyungmin; Hwang, Suk-Won; Cheng, Huanyu; Shin, Jiho; Chung, Sangjin; Kim, Minjin; Yin, Lan; Lee, Jeong Chul; Lee, Kyung-Mi; Rogers, John A

    2015-05-06

    Semiconducting materials are central to the development of high-performance electronics that are capable of dissolving completely when immersed in aqueous solutions, groundwater, or biofluids, for applications in temporary biomedical implants, environmentally degradable sensors, and other systems. The results reported here include comprehensive studies of the dissolution by hydrolysis of polycrystalline silicon, amorphous silicon, silicon-germanium, and germanium in aqueous solutions of various pH values and temperatures. In vitro cellular toxicity evaluations demonstrate the biocompatibility of the materials and end products of dissolution, thereby supporting their potential for use in biodegradable electronics. A fully dissolvable thin-film solar cell illustrates the ability to integrate these semiconductors into functional systems.

  15. Low Temperature (180°C Growth of Smooth Surface Germanium Epilayers on Silicon Substrates Using Electron Cyclotron Resonance Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Teng-Hsiang Chang

    2014-01-01

    Full Text Available This paper describes a new method to grow thin germanium (Ge epilayers (40 nm on c-Si substrates at a low growth temperature of 180°C using electron cyclotron resonance chemical vapor deposition (ECR-CVD process. The full width at half maximum (FWHM of the Ge (004 in X-ray diffraction pattern and the compressive stain in a Ge epilayer of 683 arcsec and 0.12% can be achieved. Moreover, the Ge/Si interface is observed by transmission electron microscopy to demonstrate the epitaxial growth of Ge on Si and the surface roughness is 0.342 nm. The thin-thickness and smooth surface of Ge epilayer grown on Si in this study is suitable to be a virtual substrate for developing the low cost and high efficiency III-V/Si tandem solar cells in our opinion. Furthermore, the low temperature process can not only decrease costs but can also reduce the restriction of high temperature processes on device manufacturing.

  16. Electron and photon-beam induced reactions of adsorbed disilane: Low-temperature thin-film growth

    International Nuclear Information System (INIS)

    Bozso, F.; Avouris, Ph.

    1991-01-01

    Electrons and photons of sufficient energy can cause fragmentation and desorption of adsorbed molecules or fragments of them, by inducing electronic excitations to dissociative states. The surface species after such excitations are mostly of highly reactive radical character, which readily react with the substrate and with other molecular or radical species in the adsorbed layer. This paper discusses the adsorption, thermal and electron/photon-beam induced reactions of disilane, oxygen and ammonia on Si(111)-7x7, and the electron/photon-induced growth of silicon, silicon dioxide and silicon nitride films at 100K

  17. Structural investigation of ZnO:Al films deposited on the Si substrates by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chen, Y.Y.; Yang, J.R.; Cheng, S.L.; Shiojiri, M.

    2013-01-01

    ZnO:Al films 400 nm thick were prepared on (100) Si substrates by magnetron sputtering. Energy dispersive X-ray spectroscopy and transmission electron microscopy (TEM) revealed that in the initial stage of the deposition, an amorphous silicon oxide layer about 4 nm thick formed from damage to the Si substrate due to sputtered particle bombardment and the incorporation of Si atoms with oxygen. Subsequently, a crystalline Si (Zn) layer about 30 nm thick grew on the silicon oxide layer by co-deposition of Si atoms sputtered away from the substrate with Zn atoms from the target. Finally, a ZnO:Al film with columnar grains was deposited on the Si (Zn) layer. The sputtered particle bombardment greatly influenced the structure of the object films. The (0001) lattice fringes of the ZnO:Al film were observed in high-resolution TEM images, and the forbidden 0001 reflection spots in electron diffraction patterns were attributed to double diffraction. Therefore, the appearance of the forbidden reflection did not imply any ordering of Al atoms and/or O vacancies in the ZnO:Al film. - Highlights: • ZnO:Al films were deposited on (100) Si substrate using magnetron sputtering. • An amorphous silicon oxide layer with a thickness of 4 nm was formed on Si substrate. • Crystalline Si (Zn) layer about 30 nm thick grew on amorphous silicon oxide layer. • ZnO:Al film comprising columnar grains was deposited on the Si(Zn) layer. • Lattice image of the ZnO:Al film has been interpreted

  18. Enhanced Raman scattering in porous silicon grating.

    Science.gov (United States)

    Wang, Jiajia; Jia, Zhenhong; Lv, Changwu

    2018-03-19

    The enhancement of Raman signal on monocrystalline silicon gratings with varying groove depths and on porous silicon grating were studied for a highly sensitive surface enhanced Raman scattering (SERS) response. In the experiment conducted, porous silicon gratings were fabricated. Silver nanoparticles (Ag NPs) were then deposited on the porous silicon grating to enhance the Raman signal of the detective objects. Results show that the enhancement of Raman signal on silicon grating improved when groove depth increased. The enhanced performance of Raman signal on porous silicon grating was also further improved. The Rhodamine SERS response based on Ag NPs/ porous silicon grating substrates was enhanced relative to the SERS response on Ag NPs/ porous silicon substrates. Ag NPs / porous silicon grating SERS substrate system achieved a highly sensitive SERS response due to the coupling of various Raman enhancement factors.

  19. Phase transformation during silica cluster impact on crystal silicon substrate studied by molecular dynamics simulation

    International Nuclear Information System (INIS)

    Chen Ruling; Luo Jianbin; Guo Dan; Lu Xinchun

    2008-01-01

    The process of a silica cluster impact on a crystal silicon substrate is studied by molecular dynamics simulation. At the impact loading stage, crystal silicon of the impact zone transforms to a locally ordered molten with increasing the local temperature and pressure of the impact zone. And then the transient molten forms amorphous silicon directly as the local temperature and pressure decrease at the impact unloading stage. Moreover, the phase behavior between the locally ordered molten and amorphous silicon exhibits the reversible structural transition. The transient molten contains not only lots of four-fold atom but also many three- and five-fold atoms. And the five-fold atom is similar to the mixture structure of semi-Si-II and semi-bct5-Si. The structure transformation between five- and four-fold atoms is affected by both pressure and temperature. The structure transformation between three- and four-fold atoms is affected mostly by temperature. The direct structure transformation between five- and three-fold atoms is not observed. Finally, these five- and three-fold atoms are also different from the usual five- and three-fold deficient atoms of amorphous silicon. In addition, according to the change of coordination number of atoms the impact process is divided into six stages: elastic, plastic, hysteresis, phase regressive, adhesion and cooling stages

  20. Dewetting and deposition of thin films with insoluble surfactants from curved silicone hydrogel substrates.

    Science.gov (United States)

    Bhamla, M Saad; Balemans, Caroline; Fuller, Gerald G

    2015-07-01

    We investigate the stabilizing effect of insoluble surfactant monolayers on thin aqueous films. We first describe an experimental platform that enables the formation of aqueous films laden with dipalmitoylphosphatidylcholine (DPPC) monolayers on curved silicone hydrogel (SiHy) substrates. We show that these surfactant layers extend the lifetime of the aqueous films. The films eventually "dewet" by the nucleation and growth of dry areas and the onset of this dewetting can be controlled by the surface rheology of the DPPC layer. We thus demonstrate that increasing the interfacial rheology of the DPPC layer leads to stable films that delay dewetting. We also show that dewetting can be exploited to controllably pattern the underlying curved SiHy substrates with DPPC layers. Copyright © 2015 Elsevier Inc. All rights reserved.

  1. Direct-current substrate bias effects on amorphous silicon sputter-deposited films for thin film transistor fabrication

    International Nuclear Information System (INIS)

    Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.

    2005-01-01

    The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film

  2. Electronic band-gap modified passive silicon optical modulator at telecommunications wavelengths.

    Science.gov (United States)

    Zhang, Rui; Yu, Haohai; Zhang, Huaijin; Liu, Xiangdong; Lu, Qingming; Wang, Jiyang

    2015-11-13

    The silicon optical modulator is considered to be the workhorse of a revolution in communications. In recent years, the capabilities of externally driven active silicon optical modulators have dramatically improved. Self-driven passive modulators, especially passive silicon modulators, possess advantages in compactness, integration, low-cost, etc. Constrained by a large indirect band-gap and sensitivity-related loss, the passive silicon optical modulator is scarce and has been not advancing, especially at telecommunications wavelengths. Here, a passive silicon optical modulator is fabricated by introducing an impurity band in the electronic band-gap, and its nonlinear optics and applications in the telecommunications-wavelength lasers are investigated. The saturable absorption properties at the wavelength of 1.55 μm was measured and indicates that the sample is quite sensitive to light intensity and has negligible absorption loss. With a passive silicon modulator, pulsed lasers were constructed at wavelengths at 1.34 and 1.42 μm. It is concluded that the sensitive self-driven passive silicon optical modulator is a viable candidate for photonics applications out to 2.5 μm.

  3. Characteristics of Schottky-barrier source/drain metal-oxide-polycrystalline thin-film transistors on glass substrates

    International Nuclear Information System (INIS)

    Jung, Seung-Min; Cho, Won-Ju; Jung, Jong-Wan

    2012-01-01

    Polycrystalline-silicon (poly-Si) Schottky-barrier thin-film transistors (SB-TFTs) with Pt-silicided source /drain junctions were fabricated on glass substrates, and the electrical characteristics were examined. The amorphous silicon films on glass substrates were converted into high-quality poly-Si by using excimer laser annealing (ELA) and solid phase crystallization (SPC) methods. The crystallinity of poly-Si was analyzed by using scanning electron microscopy, transmission electron microscopy, and X-ray diffraction analysis. The silicidation process was optimized by measuring the electrical characteristics of the Pt-silicided Schottky diodes. The performances of Pt-silicided SB-TFTs using poly-Si films on glass substrates and crystallized by using ELA and SPC were demonstrated. The SB-TFTs using the ELA poly-Si film demonstrated better electrical performances such as higher mobility (22.4 cm 2 /Vs) and on/off current ratio (3 x 10 6 ) and lower subthreshold swing value (120 mV/dec) than the SPC poly-Si films.

  4. Charge trapping and carrier transport mechanism in silicon-rich silicon oxynitride

    International Nuclear Information System (INIS)

    Yu Zhenrui; Aceves, Mariano; Carrillo, Jesus; Lopez-Estopier, Rosa

    2006-01-01

    The charge-trapping and carrier transport properties of silicon-rich silicon oxynitride (SRO:N) were studied. The SRO:N films were deposited by low pressure chemical vapor deposition. Infrared (IR) and transmission electron microscopic (TEM) measurements were performed to characterize their structural properties. Capacitance versus voltage and current versus voltage measurements (I-V) were used to study the charge-trapping and carrier transport mechanism. IR and TEM measurements revealed the existence of Si nanodots in SRO:N films. I-V measurements revealed that there are two conduction regimes divided by a threshold voltage V T . When the applied voltage is smaller than V T , the current is dominated by the charge transfer between the SRO:N and substrate; and in this regime only dynamic charging/discharging of the SRO:N layer is observed. When the voltage is larger than V T , the current increases rapidly and is dominated by the Poole-Frenkel mechanism; and in this regime, large permanent trapped charge density is obtained. Nitrogen incorporation significantly reduced the silicon nanodots or defects near the SRO:N/Si interface. However, a significant increase of the density of silicon nanodot in the bulk of the SRO:N layer is obtained

  5. Self-Assembled Local Artificial Substrates of GaAs on Si Substrate

    Directory of Open Access Journals (Sweden)

    Frigeri C

    2010-01-01

    Full Text Available Abstract We propose a self-assembling procedure for the fabrication of GaAs islands by Droplet Epitaxy on silicon substrate. Controlling substrate temperature and amount of supplied gallium is possible to tune the base size of the islands from 70 up to 250 nm and the density from 107 to 109 cm−2. The islands show a standard deviation of base size distribution below 10% and their shape evolves changing the aspect ratio from 0.3 to 0.5 as size increases. Due to their characteristics, these islands are suitable to be used as local artificial substrates for the integration of III–V quantum nanostructures directly on silicon substrate.

  6. Irradiation of electron with high energy induced micro-crystallization of amorphous silicon

    International Nuclear Information System (INIS)

    Zhong Yule; Huang Junkai; Liu Weiping; Li Jingna

    2001-01-01

    Amorphous silicon is amorphous alloy of Si-H. It is random network of silicon with some hydrogen. And its structure has many unstable bonds as weak bonds of Si-Si and distortion bonds of all kinds. The bonds was broken or was out of shape by light and electrical ageing. It induced increase of defective state that causes character of material going to bad. This drawback will be overcome after micro-crystallization of amorphous silicon. It was discovered that a-Si:H was micro-crystallized by irradiated of electrons with energy of 0.3-0.5 MeV, density of electronic beam of 1.3 x 10 19 cm -1 s -1 and irradiated time of 10-600 s. Size of grain is 10-20 nm. Thick of microcrystalline lager is 25-250 nm

  7. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  8. Combined Effect of Surface Nano-Topography and Delivery of Therapeutics on the Adhesion of Tumor Cells on Porous Silicon Substrates

    KAUST Repository

    De Vitis, S.

    2016-02-23

    Porous silicon is a nano material in which pores with different sizes, densities and depths are infiltrated in conventional silicon imparting it augmented properties including biodegradability, biocompatibility, photoluminescence. Here, we realized porous silicon substrates in which the pore size and the fractal dimension were varied over a significant range. We loaded the described substrates with a PtCl(O, O′ − acac)(DMSO) antitumor drug and determined its release profile as a function of pore size over time up to 15 days. We observed that the efficacy of delivery augments with the pore size moving from small (∼ 8nm, efficiency of delivery ∼ 0.2) to large (∼ 55nm, efficiency of delivery ∼ 0.7). Then, we verified the adhesion of MCF-7 breast cancer cells on the described substrates with and without the administration of the antitumor drug. This permitted to decouple and understand the coincidental effects of nano-topography and a controlled dosage of drugs on cell adhesion and growth. While large pore sizes guarantee elevated drug dosages, large fractal dimensions boost cell adhesion on a surface. For the particular case of tumor cells and the delivery of an anti-tumor drug, substrates with a small fractal dimension and large pore size hamper cell growth. The competition between nano-topography and a controlled dosage of drugs may either accelerate or block the adhesion of cells on a nanostructured surface, for applications in tissue engineering, regenerative medicine, personalized lab-on-a-chips, and the rational design of implantable drug delivery systems.

  9. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    Science.gov (United States)

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  10. Increasing the radiation resistance of single-crystal silicon epitaxial layers

    Directory of Open Access Journals (Sweden)

    Kurmashev Sh. D.

    2014-12-01

    Full Text Available The authors investigate the possibility of increasing the radiation resistance of silicon epitaxial layers by creating radiation defects sinks in the form of dislocation networks of the density of 109—1012 m–2. Such networks are created before the epitaxial layer is applied on the front surface of the silicon substrate by its preliminary oxidation and subsequent etching of the oxide layer. The substrates were silicon wafers KEF-4.5 and KDB-10 with a diameter of about 40 mm, grown by the Czochralski method. Irradiation of the samples was carried out using electron linear accelerator "Electronics" (ЭЛУ-4. Energy of the particles was 2,3—3,0 MeV, radiation dose 1015—1020 m–2, electron beam current 2 mA/m2. It is shown that in structures containing dislocation networks, irradiation results in reduction of the reverse currents by 5—8 times and of the density of defects by 5—10 times, while the mobility of the charge carriers is increased by 1,2 times. Wafer yield for operation under radiation exposure, when the semiconductor structures are formed in the optimal mode, is increased by 7—10% compared to the structures without dislocation networks. The results obtained can be used in manufacturing technology for radiation-resistant integrated circuits (bipolar, CMOS, BiCMOS, etc..

  11. Al and Cu Implantation into Silicon Substrate for Ohmic Contact in Solar Cell Fabrication

    International Nuclear Information System (INIS)

    Sri Sulamdari; Sudjatmoko; Wirjoadi; Yunanto; Bambang Siswanto

    2002-01-01

    Research on the implantation of Al and Cu ions into silicon substrate for ohmic contact in solar cell fabrication has been carried using ion accelerator machine. Al and Cu ions are from 98% Al and 99.9% Cu powder ionized in ion source system. provided in ion implantor machine. Before implantation process, (0.5 x 1) cm 2 N type and P type silicon were washed in water and then etched in Cp-4A solution. After that, P type silicon were implanted with Al ions and N type silicon were implanted with Cu ions with the ions dose from 10 13 ion/cm 2 - 10 16 ion/cm 2 and energy 20 keV - 80 keV. Implanted samples were then annealed at temperature 400 o C - 850 o C. Implanted and annealed samples were characterized their resistivities using four point probe FPP-5000. It was found that at full electrically active conditions the ρ s for N type was 1.30 x 10 8 Ω/sq, this was achieved at ion dose 10 13 ion/cm 2 and annealing temperature 500 o C. While for P type, the ρ s was 1.13 x 10 2 Ω/sq, this was achieved at ion dose 10 13 ion/cm 2 and energy 40 keV, and annealing temperature 500 o C. (author)

  12. Plastic properties of thin films on substrates as measured by submicron indentation hardness and substrate curvature techniques

    International Nuclear Information System (INIS)

    Doerner, M.F.; Gardner, D.S.; Nix, W.D.

    1986-01-01

    Substrate curvature and submicron indentation measurements have been used recently to study plastic deformation in thin films on substrates. In the present work both of these techniques have been employed to study the strength of aluminum and tungsten thin films on silicon substrates. In the case of aluminum films on silicon substrates, the film strength is found to increase with decreasing thickness. Grain size variations with film thickness do not account for the variations in strength. Wafer curvature measurements give strengths higher than those predicted from hardness measurements suggesting the substrate plays a role in strengthening the film. The observed strengthening effect with decreased thickness may be due to image forces on dislocations in the film due to the elastically stiffer silicon substrate. For sputtered tungsten films, where the substrate is less stiff than the film, the film strength decreases with decreasing film thickness

  13. Electronic structure of deep impurity centers in silicon

    International Nuclear Information System (INIS)

    Oosten, A.B. van.

    1989-01-01

    This thesis reports an experimental study of deep level impurity centers in silicon, with much attention for theoretical interpretation of the data. A detailed picture of the electronic structure of several centers was obtained by magnetic resonance techniques, such as electron paramagnetic resonance (EPR), electron-nuclear double resonance (ENDOR) and field scanned ENDOR (FSE). The thesis consists of two parts. The first part deals with chalcogen (sulfur, selenium and tellurium) related impurities, which are mostly double donors. The second part is about late transition metal (nickel, palladium and platinum) impurities, which are single (Pd,Pt) or double (Ni) acceptor centers. (author). 155 refs.; 51 figs.; 23 tabs

  14. Thin PZT-Based Ferroelectric Capacitors on Flexible Silicon for Nonvolatile Memory Applications

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-04-24

    A flexible version of traditional thin lead zirconium titanate ((Pb1.1Zr0.48Ti0.52O3)-(PZT)) based ferroelectric random access memory (FeRAM) on silicon shows record performance in flexible arena. The thin PZT layer requires lower operational voltages to achieve coercive electric fields, reduces the sol-gel coating cycles required (i.e., more cost-effective), and, fabrication wise, is more suitable for further scaling of lateral dimensions to the nano-scale due to the larger feature size-to-depth aspect ratio (critical for ultra-high density non-volatile memory applications). Utilizing the inverse proportionality between substrate\\'s thickness and its flexibility, traditional PZT based FeRAM on silicon is transformed through a transfer-less manufacturable process into a flexible form that matches organic electronics\\' flexibility while preserving the superior performance of silicon CMOS electronics. Each memory cell in a FeRAM array consists of two main elements; a select/access transistor, and a storage ferroelectric capacitor. Flexible transistors on silicon have already been reported. In this work, we focus on the storage ferroelectric capacitors, and report, for the first time, its performance after transformation into a flexible version, and assess its key memory parameters while bent at 0.5 cm minimum bending radius.

  15. Development of Silicon-substrate Based Fabry-Perot Etalons for far-IR Astrophysics

    Science.gov (United States)

    Stacey, Gordon

    We propose to design, construct and test silicon-substrate-based (SSB) mirrors necessary for high performance Fabry-Perot interferometers (FPIs) to be used in the 25-40 um mid-IR band. These mirrors will be fabricated from silicon wafers that are anti-reflection coated (ARC) by micromachining an artificial dielectric meta-material on one side, and depositing optimized gold-metalized patterns on the other. Two mirrors with the metalized surfaces facing one-another form the Fabry-Perot cavity, also known as the FPI etalon. The exterior surfaces of the silicon mirrors are anti-reflection coated for both good transmission in the science band, and to prevent unwanted parasitic FPI cavities from forming between the four surfaces (one anti-reflection coated, one metalized for each mirror) of the FPI etalon. The mirrors will be tested within a Miniature Cryogenic Scanning Fabry-Perot (MCSF) that we have designed through support of a previous NASA grant (NNX09AB95G). This design is based on our long experience in constructing and using scanning FPI in the mid-IR to submm range, and fits within test-beds we have on hand that are suitable for both warm and cold tests. The key technologies are the ARC and tuned mirrors that are enabled by silicon nano-machining techniques. The creation of these SSB mirrors promises greatly improved performance over previous versions of mid-IR to submm-band FPIs that are based on mirrors made from free-standing metal mesh stretched over support rings. Performance is improved both structurally and in terms of sensitivity, and is measured as the product of the cavity finesse times transmission. Our electromagnetic modeling suggests that SSB mirrors will improve this product by a factor of 2 over the best free standing mesh etalons available. This translates into a factor of sqrt(2) improvement in sensitivity per etalon, or a full factor of 2 when used in a tandem (dual etalon) FPI spectrometer. The SSB improvements are due to both the stiff (~ 0

  16. Topological investigation of electronic silicon nanoparticulate aggregates using ultra-small-angle X-ray scattering

    CSIR Research Space (South Africa)

    Jonah, EO

    2012-10-01

    Full Text Available The network topology of two types of silicon nanoparticles, produced by high energy milling and pyrolysis of silane, in layers deposited from inks on permeable and impermeable substrates has been quantitatively characterized using ultra-small-angle...

  17. Tantalum Nitride Electron-Selective Contact for Crystalline Silicon Solar Cells

    KAUST Repository

    Yang, Xinbo

    2018-04-19

    Minimizing carrier recombination at contact regions by using carrier‐selective contact materials, instead of heavily doping the silicon, has attracted considerable attention for high‐efficiency, low‐cost crystalline silicon (c‐Si) solar cells. A novel electron‐selective, passivating contact for c‐Si solar cells is presented. Tantalum nitride (TaN x ) thin films deposited by atomic layer deposition are demonstrated to provide excellent electron‐transporting and hole‐blocking properties to the silicon surface, due to their small conduction band offset and large valence band offset. Thin TaNx interlayers provide moderate passivation of the silicon surfaces while simultaneously allowing a low contact resistivity to n‐type silicon. A power conversion efficiency (PCE) of over 20% is demonstrated with c‐Si solar cells featuring a simple full‐area electron‐selective TaNx contact, which significantly improves the fill factor and the open circuit voltage (Voc) and hence provides the higher PCE. The work opens up the possibility of using metal nitrides, instead of metal oxides, as carrier‐selective contacts or electron transport layers for photovoltaic devices.

  18. Tailoring the graphene/silicon carbide interface for monolithic wafer-scale electronics.

    Science.gov (United States)

    Hertel, S; Waldmann, D; Jobst, J; Albert, A; Albrecht, M; Reshanov, S; Schöner, A; Krieger, M; Weber, H B

    2012-07-17

    Graphene is an outstanding electronic material, predicted to have a role in post-silicon electronics. However, owing to the absence of an electronic bandgap, graphene switching devices with high on/off ratio are still lacking. Here in the search for a comprehensive concept for wafer-scale graphene electronics, we present a monolithic transistor that uses the entire material system epitaxial graphene on silicon carbide (0001). This system consists of the graphene layer with its vanishing energy gap, the underlying semiconductor and their common interface. The graphene/semiconductor interfaces are tailor-made for ohmic as well as for Schottky contacts side-by-side on the same chip. We demonstrate normally on and normally off operation of a single transistor with on/off ratios exceeding 10(4) and no damping at megahertz frequencies. In its simplest realization, the fabrication process requires only one lithography step to build transistors, diodes, resistors and eventually integrated circuits without the need of metallic interconnects.

  19. Formation of nanocrystals embedded in a silicon nitride film at a low temperature ({<=}200 deg. C)

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyoung-Min; Kim, Tae-Hwan [Department of Nano Science and Technology, University of Seoul, Seoul 130-743 (Korea, Republic of); Hong, Wan-Shick [Department of Nano Science and Technology, University of Seoul, Seoul 130-743 (Korea, Republic of)], E-mail: wshong@uos.ac.kr

    2008-12-15

    Silicon-rich silicon nitride films with embedded silicon nanocrystals (Si NCs) were fabricated successfully on plastic substrates at a low temperature by catalytic chemical vapor deposition. A mixture of SiH{sub 4}, NH{sub 3} and H{sub 2} was used as a source gas. Formation of the silicon nanocrystals was analyzed by photoluminescence spectra and was confirmed by transmission electron microscopy. The formation of Si NCs required an H{sub 2}/SiH{sub 4} mixture ratio that was higher than four.

  20. Low-field microwave absorption and magnetoresistance in iron nanostructures grown by electrodeposition on n-type lightly doped silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Felix, J.F. [Universidade Federal de Viçosa-UFV, Departamento de Física, 36570-900 Viçosa, MG (Brazil); Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil); Figueiredo, L.C. [Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil); Mendes, J.B.S. [Universidade Federal de Viçosa-UFV, Departamento de Física, 36570-900 Viçosa, MG (Brazil); Morais, P.C. [Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil); Huazhong University of Science and Technology, School of Automation, 430074 Wuhan (China); Araujo, C.I.L. de., E-mail: dearaujo@ufv.br [Universidade de Brasília-UnB, Instituto de Física, Núcleo de Física Aplicada, 70910-900 Brasília, DF (Brazil)

    2015-12-01

    In this study we investigate magnetic properties, surface morphology and crystal structure in iron nanoclusters electrodeposited on lightly doped (100) n-type silicon substrates. Our goal is to investigate the spin injection and detection in the Fe/Si lateral structures. The samples obtained under electric percolation were characterized by magnetoresistive and magnetic resonance measurements with cycling the sweeping applied field in order to understand the spin dynamics in the as-produced samples. The observed hysteresis in the magnetic resonance spectra, plus the presence of a broad peak in the non-saturated regime confirming the low field microwave absorption (LFMA), were correlated to the peaks and slopes found in the magnetoresistance curves. The results suggest long range spin injection and detection in low resistive silicon and the magnetic resonance technique is herein introduced as a promising tool for analysis of electric contactless magnetoresistive samples. - Highlights: • Electrodeposition of Fe nanostructures on high resistive silicon substrates. • Spin polarized current among clusters through Si suggested by isotropic magnetoresistance. • Low field microwave absorption arising from the sample shape anisotropy. • Contactless magnetoresistive device characterization by resonance measurements.

  1. A study for the detection of ionizing particles with phototransistors on thick high-resistivity silicon substrates

    International Nuclear Information System (INIS)

    Batignani, G.; Angelini, C.; Bisogni, M.G.; Boscardin, M.; Bettarini, S.; Bondioli, M.; Bosisio, L.; Bucci, F.; Calderini, G.; Carpinelli, M.; Ciacchi, M.; Dalla Betta, G.F.; Dittongo, S.; Forti, F.; Giorgi, M.A.; Gregori, P.; Han, D.J.; Manfredi, P.F.; Manghisoni, M.; Marchiori, G.; Neri, N.; Novelli, M.; Paoloni, E.; Piemonte, C.; Rachevskaia, I.; Rama, M.; Ratti, L.; Re, V.; Rizzo, G.; Ronchin, S.; Rosso, V.; Simi, G.; Speziali, V.; Stefanini, A.; Zorzi, N.

    2004-01-01

    We report on bipolar NPN phototransistors fabricated at ITC-IRST on thick high-resistivity silicon substrates. The phototransistor emitter is composed of a phosphorus n+ implant, the base is a diffused high-energy boron implant, and the collector is the 600-800 μm thick silicon bulk, contacted on the backplane. We have studied the current amplification for two different doping profiles of the emitter, obtaining values of β ranging from 60 to 3000. For various emitter and base configurations, we measured the static device characteristics and extracted the leakage currents and the base resistance, verifying the fundamental relationship between them and the total base capacitances. The use of such phototransistors to detect ionizing particles is exploited and discussed

  2. A study for the detection of ionizing particles with phototransistors on thick high-resistivity silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Batignani, G. E-mail: giovanni.batignani@pi.infn.it; Angelini, C.; Bisogni, M.G.; Boscardin, M.; Bettarini, S.; Bondioli, M.; Bosisio, L.; Bucci, F.; Calderini, G.; Carpinelli, M.; Ciacchi, M.; Dalla Betta, G.F.; Dittongo, S.; Forti, F.; Giorgi, M.A.; Gregori, P.; Han, D.J.; Manfredi, P.F.; Manghisoni, M.; Marchiori, G.; Neri, N.; Novelli, M.; Paoloni, E.; Piemonte, C.; Rachevskaia, I.; Rama, M.; Ratti, L.; Re, V.; Rizzo, G.; Ronchin, S.; Rosso, V.; Simi, G.; Speziali, V.; Stefanini, A.; Zorzi, N

    2004-09-01

    We report on bipolar NPN phototransistors fabricated at ITC-IRST on thick high-resistivity silicon substrates. The phototransistor emitter is composed of a phosphorus n+ implant, the base is a diffused high-energy boron implant, and the collector is the 600-800 {mu}m thick silicon bulk, contacted on the backplane. We have studied the current amplification for two different doping profiles of the emitter, obtaining values of {beta} ranging from 60 to 3000. For various emitter and base configurations, we measured the static device characteristics and extracted the leakage currents and the base resistance, verifying the fundamental relationship between them and the total base capacitances. The use of such phototransistors to detect ionizing particles is exploited and discussed.

  3. Performance tests of developed silicon strip detector by using a 150 GeV electron beam

    International Nuclear Information System (INIS)

    Hyun, Hyojung; Jung, Sunwoo; Kah, Dongha; Kang, Heedong; Kim, Hongjoo; Park, Hwanbae

    2008-01-01

    We manufactured and characterized a silicon micro-strip detector to be used in a beam tracker. A silicon detector features a DC-coupled silicon strip sensor with VA1 Prime2 analog readout chips. The silicon strip sensors have been fabricated on 5-in. wafers at Electronics and Telecommunications Research Institute (Daejeon, Korea). The silicon strip sensor is single-sided and has 32 channels with a 1 mm pitch, and its active area is 3.2 by 3.2 cm 2 with 380 μm thickness. The readout electronics consists of VA hybrid, VA Interface, and FlashADC and Control boards. Analog signals from the silicon strip sensor were being processed by the analog readout chips on the VA hybrid board. Analog signals were then changed into digital signals by a 12 bit 25 MHz FlashADC. The digital signals were read out by the Linux-operating PC through the FlashADC-USB2 interface. The DAQ system and analysis programs were written in the framework of ROOT package. The beam test with the silicon detector had been performed at CERN beam facility. We used a 150 GeV electron beam out of the SPS(Super Proton Synchrotron) H2 beam line. We present beam test setup and measurement result of signal-to-noise ratio of each strip channel. (author)

  4. Development of electron temperature measuring system by silicon drift detector

    International Nuclear Information System (INIS)

    Song Xianying; Yang Jinwei; Liao Min

    2007-12-01

    Soft X-ray spectroscopy with two channels Silicon Drift Detector (SDD) are adopted for electron temperature measuring on HL-2A tokamak in 2005. The working principle, design and first operation of the SDD soft X-ray spectroscopy are introduced. The measuring results of electron temperature are also presented. The results show that the SDD is very good detector for electron temperature measuring on HL-2A tokamak. These will become a solid basic work to establish SDD array for electron temperature profiling. (authors)

  5. Electronic properties and morphology of copper oxide/n-type silicon heterostructures

    Science.gov (United States)

    Lindberg, P. F.; Gorantla, S. M.; Gunnæs, A. E.; Svensson, B. G.; Monakhov, E. V.

    2017-08-01

    Silicon-based tandem heterojunction solar cells utilizing cuprous oxide (Cu2O) as the top absorber layer show promise for high-efficiency conversion and low production cost. In the present study, single phase Cu2O films have been realized on n-type Si substrates by reactive magnetron sputtering at 400 °C. The obtained Cu2O/Si heterostructures have subsequently been heat treated at temperatures in the 400-700 °C range in Ar flow and extensively characterized by x-ray diffraction (XRD) measurements, transmission electron microscopy (TEM) imaging and electrical techniques. The Cu2O/Si heterojunction exhibits a current rectification of ~5 orders of magnitude between forward and reverse bias voltages. High resolution cross-sectional TEM-images show the presence of a ~2 nm thick interfacial SiO2 layer between Cu2O and the Si substrate. Heat treatments below 550 °C result in gradual improvement of crystallinity, indicated by XRD. At and above 550 °C, partial phase transition to cupric oxide (CuO) occurs followed by a complete transition at 700 °C. No increase or decrease of the SiO2 layer is observed after the heat treatment at 550 °C. Finally, a thin Cu-silicide layer (Cu3Si) emerges below the SiO2 layer upon annealing at 550 °C. This silicide layer influences the lateral current and voltage distributions, as evidenced by an increasing effective area of the heterojunction diodes.

  6. Reversibly Bistable Flexible Electronics

    KAUST Repository

    Alfaraj, Nasir

    2015-05-01

    Introducing the notion of transformational silicon electronics has paved the way for integrating various applications with silicon-based, modern, high-performance electronic circuits that are mechanically flexible and optically semitransparent. While maintaining large-scale production and prototyping rapidity, this flexible and translucent scheme demonstrates the potential to transform conventionally stiff electronic devices into thin and foldable ones without compromising long-term performance and reliability. In this work, we report on the fabrication and characterization of reversibly bistable flexible electronic switches that utilize flexible n-channel metal-oxide-semiconductor field-effect transistors. The transistors are fabricated initially on rigid (100) silicon substrates before they are peeled off. They can be used to control flexible batches of light-emitting diodes, demonstrating both the relative ease of scaling at minimum cost and maximum reliability and the feasibility of integration. The peeled-off silicon fabric is about 25 µm thick. The fabricated devices are transferred to a reversibly bistable flexible platform through which, for example, a flexible smartphone can be wrapped around a user’s wrist and can also be set back to its original mechanical position. Buckling and cyclic bending of such host platforms brings a completely new dimension to the development of flexible electronics, especially rollable displays.

  7. The investigation of influence of accelerated electrons on SiO2 used in silicon solar cells

    International Nuclear Information System (INIS)

    Abdullaev, G.B.; Bakirov, M.Ya; Akhmedov, G.M.; Safarov, N.A.; Safarova, F.D.

    1994-01-01

    The process of radiation defects production in enlightened SiO 2 layers coated on silicon solar cells was studied. During irradiation the silicon solar cells with enlightened layers radiation defects are formed both in silicon and SiO 2 thus making worse photo energetic parameters of cells. For investigation of radiation effects formed under irradiation by electrons with 5 MeV energy and cobalt-60 gamma-rays photoluminescence, absorption spectra and electron spin resonance methods were used. It is supposed that main radiation defects in silicon dioxide are E'-centers and oxygen vacancies. (A.D. Avezov). 10 refs.; 2 figs

  8. Investigation of (111 wafers and comparison with (100 substrates

    Directory of Open Access Journals (Sweden)

    A Bahari

    2012-06-01

    Full Text Available  In the last decade, Si(100 has been used as a suitable substrate in field effect transistors. Some issues such as leakage current and tunneling current through the ultrathin films have been increased with shrinking the electronic devices – particularly, field effect transistors – to nanoscale, which is threatening more use of Si(100. We have thus demonstrated a series of experiments to grow ultrathin films on both Si(100 and Si(111 substrates and studied their nanostructural properties to see the possibility of replacing Si(100 with Si(111. The obtained results indicate that Si(111 substrate with silicon nitride film on top is desirable.

  9. Investigation of structural and electronic properties of epitaxial graphene on 3C–SiC(100/Si(100 substrates

    Directory of Open Access Journals (Sweden)

    Gogneau N

    2014-09-01

    Full Text Available Noelle Gogneau,1 Amira Ben Gouider Trabelsi,2 Mathieu G Silly,3 Mohamed Ridene,1 Marc Portail,4 Adrien Michon,4 Mehrezi Oueslati,2 Rachid Belkhou,3 Fausto Sirotti,3 Abdelkarim Ouerghi1 1Laboratoire de Photonique et de Nanostructures, Centre National de la Recherche Scientifique, Marcoussis, France; 2Unité des Nanomatériaux et Photonique, Faculté des Sciences de Tunis, Université de Tunis El Manar Campus Universitaire, Tunis, Tunisia; 3Synchrotron-SOLEIL, Saint-Aubin, BP48, F91192 Gif sur Yvette Cedex, France; 4Centre de Recherche sur l'HétéroEpitaxie et Ses Application, Centre National de la Recherche Scientifique, Valbonne, France Abstract: Graphene has been intensively studied in recent years in order to take advantage of its unique properties. Its synthesis on SiC substrates by solid-state graphitization appears a suitable option for graphene-based electronics. However, before developing devices based on epitaxial graphene, it is desirable to understand and finely control the synthesis of material with the most promising properties. To achieve these prerequisites, many studies are being conducted on various SiC substrates. Here, we review 3C–SiC(100 epilayers grown by chemical vapor deposition on Si(100 substrates for producing graphene by solid state graphitization under ultrahigh-vacuum conditions. Based on various characterization techniques, the structural and electrical properties of epitaxial graphene layer grown on 3C–SiC(100/Si(100 are discussed. We establish that epitaxial graphene presents properties similar to those obtained using hexagonal SiC substrates, with the advantage of being compatible with current Si-processing technology. Keywords: epitaxial graphene, electronic properties, structural properties, silicon carbide 

  10. Design and fabrication of non silicon substrate based MEMS energy harvester for arbitrary surface applications

    Energy Technology Data Exchange (ETDEWEB)

    Balpande, Suresh S., E-mail: balpandes@rknec.edu [Ph.D.. Scholar, Department of Electronics Engineering Shri Ramdeobaba College of Engineering & Management, Nagpur-13, (M.S.) (India); Pande, Rajesh S. [Professor, Department of Electronics Engineering Shri Ramdeobaba College of Engineering & Management, Nagpur-13, (M.S.) (India)

    2016-04-13

    Internet of Things (IoT) uses MEMS sensor nodes and actuators to sense and control objects through Internet. IOT deploys millions of chemical battery driven sensors at different locations which are not reliable many times because of frequent requirement of charging & battery replacement in case of underground laying, placement at harsh environmental conditions, huge count and difference between demand (24 % per year) and availability (energy density growing rate 8% per year). Energy harvester fabricated on silicon wafers have been widely used in manufacturing MEMS structures. These devices require complex fabrication processes, costly chemicals & clean room. In addition to this silicon wafer based devices are not suitable for curved surfaces like pipes, human bodies, organisms, or other arbitrary surface like clothes, structure surfaces which does not have flat and smooth surface always. Therefore, devices based on rigid silicon wafers are not suitable for these applications. Flexible structures are the key solution for this problems. Energy transduction mechanism generates power from free surrounding vibrations or impact. Sensor nodes application has been purposefully selected due to discrete power requirement at low duty cycle. Such nodes require an average power budget in the range of about 0.1 microwatt to 1 mW over a period of 3-5 seconds. Energy harvester is the best alternate source in contrast with battery for sensor node application. Novel design of Energy Harvester based on cheapest flexible non silicon substrate i.e. cellulose acetate substrate have been modeled, simulated and analyzed on COMSOL multiphysics and fabricated using sol-gel spin coating setup. Single cantilever based harvester generates 60-75 mV peak electric potential at 22Hz frequency and approximately 22 µW power at 1K-Ohm load. Cantilever array can be employed for generating higher voltage by replicating this structure. This work covers design, optimization, fabrication of

  11. Design and fabrication of non silicon substrate based MEMS energy harvester for arbitrary surface applications

    International Nuclear Information System (INIS)

    Balpande, Suresh S.; Pande, Rajesh S.

    2016-01-01

    Internet of Things (IoT) uses MEMS sensor nodes and actuators to sense and control objects through Internet. IOT deploys millions of chemical battery driven sensors at different locations which are not reliable many times because of frequent requirement of charging & battery replacement in case of underground laying, placement at harsh environmental conditions, huge count and difference between demand (24 % per year) and availability (energy density growing rate 8% per year). Energy harvester fabricated on silicon wafers have been widely used in manufacturing MEMS structures. These devices require complex fabrication processes, costly chemicals & clean room. In addition to this silicon wafer based devices are not suitable for curved surfaces like pipes, human bodies, organisms, or other arbitrary surface like clothes, structure surfaces which does not have flat and smooth surface always. Therefore, devices based on rigid silicon wafers are not suitable for these applications. Flexible structures are the key solution for this problems. Energy transduction mechanism generates power from free surrounding vibrations or impact. Sensor nodes application has been purposefully selected due to discrete power requirement at low duty cycle. Such nodes require an average power budget in the range of about 0.1 microwatt to 1 mW over a period of 3-5 seconds. Energy harvester is the best alternate source in contrast with battery for sensor node application. Novel design of Energy Harvester based on cheapest flexible non silicon substrate i.e. cellulose acetate substrate have been modeled, simulated and analyzed on COMSOL multiphysics and fabricated using sol-gel spin coating setup. Single cantilever based harvester generates 60-75 mV peak electric potential at 22Hz frequency and approximately 22 µW power at 1K-Ohm load. Cantilever array can be employed for generating higher voltage by replicating this structure. This work covers design, optimization, fabrication of

  12. Electrical properties of pressure quenched silicon by thermal spraying

    International Nuclear Information System (INIS)

    Tan, S.Y.; Gambino, R.J.; Sampath, S.; Herman, H.

    2007-01-01

    High velocity thermal spray deposition of polycrystalline silicon film onto single crystal substrates, yields metastable high pressure forms of silicon in nanocrystalline form within the deposit. The phases observed in the deposit include hexagonal diamond-Si, R-8, BC-8 and Si-IX. The peculiar attribute of this transformation is that it occurs only on orientation silicon substrate. The silicon deposits containing the high pressure phases display a substantially higher electrical conductivity. The resistivity profile of the silicon deposit containing shock induced metastable silicon phases identified by X-ray diffraction patterns. The density of the pressure induced polymorphic silicon is higher at deposit/substrate interface. A modified two-layer model is presented to explain the resistivity of the deposit impacted by the pressure induced polymorphic silicon generated by the thermal spraying process. The pressure quenched silicon deposits on the p - silicon substrate, with or without metastable phases, display the barrier potential of about 0.72 eV. The measured hall mobility value of pressure quenched silicon deposits is in the range of polycrystalline silicon. The significance of this work lies in the fact that the versatility of thermal spray may enable applications of these high pressure forms of silicon

  13. A high efficiency superconducting nanowire single electron detector

    NARCIS (Netherlands)

    Rosticher, M.; Ladan, F.R.; Maneval, J.P.; Dorenbos, S.N.; Zijlstra, T.; Klapwijk, T.M.; Zwiller, V.; Lupa?cu, A.; Nogues, G.

    2010-01-01

    We report the detection of single electrons using a Nb0.7Ti0.3N superconducting wire deposited on an oxidized silicon substrate. While it is known that this device is sensitive to single photons, we show that it also detects single electrons with kilo-electron-volt energy emitted from the cathode of

  14. The morphology of coating/substrate interface in hot-dip-aluminized steels

    International Nuclear Information System (INIS)

    Awan, Gul Hameed; Hasan, Faiz ul

    2008-01-01

    In hot-dip-aluminized (HAD) steels, the morphology and the profile of the interface between the aluminum coating and the substrate steel, are affected both by the composition of the molten aluminum as well as by the composition, and even the microstructure, of the substrate steel. This effect has been investigated using optical and scanning electron microscopy, and X-ray diffraction. The reaction between the steel and the molten aluminum leads to the formation of Fe-Al inter-metallic compounds on the steel surface. The thickness of the inter-metallic compound layer as well as the morphology of the interface between the steel and the interlayer varies with the silicon content of the molten aluminum. In hot-dip-aluminizing with pure aluminum, the interlayer is 'thick' and exhibits a finger-like growth into the steel. With a gradually increasing addition of silicon into the aluminum melt, the thickness of the interlayer decreases while the interface between the interlayer and the substrate gradually becomes 'smoother'. With an increase in the carbon content of the substrate steel the growth of the interlayer into the steel is impeded by the pearlite phase, whereas the ferrite phase appears to dissolve more readily. X-ray diffraction and electron microscopic studies showed that the interlayer formed in samples aluminized in pure aluminum, essentially consisted of orthorhombic Fe 2 Al 5 . It was further observed that the finger-like grains of Fe 2 Al 5 phase exhibited a preferred lattice orientation. With a gradual addition of silicon into the aluminum melt, a cubic phase based on Fe 3 Al also started to form in the interlayer and replaced most of the Fe 2 Al 5

  15. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    Science.gov (United States)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  16. Studies on the substrate mediated vibrational excitation of CO/Si(100) by means of SFG spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Han, Xu; Lass, Kristian; Balgar, Thorsten; Hasselbrink, Eckart [Universitaet Duisburg-Essen, Fachbereich Chemie, 45117 Essen (Germany)

    2009-07-01

    Vibrational excitations of adsorbates play an important role in chemical reaction dynamics. In the past decade CO on solid surfaces was chosen as adequate model system for studying vibrational relaxation dynamics. Our work is focused on the energy dissipation of vibrationally excited CO adsorbed on a silicon surface by means of IR/Vis sum frequency generation (SFG) spectroscopy. Here we present studies on substrate mediated excitation of vibrational modes of CO on Si(100) induced by UV radiation. We suppose the observation of highly excited internal stretch vibrations of CO caused by hot electrons generated within the silicon substrate.

  17. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  18. Chemically immobilised carbon nanotubes on silicon: Stable surfaces for aqueous electrochemistry

    Energy Technology Data Exchange (ETDEWEB)

    Flavel, Benjamin S., E-mail: ben.flavel@flinders.edu.a [Department of Chemistry, University of Canterbury, Private Bag 4800, Christchurch 8140 (New Zealand); School of Chemistry, Physics and Earth Sciences, Flinders University, Sturt Road, Bedford Park, Adelaide, South Australia 5001 (Australia); Garrett, David J.; Lehr, Joshua [Department of Chemistry, University of Canterbury, Private Bag 4800, Christchurch 8140 (New Zealand); MacDiarmid Institute for Advanced Materials and Nanotechnology, Private Bag 4800, Christchurch 8140 (New Zealand); Shapter, Joseph G. [School of Chemistry, Physics and Earth Sciences, Flinders University, Sturt Road, Bedford Park, Adelaide, South Australia 5001 (Australia); Downard, Alison J., E-mail: alison.downard@canterbury.ac.n [Department of Chemistry, University of Canterbury, Private Bag 4800, Christchurch 8140 (New Zealand); MacDiarmid Institute for Advanced Materials and Nanotechnology, Private Bag 4800, Christchurch 8140 (New Zealand)

    2010-04-30

    Diazonium ion chemistry has been used to electrochemically graft aminophenyl layers onto p-type silicon (1 0 0) substrates. A condensation reaction was used to immobilise single-walled carbon nanotubes with high carboxylic acid functionality directly to this layer. Electrochemical monitoring of the aminophenyl groups confirmed the formation of an amide linkage between the single-walled carbon nanotubes and the aminophenyl layer. The carbon nanotube electrode showed high stability and good electrochemical performance in aqueous solution. At moderate scan rates the Ru(NH{sub 3}){sub 6}{sup +3/+2} couple exhibited quasi-reversible electron transfer kinetics with a standard heterogenous rate constant of 1.2 x 10{sup -3} cm s{sup -1} at the covalently-linked carbon nanotube surface. The electrode thus combines the advantages of a silicon substrate for easy integration into sophisticated electrical and electronic devices, carbon nanotubes for desirable electrochemical properties, and stability in aqueous medium for future applications in environmental sensing.

  19. Chemically immobilised carbon nanotubes on silicon: Stable surfaces for aqueous electrochemistry

    International Nuclear Information System (INIS)

    Flavel, Benjamin S.; Garrett, David J.; Lehr, Joshua; Shapter, Joseph G.; Downard, Alison J.

    2010-01-01

    Diazonium ion chemistry has been used to electrochemically graft aminophenyl layers onto p-type silicon (1 0 0) substrates. A condensation reaction was used to immobilise single-walled carbon nanotubes with high carboxylic acid functionality directly to this layer. Electrochemical monitoring of the aminophenyl groups confirmed the formation of an amide linkage between the single-walled carbon nanotubes and the aminophenyl layer. The carbon nanotube electrode showed high stability and good electrochemical performance in aqueous solution. At moderate scan rates the Ru(NH 3 ) 6 +3/+2 couple exhibited quasi-reversible electron transfer kinetics with a standard heterogenous rate constant of 1.2 x 10 -3 cm s -1 at the covalently-linked carbon nanotube surface. The electrode thus combines the advantages of a silicon substrate for easy integration into sophisticated electrical and electronic devices, carbon nanotubes for desirable electrochemical properties, and stability in aqueous medium for future applications in environmental sensing.

  20. Probiotic E. coli Nissle 1917 biofilms on silicone substrates for bacterial interference against pathogen colonization.

    Science.gov (United States)

    Chen, Quan; Zhu, Zhiling; Wang, Jun; Lopez, Analette I; Li, Siheng; Kumar, Amit; Yu, Fei; Chen, Haoqing; Cai, Chengzhi; Zhang, Lijuan

    2017-03-01

    Bacterial interference is an alternative strategy to fight against device-associated bacterial infections. Pursuing this strategy, a non-pathogenic bacterial biofilm is used as a live, protective barrier to fence off pathogen colonization. In this work, biofilms formed by probiotic Escherichia coli strain Nissle 1917 (EcN) are investigated for their potential for long-term bacterial interference against infections associated with silicone-based urinary catheters and indwelling catheters used in the digestive system, such as feeding tubes and voice prostheses. We have shown that EcN can form stable biofilms on silicone substrates, particularly those modified with a biphenyl mannoside derivative. These biofilms greatly reduced the colonization by pathogenic Enterococcus faecalis in Lysogeny broth (LB) for 11days. Bacterial interference is an alternative strategy to fight against device-associated bacterial infections. Pursuing this strategy, we use non-pathogenic bacteria to form a biofilm that serves as a live, protective barrier against pathogen colonization. Herein, we report the first use of preformed probiotic E. coli Nissle 1917 biofilms on the mannoside-presenting silicone substrates to prevent pathogen colonization. The biofilms serve as a live, protective barrier to fence off the pathogens, whereas current antimicrobial/antifouling coatings are subjected to gradual coverage by the biomass from the rapidly growing pathogens in a high-nutrient environment. It should be noted that E. coli Nissle 1917 is commercially available and has been used in many clinical trials. We also demonstrated that this probiotic strain performed significantly better than the non-commercial, genetically modified E. coli strain that we previously reported. Copyright © 2017 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  1. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations.

    Science.gov (United States)

    Lee, H-P; Perozek, J; Rosario, L D; Bayram, C

    2016-11-21

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {Al x Ga 1-x N}/AlN, (b) Thin-GaN/3 × {Al x Ga 1-x N}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm 2 /V∙s) and 2DEG carrier concentration (>1.0 × 10 13  cm -2 ) on Si(111) substrates.

  2. Band engineering of amorphous silicon ruthenium thin film and its near-infrared absorption enhancement combined with nano-holes pattern on back surface of silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Anran; Zhong, Hao [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Li, Wei, E-mail: wli@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Gu, Deen; Jiang, Xiangdong [School of Optoelectronic Information, University of Electronic Science and Technology of China, Chengdu 610054 (China); Jiang, Yadong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2016-10-30

    Highlights: • The increase of Ru concentration leads to a narrower bandgap of a-Si{sub 1-x}Ru{sub x} thin film. • The absorption coefficient of a-Si{sub 1-x}Ru{sub x} is higher than that of SiGe. • A double-layer absorber comprising of a-Si{sub 1-x}Ru{sub x} film and Si nano-holes layer is achieved. - Abstract: Silicon is widely used in semiconductor industry but has poor performance in near-infrared photoelectronic devices because of its bandgap limit. In this study, a narrow bandgap silicon rich semiconductor is achieved by introducing ruthenium (Ru) into amorphous silicon (a-Si) to form amorphous silicon ruthenium (a-Si{sub 1-x}Ru{sub x}) thin films through co-sputtering. The increase of Ru concentration leads to an enhancement of light absorption and a narrower bandgap. Meanwhile, a specific light trapping technique is employed to realize high absorption of a-Si{sub 1-x}Ru{sub x} thin film in a finite thickness to avoid unnecessary carrier recombination. A double-layer absorber comprising of a-Si{sub 1-x}Ru{sub x} thin film and silicon random nano-holes layer is formed on the back surface of silicon substrates, and significantly improves near-infrared absorption while the leaky light intensity is less than 5%. This novel absorber, combining narrow bandgap thin film with light trapping structure, may have a potential application in near-infrared photoelectronic devices.

  3. Brain inspired high performance electronics on flexible silicon

    KAUST Repository

    Sevilla, Galo T.

    2014-06-01

    Brain\\'s stunning speed, energy efficiency and massive parallelism makes it the role model for upcoming high performance computation systems. Although human brain components are a million times slower than state of the art silicon industry components [1], they can perform 1016 operations per second while consuming less power than an electrical light bulb. In order to perform the same amount of computation with today\\'s most advanced computers, the output of an entire power station would be needed. In that sense, to obtain brain like computation, ultra-fast devices with ultra-low power consumption will have to be integrated in extremely reduced areas, achievable only if brain folded structure is mimicked. Therefore, to allow brain-inspired computation, flexible and transparent platform will be needed to achieve foldable structures and their integration on asymmetric surfaces. In this work, we show a new method to fabricate 3D and planar FET architectures in flexible and semitransparent silicon fabric without comprising performance and maintaining cost/yield advantage offered by silicon-based electronics.

  4. Deposition of functionalized gold nanoparticles onto modified silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Riskin, A.; Dobbelaere, C. de; Elen, K.; Rul, H. van den; Mullens, J.; Hardy, A. [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Diepenbeek (Belgium); D' Haen, J. [Imecvzw Division IMOMEC, Diepenbeek (Belgium); Electrical and Physical Characterization, Institute for Materials Research, Hasselt University, Diepenbeek (Belgium); Bael, M.K. van [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Diepenbeek (Belgium); Imecvzw Division IMOMEC, Diepenbeek (Belgium)

    2010-04-15

    In this report, an existing phase transfer method for the synthesis of alkylamine- or alkanethiol-functionalized gold nanoparticles (NPs) is investigated. A parameter study shows that the concentration of the gold salt used is important for the stability of the resulting sol, but has little effect on the final average particle size or the size distribution. By adding dodecanethiol before the reduction, the formation of NPs was inhibited, providing evidence for the autocatalytic pathway for the formation of metallic NPs in wet chemical synthesis proposed in the literature. The resulting functionalized gold NPs are deposited onto Si-OH, octadecyltrichlorosilane (OTS) or 3-mercaptopropyltrimethoxysilane modified SiO{sub 2}/Si substrates. scanning electron microscope (SEM) is used to analyze the ordering behavior and surface coverage of the NPs and it is shown that the difference in affinity for the substrate has a profound effect on the deposition behavior. The functionalization of the substrates and of the NPs is confirmed by grazing angle attenuated total reflectance fourier transform infrared spectroscopy (GATR-FTIR). (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  5. Surface thiolation of silicon for antifouling application.

    Science.gov (United States)

    Zhang, Xiaoning; Gao, Pei; Hollimon, Valerie; Brodus, DaShan; Johnson, Arion; Hu, Hongmei

    2018-02-07

    Thiol groups grafted silicon surface was prepared as previously described. 1H,1H,2H,2H-perfluorodecanethiol (PFDT) molecules were then immobilized on such a surface through disulfide bonds formation. To investigate the contribution of PFDT coating to antifouling, the adhesion behaviors of Botryococcus braunii (B. braunii) and Escherichia coli (E. coli) were studied through biofouling assays in the laboratory. The representative microscope images suggest reduced B. braunii and E. coli accumulation densities on PFDT integrated silicon substrate. However, the antifouling performance of PFDT integrated silicon substrate decreased over time. By incubating the aged substrate in 10 mM TCEP·HCl solution for 1 h, the fouled PFDT coating could be removed as the disulfide bonds were cleaved, resulting in reduced absorption of algal cells and exposure of non-fouled silicon substrate surface. Our results indicate that the thiol-terminated substrate can be potentially useful for restoring the fouled surface, as well as maximizing the effective usage of the substrate.

  6. Research of morphology and structure of 3C–SiC thin films on silicon by electron microscopy and X-ray diffractometry

    Directory of Open Access Journals (Sweden)

    Alexander S. Gusev

    2015-12-01

    Full Text Available Thin films of silicon carbide possessing unique properties attract increasing attention of researchers both in the field of semiconductor physics and in the technology of new semiconductor devices for high power, RF and optoelectronics. The growth of the production of silicon carbide based devices promotes the search for more resource saving and safe SiC layer synthesis technologies. Potential method is pulse laser deposition (PLD in vacuum. This technology does not require the use of chemically aggressive and explosive gases and allows forming thin and continuous coatings with thicknesses of from several nanometers at relatively low substrate temperatures. Submicron thickness silicon carbide films have been grown on single crystal silicon by vacuum laser ablation of a ceramic target. The physical and technological parameters of silicon carbide thin film low temperature synthesis by PLD have been studied and, in particular, the effect of temperature and substrate crystalline orientation on the composition, structural properties and morphology of the surface of the experimental specimens has been analyzed. At above 500 °C the crystalline β-SiC phase forms on Si (100 and (111. At a substrate temperature of 950 °C the formation of textured heteroepitaxial 3C–SiC films was observed.

  7. Evaluation on electrical resistivity of silicon materials after electron ...

    Indian Academy of Sciences (India)

    Home; Journals; Bulletin of Materials Science; Volume 38; Issue 5. Evaluation on ... This research deals with the study of electron beam melting (EBM) methodology utilized in melting silicon material and subsequently discusses on the effect of oxygen level on electrical resistivity change after EBM process. The oxygen ...

  8. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  9. Nucleation of microcrystalline silicon: on the effect of the substrate surface nature and nano-imprint topography

    International Nuclear Information System (INIS)

    Palmans, J; Faraz, T; Verheijen, M A; Kessels, W M M; Creatore, M

    2016-01-01

    The nucleation of microcrystalline silicon thin-films has been investigated for various substrate natures and topographies. An earlier nucleation onset on aluminium-doped zinc oxide compared to glass substrates has been revealed, associated with a microstructure enhancement and reduced surface energy. Both aspects resulted in a larger crystallite density, following classical nucleation theory. Additionally, the nucleation onset was (plasma deposition) condition-dependent. Therefore, surface chemistry and its interplay with the plasma have been proposed as key factors affecting nucleation and growth. As such, preliminary proof of the substrate nature’s role in microcrystalline silicon growth has been provided. Subsequently, the impact of nano-imprint lithography prepared surfaces on the initial microcrystalline silicon growth has been explored. Strong topographies, with a 5-fold surface area enhancement, led to a reduction in crystalline volume fraction of ∼20%. However, no correlation between topography and microstructure has been found. Instead, the suppressed crystallization has been partially ascribed to a reduced growth flux, limited surface diffusion and increased incubation layer thickness, originating from the surface area enhancement when transiting from flat to nanostructured surfaces. Furthermore, fundamental plasma parameters have been reviewed in relation with surface topography. Strong topographies are not expected to affect the ion-to-growth flux ratio. However, the reduced ion flux (due to increasing surface area) further limited the already weak ion energy transfer to surface processes. Additionally, the atomic hydrogen flux, i.e. the driving force for microcrystalline growth, has been found to decrease by a factor of 10 when transiting from flat to nanostructured topography. This resulted in an almost 6-fold reduction of the hydrogen-to-growth flux ratio, a much stronger effect than the ion-to-growth flux ratio. Since previous studies regarding

  10. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    Science.gov (United States)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  11. Characterization of light element impurities in ultrathin silicon-on-insulator layers by luminescence activation using electron irradiation

    International Nuclear Information System (INIS)

    Nakagawa-Toyota, Satoko; Tajima, Michio; Hirose, Kazuyuki; Ohshima, Takeshi; Itoh, Hisayoshi

    2009-01-01

    We analyzed light element impurities in ultrathin top Si layers of silicon-on-insulator (SOI) wafers by luminescence activation using electron irradiation. Photoluminescence (PL) analysis under ultraviolet (UV) light excitation was performed on various commercial SOI wafers after the irradiation. We detected the C-line related to a complex of interstitial carbon and oxygen impurities and the G-line related to a complex of interstitial and substitutional carbon impurities in the top Si layer with a thickness down to 62 nm after electron irradiation. We showed that there were differences in the impurity concentration depending on the wafer fabrication methods and also that there were variations in these concentrations in the respective wafers. Xenon ion implantation was used to activate top Si layers selectively so that we could confirm that the PL signal under the UV light excitation comes not from substrates but from top Si layers. The present method is a very promising tool to evaluate the light element impurities in top Si layers. (author)

  12. Integration of InGaAs MOSFETs and GaAs/ AlGaAs lasers on Si Substrate for advanced opto-electronic integrated circuits (OEICs).

    Science.gov (United States)

    Kumar, Annie; Lee, Shuh-Ying; Yadav, Sachin; Tan, Kian Hua; Loke, Wan Khai; Dong, Yuan; Lee, Kwang Hong; Wicaksono, Satrio; Liang, Gengchiau; Yoon, Soon-Fatt; Antoniadis, Dimitri; Yeo, Yee-Chia; Gong, Xiao

    2017-12-11

    Lasers monolithically integrated with high speed MOSFETs on the silicon (Si) substrate could be a key to realize low cost, low power, and high speed opto-electronic integrated circuits (OEICs). In this paper, we report the monolithic integration of InGaAs channel transistors with electrically pumped GaAs/AlGaAs lasers on the Si substrate for future advanced OEICs. The laser and transistor layers were grown on the Si substrate by molecular beam epitaxy (MBE) using direct epitaxial growth. InGaAs n-FETs with an I ON /I OFF ratio of more than 10 6 with very low off-state leakage and a low subthreshold swing with a minimum of 82 mV/decade were realized. Electrically pumped GaAs/AlGaAs quantum well (QW) lasers with a lasing wavelength of 795 nm at room temperature were demonstrated. The overall fabrication process has a low thermal budget of no more than 400 °C.

  13. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  14. Hydrogenated amorphous silicon p-i-n solar cells deposited under well controlled ion bombardment using pulse-shaped substrate biasing

    NARCIS (Netherlands)

    Wank, M. A.; van Swaaij, R.; R. van de Sanden,; Zeman, M.

    2012-01-01

    We applied pulse-shaped biasing (PSB) to the expanding thermal plasma deposition of intrinsic hydrogenated amorphous silicon layers at substrate temperatures of 200 degrees C and growth rates of about 1?nm/s. Fourier transform infrared spectroscopy of intrinsic films showed a densification with

  15. A novel approach for osteocalcin detection by competitive ELISA using porous silicon as a substrate.

    Science.gov (United States)

    Rahimi, Fereshteh; Mohammadnejad Arough, Javad; Yaghoobi, Mona; Davoodi, Hadi; Sepehri, Fatemeh; Amirabadizadeh, Masood

    2017-11-01

    In this study, porous silicon (PSi) was utilized instead of prevalent polystyrene platforms, and its capability in biomolecule screening was examined. Here, two types of porous structure, macroporous silicon (Macro-PSi) and mesoporous silicon (Meso-PSi), were produced on silicon wafers by electrochemical etching using different electrolytes. Moreover, both kinds of fresh and oxidized PSi samples were investigated. Next, osteocalcin as a biomarker of the bone formation process was used as a model biomarker, and the colorimetric detection was performed by competitive enzyme-linked immunosorbent assay (ELISA). Both Macro-PSi and Meso-PSi substrates in the oxidized state, specifically the Meso-porous structure, were reported to have higher surface area to volume ratio, more capacitance of surface-antigen interaction, and more ability to capture antigen in comparison with the prevalent platforms. Moreover, the optical density signal of osteocalcin detected by the ELISA technique was notably higher than the common platforms. Based on the findings of this study, PSi can potentially be used in the ELISA to achieve better results and consequently more sensitivity. A further asset of incorporating such a nanometer structure in the ELISA technique is that the system response to analyte concentration could be maintained by consuming lower monoclonal antibody (or antigen) and consequently reduces the cost of the experiment. © 2016 International Union of Biochemistry and Molecular Biology, Inc.

  16. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  17. Topological investigation of electronic silicon nanoparticulate aggregates using ultra-small-angle X-ray scattering

    International Nuclear Information System (INIS)

    Jonah, E. O.; Britton, D. T.; Beaucage, P.; Rai, D. K.; Beaucage, G.; Magunje, B.; Ilavsky, J.; Scriba, M. R.; Härting, M.

    2012-01-01

    The network topology of two types of silicon nanoparticles, produced by high energy milling and pyrolysis of silane, in layers deposited from inks on permeable and impermeable substrates has been quantitatively characterized using ultra-small-angle X-ray scattering, supported by scanning electron microscopy observations. The milled particles with a highly polydisperse size distribution form agglomerates, which in turn cluster to form larger aggregates with a very high degree of aggregation. Smaller nanoparticles with less polydisperse size distribution synthesized by thermal catalytic pyrolysis of silane form small open clusters. The Sauter mean diameters of the primary particles of the two types of nanoparticles were obtained from USAXS particle volume to surface ratio, with values of ∼41 and ∼21 nm obtained for the high energy milled and pyrolysis samples, respectively. Assuming a log-normal distribution of the particles, the geometric standard deviation of the particles was calculated to be ∼1.48 for all the samples, using parameters derived from the unified fit to the USAXS data. The flow properties of the inks and substrate combination lead to quantitative changes in the mean particle separation, with slowly curing systems with good capillary flow resulting in denser networks with smaller aggregates and better contact between particles.

  18. Realization of an integrated VDF/TrFE copolymer-on-silicon pyroelectric sensor

    NARCIS (Netherlands)

    Setiadi, D.; Setiadi, D.; Regtien, Paulus P.L.; Sarro, P.M.

    1995-01-01

    An integrated pyroelectric sensor based on a vinylidene fluoride trifluoroethylene (VDF/TrFE) copolymer is presented. A silicon substrate that contains FET readout electronics is coated with the VDF/TrFE copolymer film using a spin-coating technique. On-chip poling of the copolymer has been applied

  19. Back contact to film silicon on metal for photovoltaic cells

    Science.gov (United States)

    Branz, Howard M.; Teplin, Charles; Stradins, Pauls

    2013-06-18

    A crystal oriented metal back contact for solar cells is disclosed herein. In one embodiment, a photovoltaic device and methods for making the photovoltaic device are disclosed. The photovoltaic device includes a metal substrate with a crystalline orientation and a heteroepitaxial crystal silicon layer having the same crystal orientation of the metal substrate. A heteroepitaxial buffer layer having the crystal orientation of the metal substrate is positioned between the substrate and the crystal silicon layer to reduce diffusion of metal from the metal foil into the crystal silicon layer and provide chemical compatibility with the heteroepitaxial crystal silicon layer. Additionally, the buffer layer includes one or more electrically conductive pathways to electrically couple the crystal silicon layer and the metal substrate.

  20. Fabrication of Up-Conversion Phosphor Films on Flexible Substrates Using a Nanostructured Organo-Silicon.

    Science.gov (United States)

    Jeon, Young-Sun; Kim, Tae-Un; Kim, Seon-Hoon; Lee, Young-Hwan; Choi, Pil-Son; Hwang, Kyu-Seog

    2018-03-01

    Up-conversion phosphors have attracted considerable attention because of their applications in solid-state lasers, optical communications, flat-panel displays, photovoltaic cells, and biological labels. Among them, NaYF4 is reported as one of the most efficient hosts for infrared to visible photon up-conversion of Yb3+ and Er3+ ions. However, a low-temperature method is required for industrial scale fabrication of photonic and optoelectronic devices on flexible organic substrates. In this study, hexagonal β-NaYF4: 3 mol% Yb3+, 3 mol% Er3+ up-conversion phosphor using Ca2+ was prepared by chemical solution method. Then, we synthesized a nanostructured organo-silicon compound from methyl tri-methoxysilane and 3-glycidoxy-propyl-trimethoxy-silane. The transmittance of the organo-silicon compound was found to be over 90% in the wavelength range of 400~1500 nm. Then we prepared a fluoride-based phosphor paste by mixing the organo-silicon compound with Na(Ca)YF4:Yb3+, Er3+. Subsequently, this paste was coated on polyethylene terephthalate, followed by heat-treatment at 120 °C. The visible emission of the infrared detection card was found to be at 655 nm and 661 nm an excitation wavelength of 980 nm.

  1. γ-irradiation effect on electronic properties in hydrogenated amorphous silicon

    International Nuclear Information System (INIS)

    Shirafuji, J.; Nagata, S.; Shirakawa, K.

    1986-01-01

    γ-irradiation effect on electron transport and photoelectric properties in glow-discharge hydrogenated amorphous silicon is investigated mainly by means of time-of-flight measurement. Although the electron transport changes from non-dispersive to dispersive when the total dose on γ-rays is increased, the electron mobility at room temperature is affected only slightly by γ-irradiation. The γ-irradiation introduces dominantly Si dangling bonds, allowing to study the recombination characteristic as a function of dangling bond density under controllable conditions. It is found that the electron recombination lifetime is inversely proportional to the dangling bond density. (author)

  2. Hydrogenated amorphous silicon p–i–n solar cells deposited under well controlled ion bombardment using pulse-shaped substrate biasing

    NARCIS (Netherlands)

    Wank, M.A.; Swaaij, van R.A.C.M.M.; Sanden, van de M.C.M.; Zeman, M.

    2012-01-01

    We applied pulse-shaped biasing (PSB) to the expanding thermal plasma deposition of intrinsic hydrogenated amorphous silicon layers at substrate temperatures of 200¿°C and growth rates of about 1¿nm/s. Fourier transform infrared spectroscopy of intrinsic films showed a densification with increasing

  3. Carbon nanotube network-silicon oxide non-volatile switches.

    Science.gov (United States)

    Liao, Albert D; Araujo, Paulo T; Xu, Runjie; Dresselhaus, Mildred S

    2014-12-08

    The integration of carbon nanotubes with silicon is important for their incorporation into next-generation nano-electronics. Here we demonstrate a non-volatile switch that utilizes carbon nanotube networks to electrically contact a conductive nanocrystal silicon filament in silicon dioxide. We form this device by biasing a nanotube network until it physically breaks in vacuum, creating the conductive silicon filament connected across a small nano-gap. From Raman spectroscopy, we observe coalescence of nanotubes during breakdown, which stabilizes the system to form very small gaps in the network~15 nm. We report that carbon nanotubes themselves are involved in switching the device to a high resistive state. Calculations reveal that this switching event occurs at ~600 °C, the temperature associated with the oxidation of nanotubes. Therefore, we propose that, in switching to a resistive state, the nanotube oxidizes by extracting oxygen from the substrate.

  4. Transmission Electron Microscopy (TEM) Sample Preparation of Si(1-x)Gex in c-Plane Sapphire Substrate

    Science.gov (United States)

    Kim, Hyun Jung; Choi, Sang H.; Bae, Hyung-Bin; Lee, Tae Woo

    2012-01-01

    The National Aeronautics and Space Administration-invented X-ray diffraction (XRD) methods, including the total defect density measurement method and the spatial wafer mapping method, have confirmed super hetero epitaxy growth for rhombohedral single crystalline silicon germanium (Si1-xGex) on a c-plane sapphire substrate. However, the XRD method cannot observe the surface morphology or roughness because of the method s limited resolution. Therefore the authors used transmission electron microscopy (TEM) with samples prepared in two ways, the focused ion beam (FIB) method and the tripod method to study the structure between Si1-xGex and sapphire substrate and Si1?xGex itself. The sample preparation for TEM should be as fast as possible so that the sample should contain few or no artifacts induced by the preparation. The standard sample preparation method of mechanical polishing often requires a relatively long ion milling time (several hours), which increases the probability of inducing defects into the sample. The TEM sampling of the Si1-xGex on sapphire is also difficult because of the sapphire s high hardness and mechanical instability. The FIB method and the tripod method eliminate both problems when performing a cross-section TEM sampling of Si1-xGex on c-plane sapphire, which shows the surface morphology, the interface between film and substrate, and the crystal structure of the film. This paper explains the FIB sampling method and the tripod sampling method, and why sampling Si1-xGex, on a sapphire substrate with TEM, is necessary.

  5. A new series of two-dimensional silicon crystals with versatile electronic properties

    Science.gov (United States)

    Chae, Kisung; Kim, Duck Young; Son, Young-Woo

    2018-04-01

    Silicon (Si) is one of the most extensively studied materials owing to its significance to semiconductor science and technology. While efforts to find a new three-dimensional (3D) Si crystal with unusual properties have made some progress, its two-dimensional (2D) phases have not yet been explored as much. Here, based on a newly developed systematic ab initio materials searching strategy, we report a series of novel 2D Si crystals with unprecedented structural and electronic properties. The new structures exhibit perfectly planar outermost surface layers of a distorted hexagonal network with their thicknesses varying with the atomic arrangement inside. Dramatic changes in electronic properties ranging from semimetal to semiconducting with indirect energy gaps and even to one with direct energy gaps are realized by varying thickness as well as by surface oxidation. Our predicted 2D Si crystals with flat surfaces and tunable electronic properties will shed light on the development of silicon-based 2D electronics technology.

  6. Reaction studies of hot silicon, germanium and carbon atoms

    International Nuclear Information System (INIS)

    Gaspar, P.P.

    1990-01-01

    The goal of this project was to increase the authors understanding of the interplay between the kinetic and electronic energy of free atoms and their chemical reactivity by answering the following questions: (1) what is the chemistry of high-energy carbon silicon and germanium atoms recoiling from nuclear transformations; (2) how do the reactions of recoiling carbon, silicon and germanium atoms take place - what are the operative reaction mechanisms; (3) how does the reactivity of free carbon, silicon and germanium atoms vary with energy and electronic state, and what are the differences in the chemistry of these three isoelectronic atoms? This research program consisted of a coordinated set of experiments capable of achieving these goals by defining the structures, the kinetic and internal energy, and the charge states of the intermediates formed in the gas-phase reactions of recoiling silicon and germanium atoms with silane, germane, and unsaturated organic molecules, and of recoiling carbon atoms with aromatic molecules. The reactions of high energy silicon, germanium, and carbon atoms created by nuclear recoil were studied with substrates chosen so that their products illuminated the mechanism of the recoil reactions. Information about the energy and electronic state of the recoiling atoms at reaction was obtained from the variation in end product yields and the extent of decomposition and rearrangement of primary products (usually reactive intermediates) as a function of total pressure and the concentration of inert moderator molecules that remove kinetic energy from the recoiling atoms and can induce transitions between electronic spin states. 29 refs

  7. Effect of impurities on the growth of {113} interstitial clusters in silicon under electron irradiation

    Science.gov (United States)

    Nakai, K.; Hamada, K.; Satoh, Y.; Yoshiie, T.

    2011-01-01

    The growth and shrinkage of interstitial clusters on {113} planes were investigated in electron irradiated Czochralski grown silicon (Cz-Si), floating-zone silicon (Fz-Si), and impurity-doped Fz-Si (HT-Fz-Si) using a high voltage electron microscope. In Fz-Si, {113} interstitial clusters were formed only near the beam incident surface after a long incubation period, and shrank on subsequent irradiation from the backside of the specimen. In Cz-Si and HT-Fz-Si, {113} interstitial clusters nucleated uniformly throughout the specimen without incubation, and began to shrink under prolonged irradiation at higher electron beam intensity. At lower beam intensity, however, the {113} interstitial cluster grew stably. These results demonstrate that the {113} interstitial cluster cannot grow without a continuous supply of impurities during electron irradiation. Detailed kinetics of {113} interstitial cluster growth and shrinkage in silicon, including the effects of impurities, are proposed. Then, experimental results are analyzed using rate equations based on these kinetics.

  8. Enhanced optical performance of electrochemically etched porous silicon carbide

    International Nuclear Information System (INIS)

    Naderi, N; Hashim, M R; Saron, K M A; Rouhi, J

    2013-01-01

    Porous silicon carbide (PSC) was successfully synthesized via electrochemical etching of an n-type hexagonal silicon carbide (6H-SiC) substrate using various current densities. The cyclic voltammograms of SiC dissolution show that illumination is required for the accumulation of carriers at the surface, followed by surface oxidation and dissolution of the solid. The morphological and optical characterizations of PSC were reported. Scanning electron microscopy results demonstrated that the current density can be considered an important etching parameter that controls the porosity and uniformity of PSC; hence, it can be used to optimize the optical properties of the porous samples. (paper)

  9. Formation of a silicon micropore array of a two-dimension electron multiplier by photo electrochemical etching

    International Nuclear Information System (INIS)

    Gao Yanjun; Duanmu Qingduo; Wang Guozheng; Li Ye; Tian Jingquan

    2009-01-01

    A semiconductor PEC etching method is applied to fabricate the n-type silicon deep micropore channel array. In this method, it is important to arrange the direction of the micropore array along the crystal orientation of the Si substrate. Otherwise, serious lateral erosion will happen. The etching process is also relative to the light intensity and HF concentration. 5% HF concentration and 10-15 cm distance between the light source and the silicon wafer are demonstrated to be the best in our experiments. The n-type silicon deep micropore channel array with aperture of 3 μm and aspect ratio of 40-60, whose inner walls are smooth, is finally obtained.

  10. Analysis of borophosphosilicate glass layers on silicon wafers by X-ray emission from photon and electron excitation

    International Nuclear Information System (INIS)

    Elgersma, O.; Borstrok, J.J.M.

    1989-01-01

    Phosphorus and oxygen concentrations in the homogeneous layer of borosilicate glass (BPSG) deposited on Si-integrated circuits are determined by X-ray fluorescence from photon excitation. The X-ray emission from electron excitation in an open X-ray tube instrument yields a sufficiently precise determination of the boron content. The thickness of the layer can be derived from silicon Kα-fluorescence. A calibration model is proposed for photon as well as for electron excitation. The experimentally determined parameters in this model well agree with those derived from fundamental parameters for X-ray absorption and emission. The chemical surrounding of silicon affects strongly the peak profile of the silicon Kβ-emission. This enables to distinguish emission from the silicon atoms in the wafer and from the silicon atoms in the silicon oxide complexes of the BPSG-layer. (author)

  11. TCAD analysis of graphene silicon Schottky junction solar cell

    Science.gov (United States)

    Kuang, Yawei; Liu, Yushen; Ma, Yulong; Xu, Jing; Yang, Xifeng; Feng, Jinfu

    2015-08-01

    The performance of graphene based Schottky junction solar cell on silicon substrate is studied theoretically by TCAD Silvaco tools. We calculate the current-voltage curves and internal quantum efficiency of this device at different conditions using tow dimensional model. The results show that the power conversion efficiency of Schottky solar cell dependents on the work function of graphene and the physical properties of silicon such as thickness and doping concentration. At higher concentration of 1e17cm-3 for n-type silicon, the dark current got a sharp rise compared with lower doping concentration which implies a convert of electron emission mechanism. The biggest fill factor got at higher phos doping predicts a new direction for higher performance graphene Schottky solar cell design.

  12. Readout electronics development for the ATLAS silicon tracker

    International Nuclear Information System (INIS)

    Borer, K.; Beringer, J.; Anghinolfi, F.; Aspell, P.; Chilingarov, A.; Jarron, P.; Heijne, E.H.M.; Santiard, J.C.; Verweij, H.; Goessling, C.; Lisowski, B.; Reichold, A.; Bonino, R.; Clark, A.G.; Kambara, H.; La Marra, D.; Leger, A.; Wu, X.; Richeux, J.P.; Taylor, G.N.; Fedotov, M.; Kuper, E.; Velikzhanin, Yu.; Campbell, D.; Murray, P.; Seller, P.

    1995-01-01

    We present the status of the development of the readout electronics for the large area silicon tracker of the ATLAS experiment at the LHC, carried out by the CERN RD2 project. Our basic readout concept is to integrate a fast amplifier, analog memory, sparse data scan circuit and analog-to-digital convertor (ADC) on a single VLSI chip. This architecture will provide full analog information of charged particle hits associated unambiguously to one LHC beam crossing, which is expected to be at a frequency of 40 MHz. The expected low occupancy of the ATLAS inner silicon detectors allows us to use a low speed (5 MHz) on-chip ADC with a multiplexing scheme. The functionality of the fast amplifier and analog memory have been demonstrated with various prototype chips. Most recently we have successfully tested improved versions of the amplifier and the analog memory. A piecewise linear ADC has been fabricated and performed satisfactorily up to 5 MHz. A new chip including amplifier, analog memory, memory controller, ADC, and data buffer has been designed and submitted for fabrication and will be tested on a prototype of the ATLAS silicon tracker module with realistic electrical and mechanical constraints. (orig.)

  13. Electronic spectrum of a deterministic single-donor device in silicon

    International Nuclear Information System (INIS)

    Fuechsle, Martin; Miwa, Jill A.; Mahapatra, Suddhasatta; Simmons, Michelle Y.; Hollenberg, Lloyd C. L.

    2013-01-01

    We report the fabrication of a single-electron transistor (SET) based on an individual phosphorus dopant that is deterministically positioned between the dopant-based electrodes of a transport device in silicon. Electronic characterization at mK-temperatures reveals a charging energy that is very similar to the value expected for isolated P donors in a bulk Si environment. Furthermore, we find indications for bulk-like one-electron excited states in the co-tunneling spectrum of the device, in sharp contrast to previous reports on transport through single dopants

  14. Growth and characterization of heavily doped silicon crystals

    Energy Technology Data Exchange (ETDEWEB)

    Scala, R.; Porrini, M. [MEMC Electronic Materials SpA, via Nazionale 59, 39012 Merano (Italy); Borionetti, G. [MEMC Electronic Materials SpA, viale Gherzi 31, Novara (Italy)

    2011-08-15

    Silicon crystals grown with the Czochralski method are still the most common material used for the production of electronic devices. In recent years, a growing need of large diameter crystals with increasingly higher doping levels is observed, especially to support the expanding market of discrete devices and its trend towards lower and lower resistivity levels for the silicon substrate. The growth of such heavily doped, large-diameter crystals poses several new challenges to the crystal grower, and the presence of a high dopant concentration in the crystal affects significantly its main properties, requiring also the development of dedicated characterization techniques. This paper illustrates the recent advances in the growth and characterization of silicon crystals heavily doped with antimony, arsenic, phosphorus and boron. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Gold nanoparticles deposited on linker-free silicon substrate and embedded in aluminum Schottky contact.

    Science.gov (United States)

    Gorji, Mohammad Saleh; Razak, Khairunisak Abdul; Cheong, Kuan Yew

    2013-10-15

    Given the enormous importance of Au nanoparticles (NPs) deposition on Si substrates as the precursor for various applications, we present an alternative approach to deposit Au NPs on linker-free n- and p-type Si substrates. It is demonstrated that, all conditions being similar, there is a significant difference between densities of the deposited NPs on both substrates. The Zeta-potential and polarity of charges surrounding the hydroxylamine reduced seeded growth Au NPs, are determined by a Zetasizer. To investigate the surface properties of Si substrates, contact angle measurement is performed. Field-emission scanning electron microscope is then utilized to distinguish the NPs density on the substrates. Finally, Al/Si Schottky barrier diodes with embedded Au NPs are fabricated, and their structural and electrical characteristics are further evaluated using an energy-filtered transmission electron microscope and current-voltage measurements, respectively. The results reveal that the density of NPs is significantly higher on n-type Si substrate and consequently has more pronounced effects on the electrical characteristics of the diode. It is concluded that protonation of Si-OH group on Si surface in low pH is responsible for the immobilization of Au NPs, which eventually contributes to the lowering of barrier height and enhances the electrical characteristics. Copyright © 2013 Elsevier Inc. All rights reserved.

  16. Droop-free AlxGa1-xN/AlyGa1-yN quantum-disks-in-nanowires ultraviolet LED emitting at 337 nm on metal/silicon substrates

    KAUST Repository

    Janjua, Bilal

    2017-01-18

    Currently the AlGaN-based ultraviolet (UV) solid-state lighting research suffers from numerous challenges. In particular, low internal quantum efficiency, low extraction efficiency, inefficient doping, large polarization fields, and high dislocation density epitaxy constitute bottlenecks in realizing high power devices. Despite the clear advantage of quantum-confinement nanostructure, it has not been widely utilized in AlGaN-based nanowires. Here we utilize the self-assembled nanowires (NWs) with embedding quantum-disks (Qdisks) to mitigate these issues, and achieve UV emission of 337 nm at 32 A/cm (80 mA in 0.5 × 0.5 mm device), a turn-on voltage of ∼5.5 V and droop-free behavior up to 120 A/cm of injection current. The device was grown on a titanium-coated n-type silicon substrate, to improve current injection and heat dissipation. A narrow linewidth of 11.7 nm in the electroluminescence spectrum and a strong wavefunctions overlap factor of 42% confirm strong quantum confinement within uniformly formed AlGaN/AlGaN Qdisks, verified using transmission electron microscopy (TEM). The nitride-based UV nanowires light-emitting diodes (NWs-LEDs) grown on low cost and scalable metal/silicon template substrate, offers a scalable, environment friendly and low cost solution for numerous applications, such as solid-state lighting, spectroscopy, medical science and security.

  17. Droop-free AlxGa1-xN/AlyGa1-yN quantum-disks-in-nanowires ultraviolet LED emitting at 337 nm on metal/silicon substrates

    KAUST Repository

    Janjua, Bilal; Sun, Haiding; Zhao, Chao; Anjum, Dalaver H.; Priante, Davide; Alhamoud, Abdullah A.; Wu, Feng-Yu; Li, Xiaohang; Albadri, Abdulrahman M.; Alyamani, Ahmed Y.; El-Desouki, Munir M.; Ng, Tien Khee; Ooi, Boon S.

    2017-01-01

    Currently the AlGaN-based ultraviolet (UV) solid-state lighting research suffers from numerous challenges. In particular, low internal quantum efficiency, low extraction efficiency, inefficient doping, large polarization fields, and high dislocation density epitaxy constitute bottlenecks in realizing high power devices. Despite the clear advantage of quantum-confinement nanostructure, it has not been widely utilized in AlGaN-based nanowires. Here we utilize the self-assembled nanowires (NWs) with embedding quantum-disks (Qdisks) to mitigate these issues, and achieve UV emission of 337 nm at 32 A/cm (80 mA in 0.5 × 0.5 mm device), a turn-on voltage of ∼5.5 V and droop-free behavior up to 120 A/cm of injection current. The device was grown on a titanium-coated n-type silicon substrate, to improve current injection and heat dissipation. A narrow linewidth of 11.7 nm in the electroluminescence spectrum and a strong wavefunctions overlap factor of 42% confirm strong quantum confinement within uniformly formed AlGaN/AlGaN Qdisks, verified using transmission electron microscopy (TEM). The nitride-based UV nanowires light-emitting diodes (NWs-LEDs) grown on low cost and scalable metal/silicon template substrate, offers a scalable, environment friendly and low cost solution for numerous applications, such as solid-state lighting, spectroscopy, medical science and security.

  18. Surfing Silicon Nanofacets for Cold Cathode Electron Emission Sites.

    Science.gov (United States)

    Basu, Tanmoy; Kumar, Mohit; Saini, Mahesh; Ghatak, Jay; Satpati, Biswarup; Som, Tapobrata

    2017-11-08

    Point sources exhibit low threshold electron emission due to local field enhancement at the tip. In the case of silicon, however, the realization of tip emitters has been hampered by unwanted oxidation, limiting the number of emission sites and the overall current. In contrast to this, here, we report the fascinating low threshold (∼0.67 V μm -1 ) cold cathode electron emission from silicon nanofacets (Si-NFs). The ensembles of nanofacets fabricated at different time scales, under low energy ion impacts, yield tunable field emission with a Fowler-Nordheim tunneling field in the range of 0.67-4.75 V μm -1 . The local probe surface microscopy-based tunneling current mapping in conjunction with Kelvin probe force microscopy measurements revealed that the valleys and a part of the sidewalls of the nanofacets contribute more to the field emission process. The observed lowest turn-on field is attributed to the absence of native oxide on the sidewalls of the smallest facets as well as their lowest work function. In addition, first-principle density functional theory-based simulation revealed a crystal orientation-dependent work function of Si, which corroborates well with our experimental observations. The present study demonstrates a novel way to address the origin of the cold cathode electron emission sites from Si-NFs fabricated at room temperature. In principle, the present methodology can be extended to probe the cold cathode electron emission sites from any nanostructured material.

  19. Transmission electron microscope study of neutron irradiation-induced defects in silicon

    International Nuclear Information System (INIS)

    Oshima, Ryuichiro; Kawano, Tetsuya; Fujimoto, Ryoji

    1994-01-01

    Commercial Czochralski-grown silicon (Cz-Si) and float-zone silicon (Fz-Si) wafers were irradiated with fission neutrons at various fluences from 10 19 to 10 22 n/cm 2 at temperatures ranging from 473 K to 1043 K. The irradiation induced defect structures were examined by transmission electron microscopy and ultra high voltage electron microscopy, which were compared with Marlowe code computer simulation results. It was concluded that the vacancy-type damage structure formed at 473 K were initiated from collapse of vacancy-rich regions of cascades, while interstitial type defect clusters formed by irradiation above 673 K were associated with interstitial oxygen atoms and free interstitials which diffused out of the cascades. Complex defect structures were identified to consist of {113} and {111} planar faults by the parallel beam illumination diffraction analysis. (author)

  20. Growth and intercalation of graphene on silicon carbide studied by low-energy electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Speck, Florian; Ostler, Markus; Wanke, Martina; Seyller, Thomas [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Technische Physik, Erlangen (Germany); Technische Universitaet Chemnitz, Institut fuer Physik (Germany); Besendoerfer, Sven [Universitaet Erlangen-Nuernberg, Lehrstuhl fuer Technische Physik, Erlangen (Germany); Krone, Julia [Technische Universitaet Chemnitz, Institut fuer Physik (Germany)

    2017-11-15

    Based on its electronic, structural, chemical, and mechanical properties, many potential applications have been proposed for graphene. In order to realize these visions, graphene has to be synthesized, grown, or exfoliated with properties that are determined by the targeted application. Growth of so-called epitaxial graphene on silicon carbide by sublimation of silicon in an argon atmosphere is one particular method that could potentially lead to electronic applications. In this contribution we summarize our recent work on different aspects of epitaxial graphene growth and interface manipulation by intercalation, which was performed by a combination of low-energy electron microscopy, low-energy electron diffraction, atomic force microscopy and photoelectron spectroscopy. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. The CMS silicon strip tracker and its electronic readout

    International Nuclear Information System (INIS)

    Friedl, M.

    2001-05-01

    The Large Hadron Collider (LHC) at CERN (Geneva, CH) will be the world's biggest accelerator machine when operation starts in 2006. One of its four detector experiments is the Compact Muon Solenoid (CMS), consisting of a large-scale silicon tracker and electromagnetic and hadron calorimeters, all embedded in a solenoidal magnetic field of 4 T, and a muon system surrounding the magnet coil. The Silicon Strip Tracker has a sensitive area of 206m 2 with 10 million analog channels which are read out at the collider frequency of 40 MHz. The building blocks of the CMS Tracker are the silicon sensors, APV amplifier ASICs, supporting front-end ASICs, analog and digital optical links as well as data processors and control units in the back-end. Radiation tolerance, readout speed and the huge data volume are challenging requirements. The charge collection in silicon detectors was modeled, which is discussed as well as the concepts of readout amplifiers with respect to the LHC requirements, including the deconvolution method of fast pulse shaping, electronic noise constraints and radiation effects. Moreover, extensive measurements on prototype components of the CMS Tracker and different versions of the APV chip in particular were performed. There was a significant contribution to the construction of several detector modules, characterized them in particle beam tests and quantified radiation induced effects on the APV chip and on silicon detectors. In addition, a prototype of the analog optical link and the analog performance of the back-end digitization unit were evaluated. The results are very encouraging, demonstrating the feasibility of the CMS Silicon Strip Tracker system and motivating progress towards the construction phase. (author)

  2. Grafting of functionalized polymer on porous silicon surface using Grignard reagent

    Science.gov (United States)

    Tighilt, F.-Z.; Belhousse, S.; Sam, S.; Hamdani, K.; Lasmi, K.; Chazalviel, J. N.; Gabouze, N.

    2017-11-01

    Recently, considerable attention has been paid to the manipulation and the control of the physicochemical properties of porous silicon surfaces because of their crucial importance to the modern microelectronics industry. Hybrid structures consisting of deposited polymer on porous silicon surfaces are important to applications in microelectronics, photovoltaics and sensors (Ensafi et al., 2016; Kashyout et al., 2015; Osorio et al.; 2015; Hejjo et al., 2002) [1-4]. In many cases, the polymer can provide excellent mechanical and chemical protection of the substrate, changes the electrochemical interface characteristics of the substrate, and provides new ways to the functionalization of porous silicon surfaces for molecular recognition and sensing. In this work, porous silicon surface was modified by anodic treatment in ethynylmagnesium bromide electrolyte leading to the formation of a polymeric layer bearing some bromine substituents. Subsequently, the formed polymer is functionalized with amine molecules containing functional groups (carboxylic acid or pyridine) by a substitution reaction between bromine sites and amine groups (Hofmann reaction). The chemical composition of the modified porous silicon surfaces was investigated and the grafting of polymeric chains and functional groups on the porous silicon surface was confirmed by Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) which displayed the principal characteristic peaks attributed to the different functional groups. Furthermore, the surface of the material was examined by scanning electron microscopy (SEM).

  3. Body of Knowledge for Silicon Carbide Power Electronics

    Science.gov (United States)

    Boomer, Kristen; Lauenstein, Jean-Marie; Hammoud, Ahmad

    2016-01-01

    Wide band gap semiconductors, such as silicon carbide (SiC), have emerged as very promising materials for future electronic components due to the tremendous advantages they offer in terms of power capability, extreme temperature tolerance, and high frequency operation. This report documents some issues pertaining to SiC technology and its application in the area of power electronics, in particular those geared for space missions. It also serves as a body of knowledge (BOK) in reference to the development and status of this technology obtained via literature and industry survey as well as providing a listing of the major manufacturers and their capabilities. Finally, issues relevant to the reliability of SiC-based electronic parts are addressed and limitations affecting the full utilization of this technology are identified.

  4. Comparative structural and electronic studies of hydrogen interaction with isolated versus ordered silicon nanoribbons grown on Ag(110)

    International Nuclear Information System (INIS)

    Dávila, M E; Montero, I; Marele, A; Gómez-Rodríguez, J M; De Padova, P; Hennies, F; Pietzsch, A; Shariati, M N; Le Lay, G

    2012-01-01

    We have investigated the geometry and electronic structure of two different types of self-aligned silicon nanoribbons (SiNRs), forming either isolated SiNRs or a self-assembled 5 × 2/5 × 4 grating on an Ag(110) substrate, by scanning tunnelling microscopy and high resolution x-ray photoelectron spectroscopy. At room temperature we further adsorb on these SiNRs either atomic or molecular hydrogen. The hydrogen absorption process and hydrogenation mechanism are similar for isolated or 5 × 2/5 × 4 ordered SiNRs and are not site selective; the main difference arises from the fact that the isolated SiNRs are more easily attacked and destroyed faster. In fact, atomic hydrogen strongly interacts with any Si atoms, modifying their structural and electronic properties, while molecular hydrogen has first to dissociate. Hydrogen finally etches the Si nanoribbons and their complete removal from the Ag(110) surface could eventually be expected. (paper)

  5. Thermally-isolated silicon-based integrated circuits and related methods

    Science.gov (United States)

    Wojciechowski, Kenneth; Olsson, Roy H.; Clews, Peggy J.; Bauer, Todd

    2017-05-09

    Thermally isolated devices may be formed by performing a series of etches on a silicon-based substrate. As a result of the series of etches, silicon material may be removed from underneath a region of an integrated circuit (IC). The removal of the silicon material from underneath the IC forms a gap between remaining substrate and the integrated circuit, though the integrated circuit remains connected to the substrate via a support bar arrangement that suspends the integrated circuit over the substrate. The creation of this gap functions to release the device from the substrate and create a thermally-isolated integrated circuit.

  6. Method of making thermally-isolated silicon-based integrated circuits

    Science.gov (United States)

    Wojciechowski, Kenneth; Olsson, Roy; Clews, Peggy J.; Bauer, Todd

    2017-11-21

    Thermally isolated devices may be formed by performing a series of etches on a silicon-based substrate. As a result of the series of etches, silicon material may be removed from underneath a region of an integrated circuit (IC). The removal of the silicon material from underneath the IC forms a gap between remaining substrate and the integrated circuit, though the integrated circuit remains connected to the substrate via a support bar arrangement that suspends the integrated circuit over the substrate. The creation of this gap functions to release the device from the substrate and create a thermally-isolated integrated circuit.

  7. Enhanced cooling in mono-crystalline ultra-thin silicon by embedded micro-air channels

    KAUST Repository

    Ghoneim, Mohamed T.; Fahad, Hossain M.; Hussain, Aftab M.; Rojas, Jhonathan Prieto; Sevilla, Galo T.; Alfaraj, Nasir; Lizardo, Ernesto B.; Hussain, Muhammad Mustafa

    2015-01-01

    In today’s digital world, complementary metal oxide semiconductor (CMOS) technology enabled scaling of bulk mono-crystalline silicon (100) based electronics has resulted in their higher performance but with increased dynamic and off-state power consumption. Such trade-off has caused excessive heat generation which eventually drains the charge of battery in portable devices. The traditional solution utilizing off-chip fans and heat sinks used for heat management make the whole system bulky and less mobile. Here we show, an enhanced cooling phenomenon in ultra-thin (>10 μm) mono-crystalline (100) silicon (detached from bulk substrate) by utilizing deterministic pattern of porous network of vertical “through silicon” micro-air channels that offer remarkable heat and weight management for ultra-mobile electronics, in a cost effective way with 20× reduction in substrate weight and a 12% lower maximum temperature at sustained loads. We also show the effectiveness of this event in functional MOS field effect transistors (MOSFETs) with high-κ/metal gate stacks.

  8. Enhanced cooling in mono-crystalline ultra-thin silicon by embedded micro-air channels

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-12-11

    In today’s digital world, complementary metal oxide semiconductor (CMOS) technology enabled scaling of bulk mono-crystalline silicon (100) based electronics has resulted in their higher performance but with increased dynamic and off-state power consumption. Such trade-off has caused excessive heat generation which eventually drains the charge of battery in portable devices. The traditional solution utilizing off-chip fans and heat sinks used for heat management make the whole system bulky and less mobile. Here we show, an enhanced cooling phenomenon in ultra-thin (>10 μm) mono-crystalline (100) silicon (detached from bulk substrate) by utilizing deterministic pattern of porous network of vertical “through silicon” micro-air channels that offer remarkable heat and weight management for ultra-mobile electronics, in a cost effective way with 20× reduction in substrate weight and a 12% lower maximum temperature at sustained loads. We also show the effectiveness of this event in functional MOS field effect transistors (MOSFETs) with high-κ/metal gate stacks.

  9. Thermoelectric characteristics of Pt-silicide/silicon multi-layer structured p-type silicon

    International Nuclear Information System (INIS)

    Choi, Wonchul; Jun, Dongseok; Kim, Soojung; Shin, Mincheol; Jang, Moongyu

    2015-01-01

    Electric and thermoelectric properties of silicide/silicon multi-layer structured devices were investigated with the variation of silicide/silicon heterojunction numbers from 3 to 12 layers. For the fabrication of silicide/silicon multi-layered structure, platinum and silicon layers are repeatedly sputtered on the (100) silicon bulk substrate and rapid thermal annealing is carried out for the silicidation. The manufactured devices show ohmic current–voltage (I–V) characteristics. The Seebeck coefficient of bulk Si is evaluated as 195.8 ± 15.3 μV/K at 300 K, whereas the 12 layered silicide/silicon multi-layer structured device is evaluated as 201.8 ± 9.1 μV/K. As the temperature increases to 400 K, the Seebeck coefficient increases to 237.2 ± 4.7 μV/K and 277.0 ± 1.1 μV/K for bulk and 12 layered devices, respectively. The increase of Seebeck coefficient in multi-layered structure is mainly attributed to the electron filtering effect due to the Schottky barrier at Pt-silicide/silicon interface. At 400 K, the thermal conductivity is reduced by about half of magnitude compared to bulk in multi-layered device which shows the efficient suppression of phonon propagation by using Pt-silicide/silicon hetero-junctions. - Highlights: • Silicide/silicon multi-layer structured is proposed for thermoelectric devices. • Electric and thermoelectric properties with the number of layer are investigated. • An increase of Seebeck coefficient is mainly attributed the Schottky barrier. • Phonon propagation is suppressed with the existence of Schottky barrier. • Thermal conductivity is reduced due to the suppression of phonon propagation

  10. Conversion electron spectrometry of Pu isotopes with a silicon drift detector

    OpenAIRE

    Pommé, S.; Paepen, J.; Peräjärvi, K.; Turunen, J.; Pöllänen, R.

    2016-01-01

    An electron spectrometry set-up was built at IRMM consisting of a vacuum chamber with a moveable source holder and windowless Peltier-cooled silicon drift detector (SDD). The SDD is well suited for measuring low-energy x rays and electrons emitted from thin radioactive sources with low self-absorption. The attainable energy resolution is better than 0.5 keV for electrons of 30 keV. It has been used to measure the conversion electron spectra of three plutonium isotopes, i.e. 238Pu, 239Pu, 240P...

  11. Passivation coating for flexible substrate mirrors

    Science.gov (United States)

    Tracy, C. Edwin; Benson, David K.

    1990-01-01

    A protective diffusion barrier for metalized mirror structures is provided by a layer or coating of silicon nitride which is a very dense, transparent, dielectric material that is impervious to water, alkali, and other impurities and corrosive substances that typically attack the metal layers of mirrors and cause degradation of the mirrors' reflectivity. The silicon nitride layer can be deposited on the substrate before metal deposition thereon to stabilize the metal/substrate interface, and it can be deposited over the metal to encapsulate it and protect the metal from corrosion or other degradation. Mirrors coated with silicon nitride according to this invention can also be used as front surface mirrors. Also, the silver or other reflective metal layer on mirrors comprising thin, lightweight, flexible substrates of metal or polymer sheets coated with glassy layers can be protected with silicon nitride according to this invention.

  12. Insight into electronic mechanisms of nanosecond-laser ablation of silicon

    International Nuclear Information System (INIS)

    Marine, Wladimir; Patrone, Lionel; Ozerov, Igor; Bulgakova, Nadezhda M.

    2008-01-01

    We present experimental and theoretical studies of nanosecond ArF excimer laser desorption and ablation of silicon with insight into material removal mechanisms. The experimental studies involve a comprehensive analysis of the laser-induced plume dynamics and measurements of the charge gained by the target during irradiation time. At low laser fluences, well below the melting threshold, high-energy ions with a narrow energy distribution are observed. When the fluence is increased, a thermal component of the plume is formed superimposing on the nonthermal ions, which are still abundant. The origin of these ions is discussed on the basis of two modeling approaches, thermal and electronic, and we analyze the dynamics of silicon target excitation, heating, melting, and ablation. An electronic model is developed that provides insight into the charge-carrier transport in the target. We demonstrate that, contrary to a commonly accepted opinion, a complete thermalization between the electron and lattice subsystems is not reached during the nanosecond-laser pulse action. Moreover, the charging effects can retard the melting process and have an effect on the overall target behavior and laser-induced plume dynamics

  13. Silicon scaffolds promoting three-dimensional neuronal web of cytoplasmic processes.

    Science.gov (United States)

    Papadopoulou, Evie L; Samara, Athina; Barberoglou, Marios; Manousaki, Aleka; Pagakis, Stamatis N; Anastasiadou, Ema; Fotakis, Costas; Stratakis, Emmanuel

    2010-06-01

    Primary neurons were grown on structured silicon (Si) substrates, in the absence of chemotropic factors or synthetic extracellular matrix. The Si substrates used for the study comprise hierarchical structures in the micro- and nanolength scales. The substrates were structured via femtosecond laser irradiation of the Si wafer, in a reactive SF(6) environment. Electron microscopy revealed that the neurons formed an elaborate web of cytoplasmic processes in the absence of glial elements. The neuronal cytoplasm autografted the depth of the spikes, and the neurite sprouting took place over the spikes surface. Here we demonstrate how microfabrication of a Si surface provides an excellent platform for multifaceted studies of neuronal specimens.

  14. Effect of substrate temperature on the microstructural properties of titanium nitride nanowires grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Gbordzoe, S.; Kotoka, R.; Craven, Eric; Kumar, D.; Wu, F.; Narayan, J.

    2014-01-01

    The current work reports on the growth and microstructural characterization of titanium nitride (TiN) nanowires on single crystal silicon substrates using a pulsed laser deposition method. The physical and microstructural properties of the nanowires were characterized using field emission scanning electron microscopy (FESEM) and transmission electron microscopy (TEM). The corrosion properties of the TiN nanowires compared to TiN thin film were evaluated using Direct Current potentiodynamic and electrochemical impedance spectroscopy. The nanowires corroded faster than the TiN thin film, because the nanowires have a larger surface area which makes them more reactive in a corrosive environment. It was observed from the FESEM image analyses that as the substrate temperature increases from 600 °C to 800 °C, there was an increase in both diameter (25 nm–50 nm) and length (150 nm–250 nm) of the nanowire growth. There was also an increase in spatial density with an increase of substrate temperature. The TEM results showed that the TiN nanowires grow epitaxially with the silicon substrate via domain matching epitaxy paradigm, despite a large misfit

  15. Low-temperature synthesis of homogeneous nanocrystalline cubic silicon carbide films

    International Nuclear Information System (INIS)

    Cheng Qijin; Xu, S.

    2007-01-01

    Silicon carbide films are fabricated by inductively coupled plasma chemical vapor deposition from feedstock gases silane and methane heavily diluted with hydrogen at a low substrate temperature of 300 deg. C. Fourier transform infrared absorption spectroscopy, Raman spectroscopy, x-ray photoelectron spectroscopy, and high-resolution transmission electron microscopy analyses show that homogeneous nanocrystalline cubic silicon carbide (3C-SiC) films can be synthesized at an appropriate silane fraction X[100%xsilane flow(SCCM)/silane+methane flow(SCCM)] in the gas mixture. The achievement of homogeneous nanocrystalline 3C-SiC films at a low substrate temperature of 300 deg. C is a synergy of a low deposition pressure (22 mTorr), high inductive rf power (2000 W), heavy dilution of feedstock gases silane and methane with hydrogen, and appropriate silane fractions X (X≤33%) in the gas mixture employed in our experiments

  16. Stable configurations of graphene on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Javvaji, Brahmanandam; Shenoy, Bhamy Maithry [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Mahapatra, D. Roy, E-mail: droymahapatra@aero.iisc.ernet.in [Department of Aerospace Engineering, Indian Institute of Science, Bangalore 560012 (India); Ravikumar, Abhilash [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India); Hegde, G.M. [Center for Nano Science and Engineering, Indian Institute of Science, Bangalore 560012 (India); Rizwan, M.R. [Department of Metallurgical and Materials Engineering, National Institute of Technology Karnataka, Surathkal 575025 (India)

    2017-08-31

    Highlights: • Simulations of epitaxial growth process for silicon–graphene system is performed. • Identified the most favourable orientation of graphene sheet on silicon substrate. • Atomic local strain due to the silicon–carbon bond formation is analyzed. - Abstract: Integration of graphene on silicon-based nanostructures is crucial in advancing graphene based nanoelectronic device technologies. The present paper provides a new insight on the combined effect of graphene structure and silicon (001) substrate on their two-dimensional anisotropic interface. Molecular dynamics simulations involving the sub-nanoscale interface reveal a most favourable set of temperature independent orientations of the monolayer graphene sheet with an angle of ∽15° between its armchair direction and [010] axis of the silicon substrate. While computing the favorable stable orientations, both the translation and the rotational vibrations of graphene are included. The possible interactions between the graphene atoms and the silicon atoms are identified from their coordination. Graphene sheet shows maximum bonding density with bond length 0.195 nm and minimum bond energy when interfaced with silicon substrate at 15° orientation. Local deformation analysis reveals probability distribution with maximum strain levels of 0.134, 0.047 and 0.029 for 900 K, 300 K and 100 K, respectively in silicon surface for 15° oriented graphene whereas the maximum probable strain in graphene is about 0.041 irrespective of temperature. Silicon–silicon dimer formation is changed due to silicon–carbon bonding. These results may help further in band structure engineering of silicon–graphene lattice.

  17. Investigation of a combined platinum and electron lifetime control treatment for silicon

    International Nuclear Information System (INIS)

    Jia, Yunpeng; Cui, Zhihang; Yang, Fei; Zhao, Bao; Zou, Shikai; Liang, Yongsheng

    2017-01-01

    In silicon, the effect of Combined Lifetime Treatment (CLT) involving platinum diffusion and subsequent electron irradiation is different from the separate treatments of platinum diffusion and electron irradiation, even the treatment of electron irradiation followed by platinum diffusion. In this paper, we investigated the experimental behavior of different kinds of lifetime treated samples. We found that the reverse leakage current (I rr ) increases with the increasing platinum diffusion temperature or electron irradiation dose in the separate treatments. Conversely, I rr of the CLT samples decreased with rising platinum diffusion temperature at the same dose of subsequent electron irradiation. By deep-level transient spectroscopy (DLTS), a new energy level E7 (Ec −0.376 eV) was found in our CLT samples. The new level E7 suppresses the dominance of the deeper level E8 (Ec −0.476 eV), which is caused by electron irradiation directly and results in I rr ’s increase. The formation of the level E7 comes from the complex defect-combined effect between platinum atoms and silicon vacancies, and it affects device’s characteristics finally. These research will be helpful to the development of platinum-diffused devices used in intense electron irradiation environments.

  18. Investigation of a combined platinum and electron lifetime control treatment for silicon

    Energy Technology Data Exchange (ETDEWEB)

    Jia, Yunpeng [College of Electronic Information and Control Engineering, Beijing University of Technology, Beijing 100124 (China); Cui, Zhihang, E-mail: czhczh321321@126.com [College of Electronic Information and Control Engineering, Beijing University of Technology, Beijing 100124 (China); Yang, Fei [State Grid Smart Electrical Engineering, Beijing 100192 (China); Zhao, Bao; Zou, Shikai; Liang, Yongsheng [College of Electronic Information and Control Engineering, Beijing University of Technology, Beijing 100124 (China)

    2017-02-01

    In silicon, the effect of Combined Lifetime Treatment (CLT) involving platinum diffusion and subsequent electron irradiation is different from the separate treatments of platinum diffusion and electron irradiation, even the treatment of electron irradiation followed by platinum diffusion. In this paper, we investigated the experimental behavior of different kinds of lifetime treated samples. We found that the reverse leakage current (I{sub rr}) increases with the increasing platinum diffusion temperature or electron irradiation dose in the separate treatments. Conversely, I{sub rr} of the CLT samples decreased with rising platinum diffusion temperature at the same dose of subsequent electron irradiation. By deep-level transient spectroscopy (DLTS), a new energy level E7 (Ec −0.376 eV) was found in our CLT samples. The new level E7 suppresses the dominance of the deeper level E8 (Ec −0.476 eV), which is caused by electron irradiation directly and results in I{sub rr}’s increase. The formation of the level E7 comes from the complex defect-combined effect between platinum atoms and silicon vacancies, and it affects device’s characteristics finally. These research will be helpful to the development of platinum-diffused devices used in intense electron irradiation environments.

  19. Low-temperature growth of well-aligned zinc oxide nanorod arrays on silicon substrate and their photocatalytic application

    Directory of Open Access Journals (Sweden)

    Azam A

    2014-04-01

    Full Text Available Ameer Azam,1 Saeed Salem Babkair21Center of Nanotechnology, King Abdulaziz University, Jeddah, Saudi Arabia; 2Center of Nanotechnology, Department of Physics, Faculty of Science, King Abdulaziz University, Jeddah, Saudi ArabiaAbstract: Well-aligned and single-crystalline zinc oxide (ZnO nanorod arrays were grown on silicon (Si substrate using a wet chemical route for the photodegradation of organic dyes. Structural analysis using X-ray diffraction, high-resolution transmission electron microscopy, and selected area electron diffraction confirmed the formation of ZnO nanorods grown preferentially oriented in the (001 direction and with a single phase nature with a wurtzite structure. Field emission scanning electron microscopy and transmission electron microscopy micrographs showed that the length and diameter of the well-aligned rods were about ~350–400 nm and ~80–90 nm, respectively. Raman scattering spectra of ZnO nanorod arrays revealed the characteristic E2 (high mode that is related to the vibration of oxygen atoms in the wurtzite ZnO. The photodegradation of methylene blue (MB using ZnO nanorod arrays was performed under ultraviolet light irradiation. The results of photodegradation showed that ZnO nanorod arrays were capable of degrading ~80% of MB within 60 minutes of irradiation, whereas ~92% of degradation was achieved in 120 minutes. Complete degradation of MB was observed after 270 minutes of irradiation time. Owing to enhanced photocatalytic degradation efficiency and low-temperature growth method, prepared ZnO nanorod arrays may open up the possibility for the successful utilization of ZnO nanorod arrays as a future photocatalyst for environmental remediation.Keywords: ZnO, nanorods, XRD, photodegradation

  20. Roadmap for integration of InP based photonics and silicon electronics

    NARCIS (Netherlands)

    Williams, K.A.

    2015-01-01

    We identify the synergies and a roadmap for the intimate integration of InP photonic integrated circuits and Silicon electronic ICs using wafer-scale processes. Advantages are foreseen in terms of bandwidth, energy savings and package simplification.

  1. Manipulation of extinction spectra of P3HT/PMMA medium arrays on silicon substrate containing self-assembled gold nanoparticles

    International Nuclear Information System (INIS)

    Wu, Ming-Chung; Chen, Shih-Wen; Li, Jia-Han; Chou, Yi; Lin, Jhih-Fong; Chen, Yang-Fang; Su, Wei-Fang

    2012-01-01

    In this study, we report a simple novel approach to modulate the extinction spectra of P3HT/PMMA by manipulating the medium arrays on a substrate that is coated with self-assembled gold nanoparticles. The 20 nm gold nanoparticles were synthesized and then self-assembled on the APTMS/silicon substrate surface by immersing the substrate into the gold colloid suspension. A high-resolution P3HT/PMMA photoluminescent electron beam resist was used to fabricate various square hole arrays on the substrate containing gold nanoparticles. The P3HT/PMMA medium composition causes the blue shifts in the extinction peaks of up to 40.6 nm by decreasing the period from 500 nm to 200 nm for P3HT/PMMA square hole arrays with a diameter of 100 nm. The magnitude of blue shift is directly proportional to the product of the changes of medium refractive index and the array structure factor. These peak shifts and intensity of extinction spectra for various P3HT/PMMA medium arrays are well described by the finite-difference time-domain (FDTD) simulation results. Since this simple cost-effective technique can tune the extinction spectrum of medium and adding the gold nanoparticles can give more functionalities for sensing applications, such as surface-enhanced Raman scattering (SERS), that provides good opportunities for the design and fabrication of new optoelectronic devices and sensors. Highlights: ► We can tune the extinction spectra of P3HT/PMMA by manipulating the medium arrays. ► These optical behaviors of P3HT/PMMA medium arrays are well described by FDTD simulation results. ► Adding the Au nanoparticles can give more functionalities for sensing applications.

  2. Manipulation of extinction spectra of P3HT/PMMA medium arrays on silicon substrate containing self-assembled gold nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Ming-Chung [Department of Chemical and Materials Engineering, Chang Gung University, Taoyuan 333-02, Taiwan (China); Chen, Shih-Wen; Li, Jia-Han [Department of Engineering Science and Ocean Engineering, National Taiwan University, Taipei 106-17, Taiwan (China); Chou, Yi; Lin, Jhih-Fong [Department of Materials Science and Engineering, National Taiwan University, Taipei 106-17, Taiwan (China); Chen, Yang-Fang [Department of Physics, National Taiwan University, Taipei 106-17, Taiwan (China); Su, Wei-Fang, E-mail: suwf@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, Taipei 106-17, Taiwan (China)

    2012-11-15

    In this study, we report a simple novel approach to modulate the extinction spectra of P3HT/PMMA by manipulating the medium arrays on a substrate that is coated with self-assembled gold nanoparticles. The 20 nm gold nanoparticles were synthesized and then self-assembled on the APTMS/silicon substrate surface by immersing the substrate into the gold colloid suspension. A high-resolution P3HT/PMMA photoluminescent electron beam resist was used to fabricate various square hole arrays on the substrate containing gold nanoparticles. The P3HT/PMMA medium composition causes the blue shifts in the extinction peaks of up to 40.6 nm by decreasing the period from 500 nm to 200 nm for P3HT/PMMA square hole arrays with a diameter of 100 nm. The magnitude of blue shift is directly proportional to the product of the changes of medium refractive index and the array structure factor. These peak shifts and intensity of extinction spectra for various P3HT/PMMA medium arrays are well described by the finite-difference time-domain (FDTD) simulation results. Since this simple cost-effective technique can tune the extinction spectrum of medium and adding the gold nanoparticles can give more functionalities for sensing applications, such as surface-enhanced Raman scattering (SERS), that provides good opportunities for the design and fabrication of new optoelectronic devices and sensors. Highlights: Black-Right-Pointing-Pointer We can tune the extinction spectra of P3HT/PMMA by manipulating the medium arrays. Black-Right-Pointing-Pointer These optical behaviors of P3HT/PMMA medium arrays are well described by FDTD simulation results. Black-Right-Pointing-Pointer Adding the Au nanoparticles can give more functionalities for sensing applications.

  3. X-ray and synchrotron studies of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Sivkov, V. N., E-mail: svn@dm.komisc.ru [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation); Lomov, A. A. [Russian Academy of Sciences, Physical-Technological Institute (Russian Federation); Vasil' ev, A. L. [Russian Academy of Sciences, Shubnikov Institute of Crystallography (Russian Federation); Nekipelov, S. V. [Komi State Pedagogical Institute (Russian Federation); Petrova, O. V. [Russian Academy of Sciences, Komi Scientific Center, Ural Branch (Russian Federation)

    2013-08-15

    The results of comprehensive studies of layers of porous silicon of different conductivity types, grown by anodizing standard Si(111) substrates in an electrolyte based on fluoric acid and ethanol with the addition of 5% of iodine and kept in air for a long time, are discussed. Measurements are performed by scanning electron microscopy, high-resolution X-ray diffraction, and ultrasoft X-ray spectroscopy using synchrotron radiation. The structural parameters of the layers (thickness, strain, and porosity) and atomic and chemical composition of the porous-silicon surface are determined. It is found that an oxide layer 1.5-2.3-nm thick is formed on the surface of the silicon skeleton. The near-edge fine structure of the Si 2p absorption spectrum of this layer corresponds to the fine structure of the 2p spectrum of well coordinated SiO{sub 2}. In this case, the fine structure in the Si 2p-edge absorption region of the silicon skeleton is identical to that of the 2p absorption spectrum of crystalline silicon.

  4. Microelectromechanical pump utilizing porous silicon

    Science.gov (United States)

    Lantz, Jeffrey W [Albuquerque, NM; Stalford, Harold L [Norman, OK

    2011-07-19

    A microelectromechanical (MEM) pump is disclosed which includes a porous silicon region sandwiched between an inlet chamber and an outlet chamber. The porous silicon region is formed in a silicon substrate and contains a number of pores extending between the inlet and outlet chambers, with each pore having a cross-section dimension about equal to or smaller than a mean free path of a gas being pumped. A thermal gradient is provided along the length of each pore by a heat source which can be an electrical resistance heater or an integrated circuit (IC). A channel can be formed through the silicon substrate so that inlet and outlet ports can be formed on the same side of the substrate, or so that multiple MEM pumps can be connected in series to form a multi-stage MEM pump. The MEM pump has applications for use in gas-phase MEM chemical analysis systems, and can also be used for passive cooling of ICs.

  5. Nonlinear Analysis of Actuation Performance of Shape Memory Alloy Composite Film Based on Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Shuangshuang Sun

    2014-01-01

    Full Text Available The mechanical model of the shape memory alloy (SMA composite film with silicon (Si substrate was established by the method of mechanics of composite materials. The coupled action between the SMA film and Si substrate under thermal loads was analyzed by combining static equilibrium equations, geometric equations, and physical equations. The material nonlinearity of SMA and the geometric nonlinearity of bending deformation were both considered. By simulating and analyzing the actuation performance of the SMA composite film during one cooling-heating thermal cycle, it is found that the final cooling temperature, boundary condition, and the thickness of SMA film have significant effects on the actuation performance of the SMA composite film. Besides, the maximum deflection of the SMA composite film is affected obviously by the geometric nonlinearity of bending deformation when the thickness of SMA film is very large.

  6. Conventional and 360 degree electron tomography of a micro-crystalline silicon solar cell

    DEFF Research Database (Denmark)

    Duchamp, Martial; Ramar, Amuthan; Kovács, András

    2011-01-01

    Bright-field (BF) and annular dark-field (ADF) electron tomography in the transmission electron microscope (TEM) are used to characterize elongated porous regions or cracks (simply referred to as cracks thereafter) in micro-crystalline silicon (μc-Si:H) solar cell. The limitations of inferring...

  7. Voltage-Controlled Spray Deposition of Multiwalled Carbon Nanotubes on Semiconducting and Insulating Substrates

    Science.gov (United States)

    Maulik, Subhodip; Sarkar, Anirban; Basu, Srismrita; Daniels-Race, Theda

    2018-05-01

    A facile, cost-effective, voltage-controlled, "single-step" method for spray deposition of surfactant-assisted dispersed carbon nanotube (CNT) thin films on semiconducting and insulating substrates has been developed. The fabrication strategy enables direct deposition and adhesion of CNT films on target samples, eliminating the need for substrate surface functionalization with organosilane binder agents or metal layer coatings. Spray coating experiments on four types of sample [bare silicon (Si), microscopy-grade glass samples, silicon dioxide (SiO2), and polymethyl methacrylate (PMMA)] under optimized control parameters produced films with thickness ranging from 40 nm to 6 μm with substantial surface coverage and packing density. These unique deposition results on both semiconducting and insulator target samples suggest potential applications of this technique in CNT thin-film transistors with different gate dielectrics, bendable electronics, and novel CNT-based sensing devices, and bodes well for further investigation into thin-film coatings of various inorganic, organic, and hybrid nanomaterials on different types of substrate.

  8. An investigation of excess noise in transition-edge sensors on a solid silicon substrate

    International Nuclear Information System (INIS)

    Crowder, S.G.; Lindeman, M.A.; Anderson, M.B.; Bandler, S.R.; Bilgri, N.; Bruijn, M.P.; Chervenak, J.; Figueroa-Feliciano, E.; Finkbeiner, F.; Germeau, A.; Hoevers, H.F.C.; Iyomoto, N.; Kelly, R.; Kilbourne, C.A.; Lai, T.; Man, J.; McCammon, D.; Nelms, K.L.; Porter, F.S.; Rocks, L.; Saab, T.; Sadleir, J.; Vidugiris, G.

    2006-01-01

    Transition-edge sensors (TESs) exhibit two major types of excess noise above the expected and unavoidable thermodynamic fluctuation noise (TFN) to the heat sink and Johnson noise. High-resistance TESs such as those made by the Netherlands Institute for Space Research (SRON) show excess noise consistent with internal TFN (ITFN) caused by random energy transport within the TES itself while low resistance TESs show an excess voltage noise of unknown origin seemingly unrelated to temperature fluctuations. Running a high-resistance TES on a high thermal conductivity substrate should suppress ITFN and allow detection of any excess voltage noise. We tested two TESs on a solid silicon substrate fabricated by SRON of a relatively high normal state resistance of ∼200 mΩ. After determining a linear model of the TES response to noise for the devices, we found little excess TFN and little excess voltage noise for bias currents of up to ∼20 μA

  9. InGaAs quantum dots grown by molecular beam epitaxy for light emission on Si substrates.

    Science.gov (United States)

    Bru-Chevallier, C; El Akra, A; Pelloux-Gervais, D; Dumont, H; Canut, B; Chauvin, N; Regreny, P; Gendry, M; Patriarche, G; Jancu, J M; Even, J; Noe, P; Calvo, V; Salem, B

    2011-10-01

    The aim of this study is to achieve homogeneous, high density and dislocation free InGaAs quantum dots grown by molecular beam epitaxy for light emission on silicon substrates. This work is part of a project which aims at overcoming the severe limitation suffered by silicon regarding its optoelectronic applications, especially efficient light emission device. For this study, one of the key points is to overcome the expected type II InGaAs/Si interface by inserting the InGaAs quantum dots inside a thin silicon quantum well in SiO2 fabricated on a SOI substrate. Confinement effects of the Si/SiO2 quantum well are expected to heighten the indirect silicon bandgap and then give rise to a type I interface with the InGaAs quantum dots. Band structure and optical properties are modeled within the tight binding approximation: direct energy bandgap is demonstrated in SiO2/Si/InAs/Si/SiO2 heterostructures for very thin Si layers and absorption coefficient is calculated. Thinned SOI substrates are successfully prepared using successive etching process resulting in a 2 nm-thick Si layer on top of silica. Another key point to get light emission from InGaAs quantum dots is to avoid any dislocations or defects in the quantum dots. We investigate the quantum dot size distribution, density and structural quality at different V/III beam equivalent pressure ratios, different growth temperatures and as a function of the amount of deposited material. This study was performed for InGaAs quantum dots grown on Si(001) substrates. The capping of InGaAs quantum dots by a silicon epilayer is performed in order to get efficient photoluminescence emission from quantum dots. Scanning transmission electronic microscopy images are used to study the structural quality of the quantum dots. Dislocation free In50Ga50As QDs are successfully obtained on a (001) silicon substrate. The analysis of QDs capped with silicon by Rutherford Backscattering Spectrometry in a channeling geometry is also presented.

  10. Enhancement of deposition rate at cryogenic temperature in synchrotron radiation excited deposition of silicon film

    International Nuclear Information System (INIS)

    Nara, Yasuo; Sugita, Yoshihiro; Ito, Takashi; Kato, Hiroo; Tanaka, Ken-ichiro

    1989-01-01

    The authors have investigated the synchrotron radiation excited deposition of silicon films on the SiO 2 substrate by using SiH 4 /He mixture gas at BL-12C at Photon Factory. They used VUV light from the multilayer mirror with the center photon energy from 97 to 123eV, which effectively excites L-core electrons of silicon. Substrate temperature was widely varied from -178 degree C to 500 degree C. At -178 degree C, the deposition rate was as high as 400nm/200mAHr (normalized at the storage ring current at 200mA). As increasing the substrate temperature, the deposition rate was drastically decreased. The number of deposited silicon atoms is estimated to be 4 to 50% of incident photons, while the number of photo generated species in the gas phase within the mean free path from the surface is calculated as few as about 10 -3 of incident photons. These experimental results show that the deposition reaction is governed by the dissociation of surface adsorbates by the synchrotron radiation

  11. Nano-Welding of Multi-Walled Carbon Nanotubes on Silicon and Silica Surface by Laser Irradiation

    Directory of Open Access Journals (Sweden)

    Yanping Yuan

    2016-02-01

    Full Text Available In this study, a continuous fiber laser (1064 nm wavelength, 30 W/cm2 is used to irradiate multi-walled carbon nanotubes (MWCNTs on different substrate surfaces. Effects of substrates on nano-welding of MWCNTs are investigated by scanning electron microscope (SEM. For MWCNTs on silica, after 3 s irradiation, nanoscale welding with good quality can be achieved due to breaking C–C bonds and formation of new graphene layers. While welding junctions can be formed until 10 s for the MWCNTs on silicon, the difference of irradiation time to achieve welding is attributed to the difference of thermal conductivity for silica and silicon. As the irradiation time is prolonged up to 12.5 s, most of the MWCNTs are welded to a silicon substrate, which leads to their frameworks of tube walls on the silicon surface. This is because the accumulation of absorbed energy makes the temperature rise. Then chemical reactions among silicon, carbon and nitrogen occur. New chemical bonds of Si–N and Si–C achieve the welding between the MWCNTs and silicon. Vibration modes of Si3N4 appear at peaks of 363 cm−1 and 663 cm−1. There are vibration modes of SiC at peaks of 618 cm−1, 779 cm−1 and 973 cm−1. The experimental observation proves chemical reactions and the formation of Si3N4 and SiC by laser irradiation.

  12. Nano-Welding of Multi-Walled Carbon Nanotubes on Silicon and Silica Surface by Laser Irradiation

    Science.gov (United States)

    Yuan, Yanping; Chen, Jimin

    2016-01-01

    In this study, a continuous fiber laser (1064 nm wavelength, 30 W/cm2) is used to irradiate multi-walled carbon nanotubes (MWCNTs) on different substrate surfaces. Effects of substrates on nano-welding of MWCNTs are investigated by scanning electron microscope (SEM). For MWCNTs on silica, after 3 s irradiation, nanoscale welding with good quality can be achieved due to breaking C–C bonds and formation of new graphene layers. While welding junctions can be formed until 10 s for the MWCNTs on silicon, the difference of irradiation time to achieve welding is attributed to the difference of thermal conductivity for silica and silicon. As the irradiation time is prolonged up to 12.5 s, most of the MWCNTs are welded to a silicon substrate, which leads to their frameworks of tube walls on the silicon surface. This is because the accumulation of absorbed energy makes the temperature rise. Then chemical reactions among silicon, carbon and nitrogen occur. New chemical bonds of Si–N and Si–C achieve the welding between the MWCNTs and silicon. Vibration modes of Si3N4 appear at peaks of 363 cm−1 and 663 cm−1. There are vibration modes of SiC at peaks of 618 cm−1, 779 cm−1 and 973 cm−1. The experimental observation proves chemical reactions and the formation of Si3N4 and SiC by laser irradiation. PMID:28344293

  13. Oxygen-related 1-platinum defects in silicon: An electron paramagnetic resonance study

    Science.gov (United States)

    Juda, U.; Scheerer, O.; Höhne, M.; Riemann, H.; Schilling, H.-J.; Donecker, J.; Gerhardt, A.

    1996-09-01

    A monoclinic 1-platinum defect recently detected was investigated more thoroughly by electron paramagnetic resonance (EPR). The defect is one of the dominating defects in platinum doped silicon. With a perfect reproducibility it is observed in samples prepared from n-type silicon as well as from p-type silicon, in float zone (FZ) silicon as well as in Czochralski (Cz) silicon. Its concentration varies with the conditions of preparation and nearly reaches that of isolated substitutional platinum in Cz silicon annealed for 2 h at 540 °C after quenching from the temperature of platinum diffusion. Because of its concentration which in Cz-Si exceeds that in FZ-Si the defect is assumed to be oxygen-related though a hyperfine structure with 17O could not be resolved. The defect causes a level close to the valence band. This is concluded from variations of the Fermi level and from a discussion of the spin Hamiltonian parameters. In photo-EPR experiments the defect is coupled to recently detected acceptorlike self-interstitial related defects (SIRDs); their level position turns out to be near-midgap. These defects belong to the lifetime limiting defects in Pt-doped Si.

  14. Laser process for extended silicon thin film solar cells

    International Nuclear Information System (INIS)

    Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.

    2011-01-01

    We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.

  15. Study program to develop and evaluate die and container materials for the growth of silicon ribbons. [for development of low cost solar cells

    Science.gov (United States)

    Addington, L. A.; Ownby, P. D.; Yu, B. B.; Barsoum, M. W.; Romero, H. V.; Zealer, B. G.

    1979-01-01

    The development and evaluation of proprietary coatings of pure silicon carbide, silicon nitride, and aluminum nitride on less pure hot pressed substrates of the respective ceramic materials, is described. Silicon sessile drop experiments were performed on coated test specimens under controlled oxygen partial pressure. Prior to testing, X-ray diffraction and SEM characterization was performed. The reaction interfaces were characterized after testing with optical and scanning electron microscopy and Auger electron spectroscopy. Increasing the oxygen partial pressure was found to increase the molten silicon contact angle, apparently because adsorbed oxygen lowers the solid-vapor interfacial free energy. It was also found that adsorbed oxygen increased the degree of attack of molten silicon upon the chemical vapor deposited coatings. Cost projections show that reasonably priced, coated, molten silicon resistant refractory material shapes are obtainable.

  16. In situ fabrication of AgI films on various substrates

    International Nuclear Information System (INIS)

    Zheng, Z.; Liu, A.R.; Wang, S.M.; Huang, B.J.; Ma, X.M.; Zhao, H.X.; Li, D.P.; Zhang, L.Z.

    2008-01-01

    A facile solution-phase chemical route is developed to directly construct silver iodide (AgI) films/crystals on various substrates including silver foil, silicon wafer and glass, etc. The resulting AgI films were characterized by X-ray diffraction (XRD), scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS). The AgI films with different morphologies can be obtained by controlling the reaction parameters. This method is a simple and fast way for in situ deposition of AgI crystals/films on different substrates. These films may be applied in chemical sensing systems and solid-state batteries as solid electrolytes

  17. Effect of impurities on the growth of {113} interstitial clusters in silicon under electron irradiation

    OpenAIRE

    Nakai, K.; Hamada, K.; Satoh, Y.; Yoshiie, T.

    2011-01-01

    The growth and shrinkage of interstitial clusters on {113} planes were investigated in electron irradiated Czochralski grown silicon (Cz-Si), floating-zone silicon (Fz-Si), and impurity-doped Fz-Si (HT-Fz-Si) using a high voltage electron microscope. In Fz-Si, {113} interstitial clusters were formed only near the beam incident surface after a long incubation period, and shrank on subsequent irradiation from the backside of the specimen. In Cz-Si and HT-Fz-Si, {113} interstitial clusters nucle...

  18. Electrochemical Fabrication of Nanostructures on Porous Silicon for Biochemical Sensing Platforms.

    Science.gov (United States)

    Ko, Euna; Hwang, Joonki; Kim, Ji Hye; Lee, Joo Heon; Lee, Sung Hwan; Tran, Van-Khue; Chung, Woo Sung; Park, Chan Ho; Choo, Jaebum; Seong, Gi Hun

    2016-01-01

    We present a method for the electrochemical patterning of gold nanoparticles (AuNPs) or silver nanoparticles (AgNPs) on porous silicon, and explore their applications in: (1) the quantitative analysis of hydroxylamine as a chemical sensing electrode and (2) as a highly sensitive surface-enhanced Raman spectroscopy (SERS) substrate for Rhodamine 6G. For hydroxylamine detection, AuNPs-porous silicon can enhance the electrochemical oxidation of hydroxylamine. The current changed linearly for concentrations ranging from 100 μM to 1.32 mM (R(2) = 0.995), and the detection limit was determined to be as low as 55 μM. When used as SERS substrates, these materials also showed that nanoparticles decorated on porous silicon substrates have more SERS hot spots than those decorated on crystalline silicon substrates, resulting in a larger SERS signal. Moreover, AgNPs-porous silicon provided five-times higher signal compared to AuNPs-porous silicon. From these results, we expect that nanoparticles decorated on porous silicon substrates can be used in various types of biochemical sensing platforms.

  19. Orientation acoustic radiation of electrons in silicon thick crystal

    International Nuclear Information System (INIS)

    Alejnik, A.N.; Afanas'ev, S.G.; Vorob'ev, S.A.; Zabaev, V.N.; Il'in, S.I.; Kalinin, B.N.; Potylitsyn, A.P.

    1989-01-01

    Results of measuring orientation acoustic radiation of 900 and 500 MeV electrons during their movement along crystallographic axis in thick silicon crystal (h=20 mm thickness) are presented for the first time. Analysis of obtained results shows that dynamic mechanism describes rather completely the main regularities of orientation dependence of the amplitude of acoustic signal occuring under electron motion near crystallographic axis of the crystal. Phenomena of orientation acoustic radiation can be also used for investigation of solid bodies. Orientation both of thin and rather thick monocrystals can be conducted on the basis of dynamic mechanism of elastic wave excitation in crystals

  20. Axial channeling of boron ions into silicon

    International Nuclear Information System (INIS)

    La Ferla, A.; Galvagno, G.; Raineri, V.; Setola, R.; Rimini, E.; Carnera, A.; Gasparotto, A.

    1992-01-01

    Channeling boron implants were performed into (100) and (110) silicon substrates in the energy range 80-700 keV. The dose ranged between 3.5x10 11 and 1x10 15 atoms/cm 2 . The axial channeling concentration profiles of implanted B + were compared with that obtained for incidence along the random direction of the crystal and with that obtained by implantation in amorphous silicon. The electrical and chemical boron distributions were obtained by spreading resistance and secondary ion mass spectrometry measurements, respectively. The inelastic stopping power, S c , was extracted from the experimental maximum ranges for the [100] and [110] axis. The energy dependence of the electronic stopping power is given by S e = KE p with p [100] = 0.469±0.010 and p [110] = 0.554±0.004. Simulations obtained by the MARLOWE code, using the Oen-Robinson impact parameter dependent formula, for the electronic energy loss reproduce quite well the experimental depth profiles. (orig.)

  1. Emerging heterogeneous integrated photonic platforms on silicon

    Directory of Open Access Journals (Sweden)

    Fathpour Sasan

    2015-05-01

    Full Text Available Silicon photonics has been established as a mature and promising technology for optoelectronic integrated circuits, mostly based on the silicon-on-insulator (SOI waveguide platform. However, not all optical functionalities can be satisfactorily achieved merely based on silicon, in general, and on the SOI platform, in particular. Long-known shortcomings of silicon-based integrated photonics are optical absorption (in the telecommunication wavelengths and feasibility of electrically-injected lasers (at least at room temperature. More recently, high two-photon and free-carrier absorptions required at high optical intensities for third-order optical nonlinear effects, inherent lack of second-order optical nonlinearity, low extinction ratio of modulators based on the free-carrier plasma effect, and the loss of the buried oxide layer of the SOI waveguides at mid-infrared wavelengths have been recognized as other shortcomings. Accordingly, several novel waveguide platforms have been developing to address these shortcomings of the SOI platform. Most of these emerging platforms are based on heterogeneous integration of other material systems on silicon substrates, and in some cases silicon is integrated on other substrates. Germanium and its binary alloys with silicon, III–V compound semiconductors, silicon nitride, tantalum pentoxide and other high-index dielectric or glass materials, as well as lithium niobate are some of the materials heterogeneously integrated on silicon substrates. The materials are typically integrated by a variety of epitaxial growth, bonding, ion implantation and slicing, etch back, spin-on-glass or other techniques. These wide range of efforts are reviewed here holistically to stress that there is no pure silicon or even group IV photonics per se. Rather, the future of the field of integrated photonics appears to be one of heterogenization, where a variety of different materials and waveguide platforms will be used for

  2. Silicon nanowire based high brightness, pulsed relativistic electron source

    Directory of Open Access Journals (Sweden)

    Deep Sarkar

    2017-06-01

    Full Text Available We demonstrate that silicon nanowire arrays efficiently emit relativistic electron pulses under irradiation by a high-intensity, femtosecond, and near-infrared laser (∼1018 W/cm2, 25 fs, 800 nm. The nanowire array yields fluxes and charge per bunch that are 40 times higher than those emitted by an optically flat surface, in the energy range of 0.2–0.5 MeV. The flux and charge yields for the nanowires are observed to be directional in nature unlike that for planar silicon. Particle-in-cell simulations establish that such large emission is caused by the enhancement of the local electric fields around a nanowire, which consequently leads to an enhanced absorption of laser energy. We show that the high-intensity contrast (ratio of picosecond pedestal to femtosecond peak of the laser pulse (10−9 is crucial to this large yield. We extend the notion of surface local-field enhancement, normally invoked in low-order nonlinear optical processes like second harmonic generation, optical limiting, etc., to ultrahigh laser intensities. These electron pulses, expectedly femtosecond in duration, have potential application in imaging, material modification, ultrafast dynamics, terahertz generation, and fast ion sources.

  3. Device fabrication and transport measurements of FinFETs built with 28Si SOI wafers towards donor qubits in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Lo, Cheuk Chi; Persaud, Arun; Dhuey, Scott; Olynick, Deirdre; Borondics, Ferenc; Martin, Michael C.; Bechtel, Hans A.; Bokor, Jeffrey; Schenkel, Thomas

    2009-06-10

    We report fabrication of transistors in a FinFET geometry using isotopically purified silicon-28 -on-insulator (28-SOI) substrates. Donor electron spin coherence in natural silicon is limited by spectral diffusion due to the residual 29Si nuclear spin bath, making isotopically enriched nuclear spin-free 28Si substrates a promising candidate for forming spin quantum bit devices. The FinFET architecture is fully compatible with single-ion implant detection for donor-based qubits, and the donor spin-state readout through electrical detection of spin resonance. We describe device processing steps and discuss results on electrical transport measurements at 0.3 K.

  4. Materials and processing approaches for foundry-compatible transient electronics

    Science.gov (United States)

    Chang, Jan-Kai; Fang, Hui; Bower, Christopher A.; Song, Enming; Yu, Xinge; Rogers, John A.

    2017-07-01

    Foundry-based routes to transient silicon electronic devices have the potential to serve as the manufacturing basis for “green” electronic devices, biodegradable implants, hardware secure data storage systems, and unrecoverable remote devices. This article introduces materials and processing approaches that enable state-of-the-art silicon complementary metal-oxide-semiconductor (CMOS) foundries to be leveraged for high-performance, water-soluble forms of electronics. The key elements are (i) collections of biodegradable electronic materials (e.g., silicon, tungsten, silicon nitride, silicon dioxide) and device architectures that are compatible with manufacturing procedures currently used in the integrated circuit industry, (ii) release schemes and transfer printing methods for integration of multiple ultrathin components formed in this way onto biodegradable polymer substrates, and (iii) planarization and metallization techniques to yield interconnected and fully functional systems. Various CMOS devices and circuit elements created in this fashion and detailed measurements of their electrical characteristics highlight the capabilities. Accelerated dissolution studies in aqueous environments reveal the chemical kinetics associated with the underlying transient behaviors. The results demonstrate the technical feasibility for using foundry-based routes to sophisticated forms of transient electronic devices, with functional capabilities and cost structures that could support diverse applications in the biomedical, military, industrial, and consumer industries.

  5. Fabrication of a Silicon Nanowire on a Bulk Substrate by Use of a Plasma Etching and Total Ionizing Dose Effects on a Gate-All-Around Field-Effect Transistor

    Science.gov (United States)

    Moon, Dong-Il; Han, Jin-Woo; Meyyappan, Meyya

    2016-01-01

    The gate all around transistor is investigated through experiment. The suspended silicon nanowire for the next generation is fabricated on bulk substrate by plasma etching method. The scallop pattern generated by Bosch process is utilized to form a floating silicon nanowire. By combining anisotropic and istropic silicon etch process, the shape of nanowire is accurately controlled. From the suspended nanowire, the gate all around transistor is demonstrated. As the silicon nanowire is fully surrounded by the gate, the device shows excellent electrostatic characteristics.

  6. Porous silicon localization for implementation in matrix biosensors

    International Nuclear Information System (INIS)

    Benilov, A.; Cabrera, M.; Skryshevsky, V.; Martin, J.-R.

    2007-01-01

    The search of appropriate substrates and methods of surface DNA functionalisation is one of the important tasks of semiconductor biosensors. In this work we develop a method of light-assisted porous silicon etching in order to localize porous silicon spots on silicon substrate for matrix fluorophore-labeled DNA sensors implementation. The principal difference of porous spots localization proposed is considered for n- and p-type Si substrates under the condition of supplementary illumination. The tuning of the porous profile via applying of lateral electric field is proposed and experimentally proved

  7. Electronics and readout of a large area silicon detector for LHC

    International Nuclear Information System (INIS)

    Borer, K.; Munday, D.J.; Parker, M.A.; Anghinolfi, F.; Aspell, P.; Campbell, M.; Chilingarov, A.; Jarron, P.; Heijne, E.H.M.; Santiard, J.C.; Scampoli, P.; Verweij, H.; Goessling, C.; Lisowski, B.; Reichold, A.; Spiwoks, R.; Tsesmelis, E.; Benslama, K.; Bonino, R.; Clark, A.G.; Couyoumtzelis, C.; Kambara, H.; Wu, X.; Fretwurst, E.; Lindstroem, G.; Schultz, T.; Bardos, R.A.; Gorfine, G.W.; Moorhead, G.F.; Taylor, G.N.; Tovey, S.N.; Bibby, J.H.; Hawkings, R.J.; Kundu, N.; Weidberg, A.; Campbell, D.; Murray, P.; Seller, P.; Teiger, J.

    1994-01-01

    The purpose of the RD2 project is to evaluate the feasibility of a silicon tracker and/or preshower detector for LHC. Irradiation studies with doses equivalent to those expected at LHC have been performed to determine the behavior of operational parameters such as leakage current, depletion voltage and charge collection during the life of the detector. The development of fast, dense, low power and low cost signal processing electronics is one of the major activities of the collaboration. We describe the first fully functional integrated analog memory chip with asynchronous read and write operations and level 1 trigger capture capabilities. A complete test beam system using this analog memory chip at 66 MHz has been successfully operated with RD2 prototype silicon detectors during various test runs. The flexibility of the electronics and readout have allowed us to easily interface our set-up to other data acquisition systems. Mechanical studies are in progress to design a silicon tracking detector with several million channels that may be operated at low (0-10 C) temperature, while maintaining the required geometrical precision. Prototype readout boards for such a detector are being developed and simulation studies are being performed to optimize the readout architecture. (orig.)

  8. Knock-on electrons in WA98 silicon drift detector

    International Nuclear Information System (INIS)

    Eliseev, S.

    1997-01-01

    Silicon Drift Detector is used to estimate production of knock-on electrons created by passage of 158 GeV /u fully stripped Pb ion through thick lead target. Analysed data were collected in 1995 during Pb+Pb run in WA98 heavy ion experiment at CERN SPS. Information from WA98 Cherenkov beam counter makes it possible to classify events according to number of additional Pb ions which have during detector's read-out time passed through the target without nuclear interaction. Events with one and none pile-up ion are used for statistical separation of knock-on electrons from all detected charged particles. Resulting inclusive spectra of knock-on electrons are compared with GRANT simulations and good agreement is found. (author)

  9. A new approach for two-terminal electronic memory devices - Storing information on silicon nanowires

    Science.gov (United States)

    Saranti, Konstantina; Alotaibi, Sultan; Paul, Shashi

    2016-06-01

    The work described in this paper focuses on the utilisation of silicon nanowires as the information storage element in flash-type memory devices. Silicon nanostructures have attracted attention due to interesting electrical and optical properties, and their potential integration into electronic devices. A detailed investigation of the suitability of silicon nanowires as the charge storage medium in two-terminal non-volatile memory devices are presented in this report. The deposition of the silicon nanostructures was carried out at low temperatures (less than 400 °C) using a previously developed a novel method within our research group. Two-terminal non-volatile (2TNV) memory devices and metal-insulator-semiconductor (MIS) structures containing the silicon nanowires were fabricated and an in-depth study of their characteristics was carried out using current-voltage and capacitance techniques.

  10. Experimental and theoretical study of the signal electron motion in fully depleted silicon

    International Nuclear Information System (INIS)

    Kimmel, N.; Andritschke, R.; Hartmann, R.; Holl, P.; Meidinger, N.; Richter, R.; Strueder, L.

    2010-01-01

    Imaging spectrometers based on a fully depleted silicon substrate are sensitive over the whole device volume. Therefore, a high detection efficiency for X-rays of up to 20 keV is achieved. Our experimental method facilitates measurements of the detected signal pulse height in a pixel as a function of the photon conversion position in the pixel array. Further analysis of the measurements delivers the size of a signal electron cloud after its drift from the photon conversion position to the storage cells. These results can be used to reconstruct the conversion position of each detected X-ray photon. A reconstruction accuracy of 1μm can be achieved with a pixel size of 51μm. Complementary to the measurements, we have created a physical model of the signal electron collection process. The change of the drift mobility with the electric drift field strength in the detection volume is considered in order to correctly describe the drift speed of the charge cloud. The electric field values and the values of the charge density in the detector volume are delivered by numerical device simulations with the software package 'TeSCA'. Comparisons of the simulations with the measurements confirmed the correctness of the applied physical model. We have thus established a method which enables device designers to simulate the process of signal charge collection in future detector concepts.

  11. Patterned growth of carbon nanotubes on Si substrates without predeposition of metal catalysts

    Science.gov (United States)

    Chen, Y.; Yu, J.

    2005-07-01

    Aligned carbon nanotubes (CNTs) can be readily synthesized on quartz or silicon-oxide-coated Si substrates using a chemical vapor deposition method, but it is difficult to grow them on pure Si substrates without predeposition of metal catalysts. We report that aligned CNTs were grown by pyrolysis of iron phthalocyanine at 1000°C on the templates created on Si substrates with simple mechanical scratching. Scanning electron microscopy and x-ray energy spectroscopy analysis revealed that the trenches and patterns created on the surface of Si substrates were preferred nucleation sites for nanotube growth due to a high surface energy, metastable surface structure, and possible capillarity effect. A two-step pyrolysis process maintained Fe as an active catalyst.

  12. Physical properties of lanthanum monosulfide thin films grown on (100) silicon substrates

    Science.gov (United States)

    Cahay, M.; Garre, K.; Wu, X.; Poitras, D.; Lockwood, D. J.; Fairchild, S.

    2006-06-01

    Thin films of lanthanum monosulfide (LaS) have been deposited on Si (100) substrates by pulsed laser deposition. The films are golden yellow in appearance with a mirrorlike surface morphology and a sheet resistance around 0.1 Ω/□, as measured using a four-probe measurement technique. The thin films are characterized by atomic force microscopy (AFM), x-ray diffraction (XRD) analysis, high resolution transmission electron microscopy (HRTEM), ellipsometry, and Raman spectroscopy. The root-mean-square variation of (1 μm thick) film surface roughness measured over a 1 μm2 area by AFM was found to be 1.74 nm. XRD analysis of fairly thick films (micrometer size) reveals the growth of the cubic rocksalt structure with a lattice constant of 5.863(7) A˚, which is close to the bulk LaS value. HRTEM images reveal that the films are comprised of nanocrystals separated by regions of amorphous material. Two beam bright field TEM images show that there is a strain contrast in the Si substrate right under the interface with the LaS film and penetrating into the Si substrate. This suggests that there is an initial epitaxial-like growth of the LaS film on the Si substrate that introduces a strain as a result of the 8% lattice mismatch between the film and substrate. Ellipsometry measurements of the LaS films are well characterized by a Drude-Lorentz model from which an electron concentration of about 2.52×1022 cm-3 and a mobility around 8.5 cm2/V s are derived. Typical crystalline LaS features were evident in Raman spectra of the films, but the spectra also revealed their disordered (polycrystalline) nature.

  13. Effect of preliminary annealing of silicon substrates on the spectral sensitivity of photodetectors in bipolar integrated circuits

    International Nuclear Information System (INIS)

    Blynskij, V.I.; Bozhatkin, O.A.; Golub, E.S.; Lemeshevskaya, A.M.; Shvedov, S.V.

    2010-01-01

    We examine the results of an effect of preliminary annealing on the spectral sensitivity of photodetectors in bipolar integrated circuits, formed in silicon grown by the Czochralski method. We demonstrate the possibility of substantially improving the sensitivity of photodetectors in the infrared region of the spectrum with twostep annealing. The observed effect is explained by participation of oxidation in the gettering process, where oxidation precedes formation of a buried n + layer in the substrate. (authors)

  14. The influence of oxidation properties on the electron emission characteristics of porous silicon

    International Nuclear Information System (INIS)

    He, Li; Zhang, Xiaoning; Wang, Wenjiang; Wei, Haicheng

    2016-01-01

    Highlights: • Evaluated the oxidation properties of porous silicon from semi-quantitative methods. • Discovered the relationship between oxidation properties and emission characteristics. • Revealed the micro-essence of the electron emission of the porous silicon. - Abstract: In order to investigate the influence of oxidation properties such as oxygen content and its distribution gradient on the electron emission characteristics of porous silicon (PS) emitters, emitters with PS thickness of 8 μm, 5 μm, and 3 μm were prepared and then oxidized by electrochemical oxidation (ECO) and ECO-RTO (rapid thermal oxidation) to get different oxidation properties. The experimental results indicated that the emission current density, efficiency, and stability of the PS emitters are mainly determined by oxidation properties. The higher oxygen content and the smaller oxygen distribution gradient in the PS layer, the larger emission current density and efficiency we noted. The most favorable results occurred for the PS emitter with the smallest oxygen distribution gradient and the highest level of oxygen content, with an emission current density of 212.25 μA/cm"2 and efficiency of 59.21‰. Additionally, it also demonstrates that thick PS layer benefits to the emission stability due to its longer electron acceleration tunnel. The FN fitting plots indicated that the effective emission areas of PS emitters can be enlarged and electron emission thresholds is decreased because of the higher oxygen content and smaller distribution gradient, which were approved by the optical micrographs of top electrode of PS emitters before and after electron emission.

  15. The influence of oxidation properties on the electron emission characteristics of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    He, Li [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Zhang, Xiaoning, E-mail: znn@mail.xjtu.edu.cn [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Wang, Wenjiang [Key Laboratory of Physical Electronics and Devices of the Ministry of Education, Xi’an Jiaotong University, Xi’an 710049 (China); Wei, Haicheng [School of Electrical and Information Engineering, Beifang University of Nationalities, Yinchuan750021 (China)

    2016-09-30

    Highlights: • Evaluated the oxidation properties of porous silicon from semi-quantitative methods. • Discovered the relationship between oxidation properties and emission characteristics. • Revealed the micro-essence of the electron emission of the porous silicon. - Abstract: In order to investigate the influence of oxidation properties such as oxygen content and its distribution gradient on the electron emission characteristics of porous silicon (PS) emitters, emitters with PS thickness of 8 μm, 5 μm, and 3 μm were prepared and then oxidized by electrochemical oxidation (ECO) and ECO-RTO (rapid thermal oxidation) to get different oxidation properties. The experimental results indicated that the emission current density, efficiency, and stability of the PS emitters are mainly determined by oxidation properties. The higher oxygen content and the smaller oxygen distribution gradient in the PS layer, the larger emission current density and efficiency we noted. The most favorable results occurred for the PS emitter with the smallest oxygen distribution gradient and the highest level of oxygen content, with an emission current density of 212.25 μA/cm{sup 2} and efficiency of 59.21‰. Additionally, it also demonstrates that thick PS layer benefits to the emission stability due to its longer electron acceleration tunnel. The FN fitting plots indicated that the effective emission areas of PS emitters can be enlarged and electron emission thresholds is decreased because of the higher oxygen content and smaller distribution gradient, which were approved by the optical micrographs of top electrode of PS emitters before and after electron emission.

  16. Detector and Front-end electronics for ALICE and STAR silicon strip layers

    CERN Document Server

    Arnold, L; Coffin, J P; Guillaume, G; Higueret, S; Jundt, F; Kühn, C E; Lutz, Jean Robert; Suire, C; Tarchini, A; Berst, D; Blondé, J P; Clauss, G; Colledani, C; Deptuch, G; Dulinski, W; Hu, Y; Hébrard, L; Kucewicz, W; Boucham, A; Bouvier, S; Ravel, O; Retière, F

    1998-01-01

    Detector modules consisting of Silicon Strip Detector (SSD) and Front End Electronics (FEE) assembly have been designed in order to provide the two outer layers of the ALICE Inner Tracker System (ITS) [1] as well as the outer layer of the STAR Silicon Vertex Tracker (SVT) [2]. Several prototypes have beenproduced and tested in the SPS and PS beam at CERN to validate the final design. Double-sided, AC-coupled SSD detectors provided by two different manufacturers and also a pair of single-sided SSD have been asssociated to new low-power CMOS ALICE128C ASIC chips in a new detector module assembly. The same detectors have also been associated to current Viking electronics for reference purpose. These prototype detector modules are described and some first results are presented.

  17. Transistors using crystalline silicon devices on glass

    Science.gov (United States)

    McCarthy, Anthony M.

    1995-01-01

    A method for fabricating transistors using single-crystal silicon devices on glass. This method overcomes the potential damage that may be caused to the device during high voltage bonding and employs a metal layer which may be incorporated as part of the transistor. This is accomplished such that when the bonding of the silicon wafer or substrate to the glass substrate is performed, the voltage and current pass through areas where transistors will not be fabricated. After removal of the silicon substrate, further metal may be deposited to form electrical contact or add functionality to the devices. By this method both single and gate-all-around devices may be formed.

  18. Nano-enabled sensors, electronics and energy source on polymer, paper and thread substrates

    Science.gov (United States)

    Mostafalu, Pooria

    Over the past decades, design and development of portable devices for monitoring of biomarkers especially for at risk patients is receiving considerable attention. These devices are either single use diagnostic platforms, wearable on body or on fabric, or they are implanted close to the tissue and organ that it monitors and cures. Sensors, energy sources, and data acquisition devices are the main components of a such monitoring platform. Sensors collect the information using bio-recognition tools such as enzymes and antibodies. Then, the transducers (electrodes, fluorophore, etc) convert it to the appropriate format, for instance electrical and optical signals. After that, data acquisition system amplifies and digitizes the signal and transfers the data to the recording instruments for further processing. Moreover, energy sources are necessary for powering the sensors and electronics. In wearable and implantable applications, these devices need to be flexible, light weight and biocompatible, and their performance should be similar to their rigid counterparts. In this dissertation we address these requirement for wearable and implantable devices. We showed integrated sensors, electronics, and energy sources on flexible polymers, paper, and thread. These devices provide many advantages for monitoring of the physiological condition of a patient and treatment accordingly. Real-time capability of the platform was enabled using wireless telemetry. One of the major innovations of this dissertation is the use of thread as a substrate for making medical diagnostic devices. While conventional substrates (glass, silicon, polyimide, PDMS etc) hold great promise for making wearable and implantable devices, their overall structure and form has remained essentially two dimensional, limiting their function to tissue surfaces such as skin. However, the ability to integrate functional components such as sensors, actuators, and electronics in a way that they penetrate multiple layers

  19. Model-based confirmation of alternative substrates of mitochondrial electron transport chain.

    Science.gov (United States)

    Kleessen, Sabrina; Araújo, Wagner L; Fernie, Alisdair R; Nikoloski, Zoran

    2012-03-30

    Discrimination of metabolic models based on high throughput metabolomics data, reflecting various internal and external perturbations, is essential for identifying the components that contribute to the emerging behavior of metabolic processes. Here, we investigate 12 different models of the mitochondrial electron transport chain (ETC) in Arabidopsis thaliana during dark-induced senescence in order to elucidate the alternative substrates to this metabolic pathway. Our findings demonstrate that the coupling of the proposed computational approach, based on dynamic flux balance analysis, with time-resolved metabolomics data results in model-based confirmations of the hypotheses that, during dark-induced senescence in Arabidopsis, (i) under conditions where the main substrate for the ETC are not fully available, isovaleryl-CoA dehydrogenase and 2-hydroxyglutarate dehydrogenase are able to donate electrons to the ETC, (ii) phytanoyl-CoA does not act even as an indirect substrate of the electron transfer flavoprotein/electron-transfer flavoprotein:ubiquinone oxidoreductase complex, and (iii) the mitochondrial γ-aminobutyric acid transporter has functional significance in maintaining mitochondrial metabolism. Our study provides a basic framework for future in silico studies of alternative pathways in mitochondrial metabolism under extended darkness whereby the role of its components can be computationally discriminated based on available molecular profile data.

  20. Self-organized nickel nanoparticles on nanostructured silicon substrate intermediated by a titanium oxynitride (TiNxOy) interface

    Science.gov (United States)

    Morales, M.; Droppa, R., Jr.; de Mello, S. R. S.; Figueroa, C. A.; Zanatta, A. R.; Alvarez, F.

    2018-01-01

    In this work we report an experimental approach by combining in situ sequential top-down and bottom-up processes to induce the organization of nanosized nickel particles. The top-down process consists in xenon ion bombardment of a crystalline silicon substrate to generate a pattern, followed by depositing a ˜15 nm titanium oxynitride thin film to act as a metallic diffusion barrier. Then, metallic nanoparticles are deposited by argon ion sputtering a pure nickel target, and the sample is annealed to promote the organization of the nickel nanoparticles (a bottom-up process). According to the experimental results, the surface pattern and the substrate biaxial surface strain are the driving forces behind the alignment and organization of the nickel nanoparticles. Moreover, the ratio between the F of metallic atoms arriving at the substrate relative to its surface diffusion mobility determines the nucleation regime of the nickel nanoparticles. These features are presented and discussed considering the existing technical literature on the subject.

  1. Electronic structures of ultra-thin silicon carbides deposited on graphite

    International Nuclear Information System (INIS)

    Baba, Y.; Sekiguchi, T.; Shimoyama, I.; Nath, Krishna G.

    2004-01-01

    Electronic structures of ultra-thin silicon carbide films have been investigated by X-ray photoelectron spectroscopy (XPS) and Si K-edge X-ray absorption near edge structure (XANES) using linearly polarized synchrotron soft X-rays. Silicon carbide films were deposited on the surface of highly oriented pyrolytic graphite (HOPG) by ion beam deposition method. Tetramethylsilane (Si(CH 3 ) 4 ) was used as a discharge gas. The XPS and XANES features for the thick layers were similar to those for the bulk SiC. For sub-monolayered films, the Si 1s binding energy in XPS was higher by 2.5 eV than that for bulk SiC. This suggests the existence of low-dimensional SiC x where the silicon atoms are more positively charged than those in bulk SiC. After annealing the sub-monolayered film at 850 deg. C, a new peak appeared around 1840 eV in the XANES spectrum. The energy of this new peak was lower than those for any other silicon compounds. The low-energy feature of the XANES peak suggests the existence of π*-like orbitals around the silicon atom. On the basis of the polarization dependencies of the XANES spectra, it was revealed that the direction of the π*-like orbitals are nearly perpendicular to the surface. We conclude that sub-monolayered SiC x film exhibits flat-lying structure of which configuration is similar to a single sheet of graphite

  2. Direct deposition of gold on silicon with focused ion beams

    Energy Technology Data Exchange (ETDEWEB)

    Nebiker, P.W.; Doebeli, M. [Paul Scherrer Inst. (PSI), Villigen (Switzerland); Muehle, R. [Eidgenoessische Technische Hochschule, Zurich (Switzerland)

    1997-09-01

    Irradiation with ions at very low energies (below 500 eV) no longer induces a removal of substrate material, but the ions are directly deposited on the surface. In this way, gold has been deposited on silicon with focused ion beam exposure and the properties of the film have been investigated with atomic force microscopy and Auger electron spectroscopy. (author) 3 figs., 1 ref.

  3. Thin silicon foils produced by epoxy-induced spalling of silicon for high efficiency solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Martini, R., E-mail: roberto.martini@imec.be [Department of Electrical Engineering, KU Leuven, Kasteelpark 10, 3001 Leuven (Belgium); imec, Kapeldreef 75, 3001 Leuven (Belgium); Kepa, J.; Stesmans, A. [Department of Physics, KU Leuven, Celestijnenlaan 200 D, 3001 Leuven (Belgium); Debucquoy, M.; Depauw, V.; Gonzalez, M.; Gordon, I. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Poortmans, J. [Department of Electrical Engineering, KU Leuven, Kasteelpark 10, 3001 Leuven (Belgium); imec, Kapeldreef 75, 3001 Leuven (Belgium); Universiteit Hasselt, Martelarenlaan 42, B-3500 Hasselt (Belgium)

    2014-10-27

    We report on the drastic improvement of the quality of thin silicon foils produced by epoxy-induced spalling. In the past, researchers have proposed to fabricate silicon foils by spalling silicon substrates with different stress-inducing materials to manufacture thin silicon solar cells. However, the reported values of effective minority carrier lifetime of the fabricated foils remained always limited to ∼100 μs or below. In this work, we investigate epoxy-induced exfoliated foils by electron spin resonance to analyze the limiting factors of the minority carrier lifetime. These measurements highlight the presence of disordered dangling bonds and dislocation-like defects generated by the exfoliation process. A solution to remove these defects compatible with the process flow to fabricate solar cells is proposed. After etching off less than 1 μm of material, the lifetime of the foil increases by more than a factor of 4.5, reaching a value of 461 μs. This corresponds to a lower limit of the diffusion length of more than 7 times the foil thickness. Regions with different lifetime correlate well with the roughness of the crack surface which suggests that the lifetime is now limited by the quality of the passivation of rough surfaces. The reported values of the minority carrier lifetime show a potential for high efficiency (>22%) thin silicon solar cells.

  4. Thin silicon foils produced by epoxy-induced spalling of silicon for high efficiency solar cells

    International Nuclear Information System (INIS)

    Martini, R.; Kepa, J.; Stesmans, A.; Debucquoy, M.; Depauw, V.; Gonzalez, M.; Gordon, I.; Poortmans, J.

    2014-01-01

    We report on the drastic improvement of the quality of thin silicon foils produced by epoxy-induced spalling. In the past, researchers have proposed to fabricate silicon foils by spalling silicon substrates with different stress-inducing materials to manufacture thin silicon solar cells. However, the reported values of effective minority carrier lifetime of the fabricated foils remained always limited to ∼100 μs or below. In this work, we investigate epoxy-induced exfoliated foils by electron spin resonance to analyze the limiting factors of the minority carrier lifetime. These measurements highlight the presence of disordered dangling bonds and dislocation-like defects generated by the exfoliation process. A solution to remove these defects compatible with the process flow to fabricate solar cells is proposed. After etching off less than 1 μm of material, the lifetime of the foil increases by more than a factor of 4.5, reaching a value of 461 μs. This corresponds to a lower limit of the diffusion length of more than 7 times the foil thickness. Regions with different lifetime correlate well with the roughness of the crack surface which suggests that the lifetime is now limited by the quality of the passivation of rough surfaces. The reported values of the minority carrier lifetime show a potential for high efficiency (>22%) thin silicon solar cells.

  5. Nanomaterials on flexible substrates to explore innovative functions: From energy harvesting to bio-integrated electronics

    Energy Technology Data Exchange (ETDEWEB)

    Koo, Ja Hoon; Seo, Jungmok; Lee, Taeyoon, E-mail: taeyoon.lee@yonsei.ac.kr

    2012-12-01

    Recent efforts in the semiconductor industry have focused on the realization of electronics with unusual form factors and functions which are not achievable using the current planar Si-based technology. Deposition of high-quality films or nanomaterials on low-temperature elastomeric substrates has been a technical challenge for flexible electronics. However, together with the development of new synthesis routes that enable the formation of robust thin films and nanomaterials on compliant substrates, including the dry transfer printing technique and fabrication of uniform nanogaps/nanowrinkles using the unique stretchable characteristics of elastomeric substrates, flexible electronics has emerged as a promising technology that can enrich our lives in a variety of ways. As examples, potential applications include skin-like smart prostheses, paper-like displays, disposable electronic noses, and hemispherically-shaped electronic eye cameras. Here, we review recent results demonstrating ingenious new functionalities using nanomaterials on flexible substrates, focusing on fabrication techniques, materials, operation mechanisms, and signal outputs.

  6. Nanomaterials on flexible substrates to explore innovative functions: From energy harvesting to bio-integrated electronics

    International Nuclear Information System (INIS)

    Koo, Ja Hoon; Seo, Jungmok; Lee, Taeyoon

    2012-01-01

    Recent efforts in the semiconductor industry have focused on the realization of electronics with unusual form factors and functions which are not achievable using the current planar Si-based technology. Deposition of high-quality films or nanomaterials on low-temperature elastomeric substrates has been a technical challenge for flexible electronics. However, together with the development of new synthesis routes that enable the formation of robust thin films and nanomaterials on compliant substrates, including the dry transfer printing technique and fabrication of uniform nanogaps/nanowrinkles using the unique stretchable characteristics of elastomeric substrates, flexible electronics has emerged as a promising technology that can enrich our lives in a variety of ways. As examples, potential applications include skin-like smart prostheses, paper-like displays, disposable electronic noses, and hemispherically-shaped electronic eye cameras. Here, we review recent results demonstrating ingenious new functionalities using nanomaterials on flexible substrates, focusing on fabrication techniques, materials, operation mechanisms, and signal outputs.

  7. Effects of keV electron irradiation on the avalanche-electron generation rates of three donors on oxidized silicon

    International Nuclear Information System (INIS)

    Sah, C.; Sun, J.Y.; Tzou, J.J.

    1983-01-01

    After keV electron beam irradiation of oxidized silicon, the avalanche-electron-injection generation rates and densities of the bulk compensating donor, the interface states, and the turnaround trap all increase. Heating at 200 0 C can anneal out these three donor-like traps, however, it cannot restore the generation rates back to their original and lower pre-keV electron irradiation values. The experimental results also indicate that all three traps may be related to the same mobile impurity species whose bonds are loosened by the keV electrons and then broken or released by the avalanche injected electrons

  8. Studies of dynamics of electron clouds in STAR silicon drift detectors

    CERN Document Server

    Bellwied, R; Brandon, N; Caines, H; Chen, W; Dimassimo, D; Dyke, H; Hall, J R; Hardtke, D; Hoffmann, G W; Humanic, T J; Kotova, A I; Kotov, I V; Kraner, H W; Li, Z; Lynn, D; Middelkamp, P; Ott, G; Pandey, S U; Pruneau, C A; Rykov, V L; Schambach, J; Sedlmeir, J; Sugarbaker, E R; Takahashi, J; Wilson, W K

    2000-01-01

    The dynamics of electrons generated in silicon drift detectors was studied using an IR LED. Electrons were generated at different drift distances. In this way, the evolution of the cloud as a function of drift time was measured. Two methods were used to measure the cloud size. The method of cumulative functions was used to extract the electron cloud profiles. Another method obtains the cloud width from measurements of the charge collected on a single anode as a function of coordinate of the light spot. The evolution of the electron cloud width with drift time is compared with theoretical calculations. Experimental results agreed with theoretical expectations.

  9. Effect of Processing Parameters on Thickness of Columnar Structured Silicon Wafers Directly Grown from Silicon Melts

    Directory of Open Access Journals (Sweden)

    Jin-Seok Lee

    2012-01-01

    Full Text Available In order to obtain optimum growth conditions for desired thickness and more effective silicon feedstock usage, effects of processing parameters such as preheated substrate temperatures, time intervals, moving velocity of substrates, and Ar gas blowing rates on silicon ribbon thickness were investigated in the horizontal growth process. Most of the parameters strongly affected in the control of ribbon thickness with columnar grain structure depended on the solidification rate. The thickness of the silicon ribbon decreased with an increasing substrate temperature, decreasing time interval, and increasing moving velocity of the substrate. However, the blowing of Ar gas onto a liquid layer existing on the surface of solidified ribbon contributed to achieving smooth surface roughness but did not closely affect the change of ribbon thickness in the case of a blowing rate of ≥0.65 Nm3/h because the thickness of the solidified layer was already determined by the exit height of the reservoir.

  10. Characterization of Ag-porous silicon nanostructured layer formed by an electrochemical etching of p-type silicon surface for bio-application

    Science.gov (United States)

    Naddaf, M.; Al-Mariri, A.; Haj-Mhmoud, N.

    2017-06-01

    Nanostructured layers composed of silver-porous silicon (Ag-PS) have been formed by an electrochemical etching of p-type (1 1 1) silicon substrate in a AgNO3:HF:C2H5OH solution at different etching times (10 min-30 min). Scanning electron microscopy (SEM) and energy-dispersive x-ray spectroscopy (EDS) results reveal that the produced layers consist of Ag dendrites and a silicon-rich porous structure. The nanostructuring nature of the layer has been confirmed by spatial micro-Raman scattering and x-ray diffraction techniques. The Ag dendrites exhibit a surface-enhanced Raman scattering (SERS) spectrum, while the porous structure shows a typical PS Raman spectrum. Upon increasing the etching time, the average size of silicon nanocrystallite in the PS network decreases, while the average size of Ag nanocrystals is slightly affected. In addition, the immobilization of prokaryote Salmonella typhimurium DNA via physical adsorption onto the Ag-PS layer has been performed to demonstrate its efficiency as a platform for detection of biological molecules using SERS.

  11. Surface treatment effect on Si (111) substrate for carbon deposition using DC unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Aji, A. S., E-mail: aji.ravazes70@gmail.com; Sahdan, M. F.; Hendra, I. B.; Dinari, P.; Darma, Y. [Quantum Semiconductor and Devices Lab., Physics of Material Electronics Research Division, Department of Physics, Institut Teknologi Bandung (Indonesia)

    2015-04-16

    In this work, we studied the effect of HF treatment in silicon (111) substrate surface for depositing thin layer carbon. We performed the deposition of carbon by using DC Unbalanced Magnetron Sputtering with carbon pallet (5% Fe) as target. From SEM characterization results it can be concluded that the carbon layer on HF treated substrate is more uniform than on substrate without treated. Carbon deposition rate is higher as confirmed by AFM results if the silicon substrate is treated by HF solution. EDAX characterization results tell that silicon (111) substrate with HF treatment have more carbon fraction than substrate without treatment. These results confirmed that HF treatment on silicon Si (111) substrates could enhance the carbon deposition by using DC sputtering. Afterward, the carbon atomic arrangement on silicon (111) surface is studied by performing thermal annealing process to 900 °C. From Raman spectroscopy results, thin film carbon is not changing until 600 °C thermal budged. But, when temperature increase to 900 °C, thin film carbon is starting to diffuse to silicon (111) substrates.

  12. Structural defects in laser- and electron-beam annealed silicon

    International Nuclear Information System (INIS)

    Narayan, J.

    1979-01-01

    Laser and electron beam pulses provide almost an ideal source of heat by which thin layers of semiconductors can be rapidly melted and solidified with heating and cooling rates exceeding 10 80 C/sec. Microstructural modifications obtained as a function of laser parameters are examined and it is shown that both laser and electron beam pulses can be used to remove displacement damage, dislocations, dislocation loops and precipitates. Annealing of defects underneath the oxide layers in silicon is possible within a narrow energy window. The formation of cellular structure provides a rather clear evidence of melting which leads to segregation and supercooling, and subsequent cell formation

  13. Internal friction study of microplasticity of aluminum thin films on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nishio, Y.; Tanahashi, K.; Asano, S. [Nagoya Institute of Technology, Nagoya (Japan)

    1995-12-01

    Internal friction in aluminum thin films 0.2 to 2.0 {mu}m thick on silicon substrates has been investigated between 180 and 360 K as a function of strain amplitude by means of a free-decay method of flexural vibration. According to the constitutive equation, the internal friction in the film alone can be evaluated separately from the data on the film/substrate composite. The amplitude-dependent part of internal friction in aluminum films is found in the strain range approximately two orders of magnitude higher than that for bulk aluminum. On the basis of the microplasticity theory, the amplitude-dependent internal friction can be converted into the plastic strain as a function of the effective stress on dislocation motion. The mechanical responses thus obtained for aluminum films show that the plastic strain of the order of 10-9 in creases nonlinearly with increasing stress. These curves tend to shift to a higher stress with decreasing film thickness and also with decreasing temperature, both indicating a suppression of the microplastic deformation. At all temperatures examined, the microflow stress at a constant level of the plastic strain varies inversely with the film thickness, which qualitatively agrees with the variation in macroscopic yield stress. 36 refs., 7 figs.

  14. Embedding and electropolymerization of terthiophene derivatives in porous n-type silicon

    Energy Technology Data Exchange (ETDEWEB)

    Badeva, Diyana, E-mail: diyana.badeva@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France); Tran-Van, Francois, E-mail: francois.tran@univ-tours.fr [Laboratoire de Physico-Chimie des Materiaux et des Electrolytes pour l' Energie (PCM2E), E.A 6299, Universite de Tours, Faculte des Sciences et Techniques, Parc de Grandmont, 37200 Tours (France); Beouch, Layla, E-mail: layla.beouch@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Chevrot, Claude, E-mail: claude.chevrot@u-cergy.fr [Laboratoire de Physicochimie des Polymeres et des Interfaces, 5, mail Gay-Lussac, F-95031 Cergy-Pontoise Cedex (France); Markova, Ivania, E-mail: vania@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Racheva, Todora, E-mail: todora@uctm.edu [Laboratory of Nanomaterials and Nanotechnologies, University of Chemical Technology and Metallurgy, 8 St. Kliment Ohridski blvd., 1756 Sofia (Bulgaria); Froyer, Gerard, E-mail: gerard.froyer@cnrs-imn.fr [Equipe Physique des Materiaux et Nanostructures, IMN, B.P. 32229, 44322 Nantes cedex 3 (France)

    2012-04-16

    Highlights: Black-Right-Pointing-Pointer Development of a mesoporous silicon with special morphological and chemical properties. Black-Right-Pointing-Pointer Successful embedding of carboxylic-acid terthiophenic monomer in porous silicon. Black-Right-Pointing-Pointer In situ electrochemical polymerization. Black-Right-Pointing-Pointer Polarized IRTF scattering provides the tendency to preferential organization. - Abstract: A mesoporous n-type silicon/poly (3 Prime -acetic acid-2,2 Prime -5 Prime ,2 Prime Prime terthiophene)-(Poly (3TAA) nanocomposite was elaborated in order to realize new components for optoelectronics. Non-oxidized and oxidized porous silicon substrates is used and their physical and chemical properties have been studied by different techniques such as transmission electron microscopy (TEM), scanning electron microscopy (SEM) and Fourier transformed infrared spectroscopy (FTIR). Terthiophene based conjugated structure has been successfully incorporated inside the pores by capillarity at the melting temperature of the monomer. The filling of the monomer into the porous volume was probed by energy dispersive X-ray spectroscopy (EDX). Polarized infrared absorption spectroscopy results indicated that the monomer molecules show preferential orientation along the pore axis, due to hydrogen bonding, in particular that of the carboxylic groups with silanol-rich oxidized porous silicon surface. The 3TAA monomer molecules embedded in porous silicon matrix were electrochemically polymerized in situ and resonance Raman scattering spectroscopy proved the above-mentioned polymerization.

  15. Tailoring electronic properties of multilayer phosphorene by siliconization

    Science.gov (United States)

    Malyi, Oleksandr I.; Sopiha, Kostiantyn V.; Radchenko, Ihor; Wu, Ping; Persson, Clas

    Controlling a thickness dependence of electronic properties for two-dimensional (2d) materials is among primary goals for their large-scale applications. Herein, employing a first-principles computational approach, we predict that Si interaction with multilayer phosphorene (2d-P) can result in the formation of highly stable 2d-SiP and 2d-SiP$_2$ compounds with a weak interlayer interaction. Our analysis demonstrates that these systems are semiconductors with band gap energies that can be governed by varying the thickness and stacking order. Specifically, siliconization of phosphorene allows to design 2d-SiP$_x$ materials with significantly weaker thickness dependence of electronic properties than that in 2d-P and to develop ways for their tailoring. We also reveal the spatial dependence of electronic properties for 2d-SiP$_x$ highlighting difference in effective band gaps for different layers. Particularly, our results show that central layers in the multilayer 2d systems determine overall electronic properties, while the role of the outermost layers is noticeably smaller.

  16. Electronic properties of epitaxial 6H silicon carbide

    International Nuclear Information System (INIS)

    Wessels, B.W.; Gatos, H.C.

    1977-01-01

    The electrical conductivity and Hall coefficient were measured in the temperature range from 78 to 900 K for n-type epitaxially grown 6H silicon carbide. A many-valley model of the conduction band was used in the analysis of electron concentration as a function of temperature. From this analysis, the density of states mass to the free electron mass ratio per ellipsoid was calculated to be 0.45. It was estimated that the constant energy surface of the conduction band consists of three ellipsoids. The ionization energy of the shallowest nitrogen donor was found to be 105 meV, when the valley-orbit interaction was taken into account. The electron scattering mechanisms in the epitaxial layers were analyzed and it was shown that the dominant mechanism limiting electron mobility at high temperatures is inter-valley scattering and at low temperatures (200K), impurity and space charge scattering. A value of 360 cm 2 /V sec was calculated for the maximum room temperature Hall mobility expected for electrons in pure 6H SiC. The effect of epitaxial growth temperature on room temperature Hall mobility was also investigated. (author)

  17. Radiolytic preparation of thin Au film directly on resin substrate using high-energy electron beam

    Energy Technology Data Exchange (ETDEWEB)

    Ohkubo, Yuji, E-mail: okubo@upst.eng.osaka-u.ac.jp [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Seino, Satoshi; Nakagawa, Takashi; Kugai, Junichiro [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Ueno, Koji [Japan Electron Beam Irradiation Service Ltd., 5-3 Ozushima, Izumiohtsu, Osaka 595-0074 (Japan); Yamamoto, Takao A. [Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan)

    2016-04-01

    A novel method for preparing thin Au films directly on resin substrates using an electron beam was developed. The thin Au films were prepared on a resin substrate by the reduction of Au ions in an aqueous solution via irradiation with a high-energy electron beam (4.8 MeV). This reduction method required 7 s of the irradiation time of the electron beam. Furthermore, no reductant or catalyst was needed. As the concentration of Au ions in the precursor solution was increased, the amount of Au deposited on the resin substrate increased, too, and the structure of the prepared Au film changed. As a result, the film color changed as well. Cross-sectional scanning electron microscope images of the thus-prepared Au film indicated that the Au films were consisted of two layers: a particle layer and a bottom bulk layer. There was strong adhesion between the Au films and the underlying resin substrates. This was confirmed by the tape-peeling test and through ultrasonic cleaning. After both processes, Au remained on the resin substrates, while most of the particle-like moieties were removed. This indicated that the thin Au films prepared via irradiation with a high-energy electron beam adhered strongly to the resin substrates. - Highlights: • A thin gold (Au) film was formed by EBIRM for the first time. • The irradiation time of the electron beam was less than 10 s. • Thin Au films were obtained without reductant or catalyst. • Au films were consisted of two layers: a particle layer and a bottom bulk layer. • There was strong adhesion between the bottom bulk layer and the underlying resin substrates.

  18. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    Energy Technology Data Exchange (ETDEWEB)

    Wang Guigen, E-mail: wanggghit@yahoo.com [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Kuang Xuping; Zhang Huayu; Zhu Can [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Han Jiecai [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Zuo Hongbo [Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Ma Hongtao [SAE Technologies Development (Dongguan) Co., Ltd., Dongguan 523087 (China)

    2011-12-15

    Highlights: Black-Right-Pointing-Pointer The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. Black-Right-Pointing-Pointer It highlighted the influences of Si-N underlayers. Black-Right-Pointing-Pointer The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of -150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of -150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  19. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    International Nuclear Information System (INIS)

    Wang Guigen; Kuang Xuping; Zhang Huayu; Zhu Can; Han Jiecai; Zuo Hongbo; Ma Hongtao

    2011-01-01

    Highlights: ► The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. ► It highlighted the influences of Si-N underlayers. ► The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of −150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of −150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  20. Tunable electronic properties of silicon nanowires under strain and electric bias

    Directory of Open Access Journals (Sweden)

    Alexis Nduwimana

    2014-07-01

    Full Text Available The electronic structure characteristics of silicon nanowires under strain and electric bias are studied using first-principles density functional theory. The unique wire-like structure leads to distinct spatial distribution of carriers, which can be tailored by applying tensile and compressive strains, as well as by an electric bias. Our results indicate that the combined effect of strain and electric bias leads to tunable electronic structures that can be used for piezo-electric devices.